JP5057355B2 - 集積回路内での金属層の選択的形成 - Google Patents

集積回路内での金属層の選択的形成 Download PDF

Info

Publication number
JP5057355B2
JP5057355B2 JP2005309116A JP2005309116A JP5057355B2 JP 5057355 B2 JP5057355 B2 JP 5057355B2 JP 2005309116 A JP2005309116 A JP 2005309116A JP 2005309116 A JP2005309116 A JP 2005309116A JP 5057355 B2 JP5057355 B2 JP 5057355B2
Authority
JP
Japan
Prior art keywords
layer
copper
noble metal
metal
cvd
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005309116A
Other languages
English (en)
Other versions
JP2006128680A (ja
JP2006128680A5 (ja
Inventor
オルリ・ヴィ・キルペーラ
コウ・ワンヨン
ハヌー・エー・ホータリ
マルコ・ツオミネン
ミイカ・レニカ
Original Assignee
日本エー・エス・エム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本エー・エス・エム株式会社 filed Critical 日本エー・エス・エム株式会社
Publication of JP2006128680A publication Critical patent/JP2006128680A/ja
Publication of JP2006128680A5 publication Critical patent/JP2006128680A5/ja
Application granted granted Critical
Publication of JP5057355B2 publication Critical patent/JP5057355B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本願は、2004年10月26日に出願された、Kilperaによる米国仮特許出願第60/622,141号及び2005年3月15日に出願された、Huotariらによる米国仮特許出願第60/662,144号に基づく優先権主張を伴うものである。
本願発明は概して金属層を選択的に形成する方法に関し、特に貴金属層を選択的に形成する方法に関する。
バックエンドオブライン(BEOL)銅配線がダマシンまたはデュアルダマシン処理により形成される。ダマシン処理は、まず平坦絶縁層にトレンチまたはビアをエッチングで形成し、その後該トレンチまたはビアを銅などの金属で満たすことにより相互接続を形成することに関連する。従来の半導体メタライゼーション処理であるデュアルダマシン処理において、連続の穴(コンタクトホールまたはビア)がエッチングされトレンチと同時に満たされるところのもう一つのレベルが含まれる。トレンチ及び/またはビアを金属で満たした後、該金属は化学的機械的研磨(CMP)により平坦化処理され、トレンチ及び/またはビア内にのみ金属が残る。金属原子が絶縁層中に拡散するのを防止するために、典型的に拡散バリア層が金属蒸着前に形成される。
通常は化学気相成長(CVD)SiNである、銅(Cu)を覆う絶縁キャップ層は、相互接続メタライゼーション技術でしばしば使用される。しばしば銅キャップ層はCMP工程の後に蒸着され、それは銅ブランケット膜の電気化学的蒸着の後に実行され、銅配線の上面が露出した状態になる。Cu配線の上面にキャップ層が使用されなければ、それは次の層間絶縁層(ILD)と密着する。これにより、信頼性の2つの主要モードが失われることになる。第1の問題は、Cu原子がILD内に自由に拡散しそれによってCu配線間のILDが劣化することにより、隣接するCu配線間にリーク電流が発生する点である。第2の問題は、Cu原子がCuと絶縁層との間の劣化したインターフェースに沿って移動し、かつ電流がCu配線を通過する際にCu配線内にボイドが形成されるために、エレクトロマイグレーションに関連した初期不良である。従来のCuキャップ層でさえ、インターフェース関連拡散(Cu配線とCuキャップ層との間のインターフェースに沿ったCu原子のマイグレーション)はCu配線不良につながるメカニズムのひとつである。
分離したブランケットCVD絶縁キャップ層の付加的な欠点は、層間絶縁膜の有効k値の増加である。CVD SiN層はILDよりもk値が高い。SiCN及びSiCのようなlow-kキャップ層材料でもlow-kのILDよりまだk値が高い。よって、層間絶縁膜の有効k値は、主な絶縁材料として使用されるlow-k材料と比較してキャップ層の付加により増加する。
さらに、電流による銅原子のマイグレーション(EM)または熱サイクル中の機械的応力(応力誘導ボイドまたはSIV)により、配線不良はしばしばビアで生じるため、ビアの底での銅の付着は配線信頼性にとって致命的である。絶縁層は金属よりも大きな熱膨脹を示し、その結果ビア中の金属は上昇温度で圧縮応力下にあり、熱サイクル中の下降温度で引張応力下にある。付着力に関係した銅の表面またはインターフェースマイグレーションを防止することは、銅配線の信頼性を強化するために重要である。このことは、原子層蒸着(ALD)により形成されるより薄い拡散バリアに対して特に顕著である。一般に、ALDまたはCVD層はPVD層より弱い接着を示す。一方、より薄いバリア層は同一のビアまたはトレンチ体積内の銅に対してより大きな空間を与え、かつより厚いPVDバリアに比べ導電性を増加させるため、ALD銅拡散バリア層が所望される。
上記問題を緩和するひとつのアプローチは、銅配線上及び/またはビアの底に、信頼性向上のための銅キャップ層を選択的に形成することである。最近のこの分野の技術革新は、無電解めっき法によりCoWP化合物をCu配線の上に選択的に蒸着するものである。例えば、Valery M. Dubinらによる、“Use of cobalt tungsten phosphide as a barrier material for copper metallization”と題する米国特許第5,695,810号、Bill Leeによる 2004年7月1日付けSemiconductor International 中の “Electroless CoWP Boosts Copper Reliability, Device Performance”と題する論文に記載されている。http://www.reed-electronics.com/semiconductor/article/CA430900?pudate=7%2F1%2F04&nid=2012
米国特許第5,695,810号 http://www.reed-electronics.com/semiconductor/article/CA430900?pudate=7%2F1%2F04&nid=2012に記載される2004年7月1日付けSemiconductor International中の論文"Electroless CoWP Boosts Copper Reliability, Device Performance"これは配線信頼性を大きく改善することを証明した。
しかし、この方法は、処理工程が多くなり、処理の制御が厳しくなり、コストが高くなるという欠点を有する。
したがって、集積回路(IC)メタライゼーションにおいて信頼性が高いインターフェースを形成するための、生産的かつ制御可能な方法が必要とされている。
本発明のひとつの態様に従い、集積回路(IC)内に導電層を形成するための方法が与えられる。当該方法は、銅(Cu)から成る第1表面及び第2表面を与える工程を含む。第1表面及び第2表面は貴金属の気相化合物と接触し、それによって、第2表面に比較して第1表面上に、貴金属から成る導電層を選択的に形成する。例示された実施例において、貴金属は周囲の絶縁体に比較して金属表面上に選択的に形成される。
本発明の他の態様に従い、集積回路内に導電層を選択的に形成するための方法が与えられる。当該方法は、第1表面及び第2表面を与える工程であって、該第1表面は集積回路の金属形体により画成され、該金属形体は銅から成るところの工程を含む。第1表面及び第2表面は貴金属の気相化合物と接触する。金属形体の銅が貴金属の気相化合物から解離した貴金属原子と置換されるところの置換反応が生じ、その結果、貴金属から成る導電層が、第2表面に比較して第1表面上に選択的に蒸着される。
本発明のさらに他の態様に従い、銅配線の信頼性を強化するための方法が与えられる。当該方法は銅表面及び絶縁体表面を与える工程を含む。銅及び絶縁体の表面は貴金属の気相化合物と接触する。貴金属から成る信頼性強化層が、貴金属の気相化合物を供給することにより、絶縁体表面に比較して銅表面上に選択的に形成される。ひとつの実施例において、銅表面はビアの底で露出している。他の実施例において、銅表面は埋め込み銅配線の上面であり、銅表面と絶縁体表面は同一面である。
本発明のさらに他の態様に従い、集積回路内のメタライゼーション構造が与えられる。当該構造は絶縁体内部に陥凹した金属形体を含む。貴金属層が金属形体の表面を覆い、貴金属層は少なくとも部分的に絶縁体内部に陥凹する。
本発明のさらに他の態様に従い、配線回路が与えられる。集積回路は絶縁体内部に陥凹する銅を含む。貴金属層は銅表面を選択的に覆う。
信頼性強化材料は、金属キャップ層を形成しながら、例えばビア底での露出した配線表面及び/または露出した銅配線の上面のみに選択的に形成される。ひとつの方法は、絶縁層に比較して金属層上へのより速い核生成を利用するものであり、それにより貴金属原子を例えば選択的CVDまたはALDにより金属表面に蒸着する。他の方法は、露出した銅層上の銅原子を置換反応を使って貴金属原子と置き換えるものである。付加的な金属原子はCu置換貴金属層上に例えば選択的CVDまたはALDにより蒸着され、信頼性強化層の厚さを増す。利点として、例示される実施例の方法は気相反応物質を使用する。
パターニング及びエッチングの後、ダマシンまたはデュアルダマシン構造の表面は、主に絶縁材料、すなわち、low-k材料、絶縁バリア層及びエッチストップ層などの付加的材料から成る。バリア層の蒸着及び銅充填の前に、ウエハ表面上の金属面にのみ、エッチング及び保存条件によっては、銅金属またはそのわずかな酸化物がビア底に存在している。
以下、図面を参照して説明する。同一の部材は同一の符号で示す。各図面は必ずしも同じスケールで描かれてはいない。
以下の実施例において、ひとつの実施例で使用された任意のエレメントは他の実施例でも相互に交換可能であり、これらの実施例においてエレメントの任意の組み合わせが適用可能である。
信頼性強化層の形成中、好ましくは貴金属から成る導電層が第2表面に比較して第1表面上に選択的に形成される。図3〜5に示された実施例において、第1表面はビア底で露出した埋め込み銅(Cu)配線の一部の上面であり、第2表面は上部フィールド領域だけでなくビアを形成する絶縁材料により画成される。第1表面は第2表面に比較して引っ込んでいる。図6〜8に示された他の実施例において、第1表面は化学機械的研磨(CMP)の直後にトレンチ内のCu配線の露出した上面であり、第2表面は層間絶縁層(ILD)またはCMPストップ層の上面である。説明の都合上、デュアルダマシン構造内の任意の絶縁CMPストップ層はILD層の一部と考えられる。この場合、第1表面及び第2表面は実質的に同一面内にある。
図1を参照して、デュアルダマシン構造内でひとつのレベルの配線層を形成する典型的な処理シーケンスは、(i)既存の金属構造106、拡散バリア105及び下部ILD層104を、下部レベルで平坦化するために、化学機械的研磨(CMP)する工程と、(ii)絶縁拡散バリア層103を蒸着する工程と、(iii)好ましくはSiO2よりk値が低い絶縁層102を蒸着する工程と、(iv)ダマシンまたはデュアルダマシン方法によりビア及び/またはトレンチを形成する工程と、(v)スパッタリングによりTaN層のような拡散バリア層101を蒸着する工程と、(vi)スパッタリングにより銅シード層(図示せず)を蒸着する工程と、(vii)構造体を銅で満たすよう電気めっきし(図示せず)、絶縁層102内に埋め込まれた銅相互接続を形成する工程と、から成る。他に、より薄い拡散バリア層201がスパッタリングの代わりにALDにより形成されてもよい(図2では、図1で使用された符号に100だけ加えた符号が使用されている)。半導体デバイスの相互接続を完成させるべく多くの相互接続層を形成するために同じ処理シーケンスが繰り返される。
図3を参照して、ビア311の底の埋め込みダマシンまたは銅配線306、銅拡散バリア305(例えば、窒化金属)、内部に銅配線306が陥凹または嵌合した下部ILD層304、絶縁拡散バリア303、及び上部ILD層302から成るデュアルダマシン構造が示されている。ビア311は、下部レベルと接触するために、トレンチ312の底から下方に伸びる。さらにデュアルダマシン構造は、下部銅配線306の上面に選択的に形成され、かつPt、Au、Ru、Rh、IrまたはPdのような貴金属の層を構成する信頼性強化層307から成る。典型的な処理シーケンスは、(i)既存の金属構造物306、拡散バリア305及び下部ILD層304を下部レベルで平坦化するように化学機械的研磨(CMP)する工程と、(ii)絶縁拡散バリア層303を蒸着する工程と、(iii)ILD層302を蒸着する工程と、(iv)ダマシンまたはデュアルダマシン法によりビアを形成する工程と、(v)銅置換反応、CVDまたはALDのような以下で説明する気相蒸着技術を使って下部銅配線306の上面のビア底に信頼性強化層307を選択的に形成する工程と、(vi)ALDまたはスパッタリングにより拡散バリア層301を蒸着する工程と、(vii)スパッタリングにより銅シード層(図示せず)を蒸着する工程と、(viii)構造体を銅で満たすべく電気めっきし、銅の相互接続を形成する工程と、から成る。半導体デバイスの相互接続を完成させるべく複数の相互接続層を形成するために、同じ処理シーケンスが繰り返される。下部銅配線306の上面に存在する酸化銅を除去するために、(v)の信頼性強化層の選択的形成の前に前処理工程が付加されてもよい。従来のスパッタ銅シード及び電気めっき銅の代わりに、工程(vii)及び(viii)で他の銅充填技術が使用されてもよい。例えば、薄いRu層のALDに続いてCVDにより銅を充填する方法は、非常に狭いビア/トレンチを充填するひとつの方法として考えられる。
貴金属のCVDまたはALDの間、実際の蒸着は、絶縁体表面上への貴金属の核生成が遅いために遅れる。時間遅延は潜伏時間と呼ばれる。金属表面上への貴金属のCVDまたはALDは非常に短いか潜伏時間がゼロであることを示す。したがって、CVDまたはALDがILD層302及び304、並びに絶縁拡散バリア層303のいずれかの上での着金属蒸着の潜伏時間より短い間隔で実行されれば、貴金属は下部銅配線306の上面に選択的に蒸着される。選択性のわずかな損失、すなわち、絶縁体表面に蒸着される微小量の貴金属は、相互接続性能にほとんど影響を与えない。ビア及び/またはトレンチの壁に蒸着された微小量の貴金属は続く導体拡散バリア層301により覆われ、金属相互接続の導電性への影響は最小となる。ILD層302の上部平坦面上に蒸着された微小量の貴金属は、余分な銅及び拡散バリア層301を除去するためのCMP工程中に除去される。
図4を参照して、本発明の他の好適実施例において、信頼性強化層310が、以下に詳細に説明される金属置換反応を使って、ビア313の底に形成される。例示されたデュアルダマシンの文言において、ビア313は下部レベルと接触するためにトレンチの底から下方に伸びるが、シングルダマシンの文言においてビアはILDの上部フィールド領域から直接伸びる。信頼性強化層310は、Pt、Au、Ru、Rh、IrまたはPdなどの貴金属の層から成る。貴金属原子は銅原子と置換し、銅が貴金属前駆体にこれ以上晒されないかまたはアクセス不能となった時に置換反応が停止するため、信頼性強化層310は10個以下の数個の原子層と同じ厚さを有する。図示された実施例において、信頼性強化層310は銅配線306内に陥凹する。置換反応に続き、さらに貴金属のALDまたはCVDが実行され、信頼性強化層310の膜厚が増加する。置換反応の選択性が完璧であり、かつ潜伏時間の無い、信頼性強化層310から成る貴金属表面上へ、ALDまたはCVDの蒸着が続いてすぐに開始されるため、ALDまたはCVDの選択性はこの方法において強化され、より大きなプロセスウインドウがもたらされる。置換反応の性質に応じて、信頼性強化層の膜厚は置換された銅層の膜厚より大きいかまたは小さい。置換する貴金属原子数と置換される銅原子数の比率が1:1ならば、膜厚はほとんど変化せず、信頼性強化層のトップレベルは置換反応前の下部銅配線306のトップレベルと実質的に同じである。置換反応により形成された信頼性強化層の膜厚(典型的に10原子層以下)及び置換された銅層の膜厚は両方とも約2ナノメートル(nm)またはそれ以下であるから、原子数の比率が1:1でなくともトップレベルの変化は重大ではない。相対的に、信頼性強化層の膜厚を増加させるために付加的なALDまたはCVDが実行されると、信頼性強化層は下部ILD層304の上に実質的に突出する。この場合、信頼性強化層310はオーバーレイ絶縁拡散バリア層303または、もし在ればオーバーレイILD302により包囲されてもよい。
図5を参照して、上部ILD層302内に陥凹または嵌合したコンタクトまたは相互接続315及び金属配線317を形成するべく図4のビア313及びオーバーレイトレンチ314は銅などの金属で満たされる、その後、絶縁拡散バリア層320が金属配線317上に形成される。例えば、化学機械的研磨(CMP)を使った平坦化工程が絶縁拡散バリア層320を形成する工程の前に実行される。
図6を参照して、本発明の他の好適実施例において、上部ILD層302内に陥凹または嵌合されるコンタクト318及び金属配線319を形成するべく図2のビア212及びトレンチ214が銅などの金属で満たされる。ビア212及びトレンチ214を充填した後に、例えばCMPを使った平坦化処理が実行される。CMP処理の後、キャップまたは信頼性強化層325が、以下に説明される金属置換反応を使って銅配線319の上面に選択的に形成される。信頼性強化層325はILD層302内に陥凹されている。信頼性強化層325は、Pt、Au、Ru、Rh、IrまたはPdのような貴金属の導電層から成る。信頼性強化層325の上面は、銅配線319が陥凹するところのILD層302内に陥凹しかつ実質的にその上面と同一面である。貴金属原子が銅原子を置換し、貴金属前駆体に対して銅がそれ以上晒されずまたはアクセス不能となった時に置換反応が停止するため、信頼性強化層325は数個の原子層と同じ厚さである。信頼性強化層325の膜厚を増加させるべく、置換反応に続き、さらに貴金属のALDまたはCVDが実行される。置換反応の選択性は完璧であり、かつ潜伏時間の無い、信頼性強化層325から成る貴金属層表面上へ、ALDまたはCVDによる蒸着が続いてすぐに開始されるため、この方法でALDまたはCVDの選択性が強化され、より大きなプロセスウインドウがもたらされる。信頼性強化層325の厚さを増加させるために、さらに選択的ALDまたはCVDが実行されれば、信頼性強化層はILD層302上に突出する。信頼性強化層325全体にわたり、絶縁拡散バリア層330及び他のILD層335が続けて形成される。信頼性強化層325がILD層302より上に突出すれば、信頼性強化層325の突出部は、拡散バリア層330(もしあれば)により包囲されかつ部分的にその内部に陥凹する。銅配線319の上面が信頼性強化層325により覆われており、それが銅原子の拡散及びマイグレーションを防止するため、絶縁拡散バリア層330は省略されてもよい(図7)。この場合、信頼性強化層325がILD層302上に突出すれば、信頼性強化層325はオーバーレイILD335により包囲されかつ部分的にその内部に陥凹する。エッチストップ層のような機能を有する層が絶縁拡散バリア層330の代わりに使用されてもよい。
図8を参照して、本発明のさらに他の好適実施例において、信頼性強化層328は、CVDまたはALDなどの蒸着処理を使って、研磨され絶縁された銅配線319の表面上に選択的に形成される。銅配線319は上部ILD層302内部に陥凹または嵌合した金属である。貴金属のCVDまたはALDの間、実際の蒸着は、絶縁体表面への貴金属前駆体の核生成が遅いために遅れる。この時間遅延は潜伏時間と呼ばれる。金属表面上へのCVDまたはALDにおいて、潜伏時間は非常に短いかまたはゼロである。したがって、CVDまたはALDが、ILD層302またはCMPストップ層(図示せず)上への貴金属蒸着の潜伏時間より短い時間中に実行されれば、貴金属は下部銅配線306の上面に選択的に蒸着される。ある好適実施例において、絶縁拡散バリア層330は、特にそれがエッチストップ層として機能する場合に、信頼性強化層328及びILD層302全体にわたって形成される。例示された実施例において、信頼性強化層328はILD層302の上またはCMPストップ層(図示せず)の上に突出し、オーバーレイ絶縁拡散バリア層330、またはもし在ればオーバーレイILD層(図示せず)により包囲される。信頼性強化層328の底面は、銅配線319が陥凹するところのILD層302(またはCMPストップ層)の上面と実質的に同一面である。本発明の説明のため、任意のCMPストップ層がILD層の一部と考えられる。ある実施例において、付加的なILD層(図示せず)が絶縁拡散バリア層330全体にわたって形成される。他の実施例において、他のILD層が、絶縁拡散バリアをはさむことなく、信頼性強化層328及びILD層302の全体にわたって直接形成される。
ある実施例において、図5〜7に示される銅配線及びコンタクトを形成するべく、電気めっき及び/またはCVDにより、ALDグルー/シード層がバリア層301上に蒸着され、続いてビア及び/またはトレンチが銅で満たされる。この技術は、国際特許出願第PCT/KR02/02468号(国際公開第WO03/056612号)及び米国特許出願第2005/012154号に記載されている。
他の実施例において、銅配線319の上面に形成された信頼性強化層325及び328に加え、図6、7及び8のデュアルダマシン構造は、CVD、ALDまたは金属置換反応のいずれかを使ってビア212の底に形成された、図3及び4の信頼性強化層307及び310と類似の信頼性強化層から成る。
上記実施例の利点は、これに限定されないが、銅配線及びコンタクトから成る半導体デバイスの信頼性を向上させ、ビア及びトレンチ内でのCuのエレクトロマイグレーション及び/または応力誘導マイグレーションを抑制し、かつ銅接触不良を防止することを含む。
気相反応物質を使って、金属体または配線306、317及び319上に貴金属層を選択的に形成するための方法が以下に詳細に説明される。
選択原子層蒸着
他の実施例において、信頼性強化層307及び328のような信頼性強化層がALDを使って選択的に形成される。ALDにより形成された信頼性強化層は、高融点金属、好ましくは貴金属、より好ましくはPt、Au、Ru、Rh、Ir及びPdの群から選択される貴金属から成る。ALD処理を使った貴金属層の形成は、ここに参考文献として組み込む2005年3月15日出願のHuotariらによる米国仮特許出願第60/662,144号に記載されている。ALD処理において、材料組成及び性質が異なる第1表面及び第2表面から成る基板が貴金属(貴金属前駆体)の気相化合物と接触する。第1面は所望の貴金属層を形成するためのALD処理に敏感であるが、第2面は同じALD処理に対して実質的に鈍感である。結果として、貴金属は第2面に比較して第1面上に選択的に蒸着される。図示された実施例において、第1面は特に銅のメタライゼーションであるが、第2表面は金属が陥凹または埋め込まれるところの絶縁体である。
450℃以下の温度で、貴金属は金属及び窒化金属に対するALDにより容易に蒸着される。しかし、それらは炭素及びフッ素ドープlow-k材料を含む酸化シリコン及び窒化シリコンのようなlow-k材料の上には容易に蒸着しない。よって、特定の実施例において、貴金属膜は、銅(Cu)のような金属から成る第1表面上に選択的に蒸着されるが、酸化シリコン、窒化シリコン、酸化窒化シリコン、フッ化シリカガラス(FSG)、炭素ドープ酸化シリコン(SiOC)または50%以上の酸化シリコンを含む材料などのlow-k値材料から成る第2表面上へは蒸着されない。酸化銅層が数個の原子層と同じかそれ以下の膜厚であれば、選択的ALDは銅の自然酸化のような部分的に酸化した銅表面で生じ得る。他の実施例において、信頼性強化層は金属から成る第1表面上に選択的に形成され、絶縁材料から成る第2表面へは蒸着されない。
ALD型処理は、前駆体化学物質の制御された自己停止表面反応に基づいている。気相反応は、前駆体を交互にかつ連続して反応チャンバへ供給することにより避けられる。気相反応は、例えば、過剰な反応物質及び/または反応副産物を反応パルスの間に反応チャンバから除去することにより反応チャンバ内で互いに分離される。これは、真空及び/または不活性ガスパルスまたはパージを使った排気工程により達成される。
端的に言えば、第1表面及び第2表面から成る基板は反応チャンバ内に搬入され、概して低圧下で、適切な蒸着温度まで加熱される。蒸着温度は前駆体熱解離温度以下に維持されるが、反応物質の凝縮を避けかつ所望の表面反応用の活性化エネルギーを与えるのに十分に高いレベルである。もちろん、任意の所定のALD反応に対する適当な温度ウインドウは表面終端及び関連反応種に依存する。ここで、温度は蒸着処理の選択性を保証するのに十分に低く維持される。温度は、以下で詳細に説明するように、好ましくは約450℃以下、より好ましくは約350℃以下である。
第1反応物質が気相パルス形式でチャンバ内に導入され、部分的に組み立てられた基板上の第1及び第2表面と接触する。前駆体のわずか約一つのモノレイヤー(ML)が基板面上に自己停止方式で吸着されるような条件が選択される。余分な第1反応物質及び反応副産物があれば、しばしば窒素またはアルゴンのような不活性ガスのパルス及び/または真空により反応チャンバからパージされる。
第2の気体反応物質がパルス状で反応チャンバ内に導入され、表面に結合した第1反応物質と反応する。好適に、余分な第2反応物質及び表面反応の気相副産物は、不活性ガス及び/または真空の助けを借りて反応チャンバから外部へパージされる。パルス(供給)及びパージ工程は、各サイクルがモノレイヤーだけ残した状態で、所望の厚さの層が基板上に選択的に形成されるまで繰り返される。
上記したように、各サイクルのパルスまたは位相は好適には自己停止である。過剰な反応物質の前駆体が敏感な構造体表面を飽和させるために各位相中に供給される。表面の飽和は(例えば、物理的大きさに立体障害制限及び/または反発相互作用を仮定して)すべての有効な反応サイトを反応物質が占有すること及び優れたステップカバレッジを保証する。
好適実施例に従い、信頼性強化層(貴金属層)は、複数のパルスサイクルから成るALD型処理により、第2表面に比較して第1表面上に選択的に蒸着される。ここで各サイクルは、
基板の第1表面上に貴金属前駆体の層を形成するよう、貴金属化合物(貴金属前駆体)の気相化合物を反応チャンバ内にパルス供給する工程と、
余分な貴金属前駆体及び反応副産物を除去するべく反応チャンバをパージする工程と、
酸素、オゾン、アンモニアまたはアンモニアプラズマ含有ガスのような第2反応物質のパルスを第1及び第2表面上に与える工程と、
余分な第2反応物質及び、基板の第1表面上の貴金属前駆体層と第2反応物質との間の反応で形成されたあらゆる気体副産物を除去するべく、反応チャンバをパージする工程と、
所望の厚さの貴金属層が形成されるまで、パルス供給工程及びパージ工程を繰り返す工程と、
から成る。
適当な貴金属前駆体が当業者により選択される。概して、金属が酸素、窒素、炭素またはそれらの組み合わせと結合しまたは配位結合するところの金属化合物が好ましい。より好適には、メタロセン化合物、ベータ-ジケトン化合物及びアセトアミド化合物が使用される。ある実施例において、シクロペンタジエニル前駆体化合物が使用され、好ましくてはビス(エチルシクロペンタジエニル)化合物である。
ルテニウム薄膜を蒸着する際、好適な金属前駆体は、ビス(シクロペンタジエニル)ルテニウム、トリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオネート)ルテニウム及びトリス(N,N’-ジイソプロピルアセトアミジネート)ルテニウム(III)並びに、ビス(N,N’-ジイソプロピルアセトアミジネート)ルテニウム(II)ジカルボニル、ビス(エチルシクロペンタジエニル)ルテニウム、ビス(ペンタメチルシクロペンタジエニル)ルテニウム及びビス(2,2,6,6-テトラメチル-3,5-ヘプタンジオネート)(1,5-シクロオクタジエン)ルテニウム(II)のようなそれらの誘導体の群より選択される。好適実施例において、前駆体はビス(エチルシクロペンタジエニル)ルテニウム(Ru(EtCp)2)である。
白金膜を蒸着する際、好適な金属前駆体として、(トリメチル)メチルシクロペンタジエニルパラチナ(IV)、白金(II)アセチルアセトネート、ビス(2,2,6,6-テトラメチル-3,5-ヘプタンジオネート)白金(II)及びそれらの誘導体が含まれる。
上記したように、典型的に貴金属ALD処理は、貴金属前駆体及び酸素含有反応物質の交替パルスから成る。酸素含有反応物質パルスは、例えば2原子酸素ガスまたは酸素と他のガスの混合ガスを反応チャンバ内にパルス供給することにより与えられる。ひとつの実施例において、アンモニアプラズマまたはアンモニアが第2反応物質として使用される。他の実施例において、酸素は酸素含有化学物質を解離することによりリアクタ内部で形成される。酸素を生成するべくリアクタ内部で解離する酸素含有物質は、これに限定されないが、H2O2、N2O及び有機過酸化物を含む。これらの物質の混合物が使用されてもよい。他の実施例において、気化したH2O2水溶液のパルスをリアクタ内に導入し、そのパルスをリアクタ内部及びその後反応チャンバ内の触媒表面全体に実行することにより酸素含有パルスの触媒形成が与えられる。好適には、触媒表面は白金またはパラジウム片である。
好適実施例において、酸素含有剤は遊離酸素またはオゾンから成り、より好ましくは酸素分子から成る。好適には、酸素含有剤は純粋な2原子分子酸素であるが、例えば、窒素またはアルゴンなどの不活性ガスと酸素との混合ガスでもよい。
ALD型処理で使用される貴金属前駆体は、標準状態で、固体、液体または気体でありが、貴金属前駆体が反応チャンバ内に導入されかつ基板表面と接触する前には気相状態にあることが要求される。気相前駆体を基板上にパルス供給することは、制限時間中に気相の前駆体がチャンバ内部に導入されることを意味する。典型的に、パルス時間は約0.05秒から10秒である。しかし、基板の種類及び表面積に応じて、パルス時間は10秒以上となり得る。単一ウエハALDリアクタ内のφ300mmウエハに対して、貴金属前駆体は、好ましくは0.05秒から10秒間、より好ましくは0.5秒から3秒間、さらに好ましくは0.5秒から1.0秒間の間パルス供給される。酸素含有前駆体は、好ましくは約0.05秒から10秒間、より好ましくは1秒から5秒間、さらに好ましくは2秒から3秒間の間パルス供給される。基板上に特にアスペクト比の大きい開口部が存在する場合には、パルス時間は分のオーダーとなる。最適なパルス時間は特定条件に基づいて当業者により容易に決定される。
貴金属前駆体の質量流量は当業者により決定される。ひとつの実施例において、φ300mmウエハ上への蒸着に対して、貴金属前駆体の流量は、好ましくは約1sccmから約1000sccm、より好ましくは約100sccmから500sccmである。貴金属前駆体の質量流量はしばしば酸素の質量流量以下であり、好ましくは約10sccmから10000sccm、より好ましくは約100sccmから2000sccm、さらに好ましくは100sccmから1000sccmである。
反応チャンバのパージは、気相前駆体及び/または前駆体同士の反応で形成された気相副産物を、真空ポンプまたは複数のポンプを使って真空によりチャンバを排気すること及び/またはアルゴンまたは窒素のような不活性ガスとリアクタ内のガスを置換することにより、反応チャンバから除去することを意味する。典型的なパージ時間は、約0.05秒と20秒の間、好ましくは約0.5秒と10秒の間、さらに好ましくは約0.5秒と2秒の間である。
反応空間内の圧力は、典型的に約0.01mbarと20mbarの間、好ましくは約1mbarと10mbarの間である。
膜の蒸着を開始する前に、典型的に基板は適当な成長温度に加熱される。金属薄膜の成長温度は、好ましくは450℃以下であり、より好ましくは約150℃と約400℃の間である。好適な蒸着温度は、これに制限されないが、反応物質前駆体、圧力、流量、リアクタの配列、及び、蒸着される材料の性質及び蒸着を避けるべき材料の性質を含む基板の組成などの多くのファクタによって変化する。特定の成長温度は、処理の選択性を最大にするためのルーチン試験を使って当業者により選択される。
処理時間は製造されるべき層の膜厚及び膜の成長速度に依存する。ALDにおいて、薄膜の成長速度は1サイクルあたりの膜厚増加として決定される。1サイクルは前駆体のパルス及びパージ工程から成り、1サイクルの時間間隔は典型的に約0.2秒と30秒の間で、好ましくは約0.5秒と10秒の間であるが、ある場合には分またはそれ以上のオーダーであり得る。
本発明の処理に従う薄膜の蒸着に使用される適当なリアクタの例として、アリゾナ州フェニックスのアメリカASM社製のF-120リアクタ(商標)、Pulsar(商標)リアクタ、及びEmerALD(商標)リアクタのような購入可能なALD装置が含まれる。これらのALDリアクタに加え、適切な器具により取り付けられたCVDリアクタ及び前駆体をパルス供給するための手段を含む、薄膜をALD成長させることが可能な他の多くの種類のリアクタは本発明の処理を実行するために採用され得る。好適には、前駆体用の共有ラインが最少になるように、反応物質は反応チャンバに到着するまで分離状態のままである。しかし、ここに参考文献として組み込む、2004年8月30日出願の米国特許出願第10/929,348号及び2001年4月16日出願の米国特許出願第09/836,674号に記載されるような反応前チャンバを使用するような他の配設も可能である。
付加的に、成長処理は、リアクタまたはクラスターツールに結合された反応空間内で実行される。クラスターツール内で、各反応空間は1種類の処理のために当てられているため、各モジュール内の反応空間の温度は一定に保持される。それにより、各実行前に基板が処理温度まで昇温されるところのリアクタと比較してスループットは明らかに改善する。
選択的化学気相成長
本発明の好適実施例において、信頼性強化層307(図3)及び328(図8)のような信頼性強化層が化学気相成長(CVD)を使って選択的に形成される。CVDにより形成される信頼性強化層は、好ましくは高融点金属、より好ましくは貴金属、さらに好ましくはPt、Au、Ru、Rh、Ir及びPdの群から選択される貴金属から成る。CVDを使った信頼性強化層の選択的形成は、第1表面及び第2表面から成る基板を、貴金属の気相化合物(貴金属前駆体ガス)及び反応物質ガスと同時に接触させる工程を含む。第1表面は所望の貴金属層を形成するためのCVD型処理に敏感であるが、第2面は同じCVD処理に対して実質的に鈍感である。気相化合物の核生成速度が第2面と比べ第1面の方が実質的に大きいため、貴金属層は第1表面上には蒸着されるが第2表面には蒸着されない。例えば、第1表面が銅の上面であり、第2表面がSiO2ベースの絶縁層により形成されていれば、該表面を貴金属前駆体ガス及び反応物質ガスと同時に接触させることで、銅の露出した上面には貴金属が蒸着するが、絶縁層上へは蒸着されない。上記したように、貴金属のCVDにおいて、金属表面への蒸着の潜伏時間は絶縁体表面への蒸着の潜伏時間に比べ非常に短い。したがって、第2表面(典型的に絶縁体)上への貴金属蒸着の潜伏時間より短い時間中にCVDが実行されれば、貴金属は第1表面上に選択的に蒸着される。銅の導電性に影響を及ぼさず及び/または絶縁層の絶縁性を劣化させない程度の微小量の貴金属が絶縁層の表面上に蒸着しても問題はない。
前駆体から貴金属を放出するのを促進するよう貴金属前駆体及び反応ガスの両方が同時に供給されると、ALD用に上記した貴金属前駆体がCVD用に使用される。Ru層の場合を特定して以下で説明する。例えば、信頼性強化層307(図3)のようなルテニウム(Ru)から成る信頼性強化層が、絶縁体及び銅の表面を同時にRu前駆体ガス及び反応物質ガスと接触させることにより、ビア317の底で露出した埋め込み銅配線306の表面全体に選択的に形成される。反応物質ガスは、これに限定されないが、酸素(O2)及びアンモニア(NH3)を含む。以下に説明する前駆体を使用するRu核生成はSiO2のような絶縁材料上では極端に遅い。例えば、好適なCVD反応は、以下の反応を含む。
(1,3-シクロヘキサジエン)Ru(CO)3+NH3→Ru+副産物
Ru(Cp)2+O2→Ru+副産物
Ru(EtCp)2+O2→Ru+副産物
Ru(McCp)(EtCp)+O2→Ru+副産物
CVD反応は、約1秒から約1000秒の時間中に、好ましくは450℃以下、より好ましくは約150℃と約400℃の間で実行される。典型的に、CVD反応は約0.01mbarと約50mbarの間、好ましくは約1mbarと約10mbarの間の圧力で実行される。
貴金属蒸着の前に、ビアの底に存在するあらゆる銅酸化物が、NH3、H2、水素ラジカルまたはアルコール(例えば、C2H5OH)及びカルボン酸(例えば、HCOOH)のような還元有機分子などの反応性クリーニングガスを使って除去される(米国特許第6,921,712号参照)。貴金属蒸着の前に、基板は、NH3、H2、H2とN2の混合ガス、またはそれとHeまたはArなどの不活性ガスとの混合ガスのようなクリーニングガスまたは還元ガスのガス放電プラズマに晒されてもよい。信頼性強化層の選択的形成の際に酸素が使用されれば、貴金属蒸着中に形成された銅の酸化物を還元するために、NH3、H2、水素ラジカル、C2H5OH、HCOOHのような還元有機分子または上記ガス放電プラズマにより、ウエハは続いて処理される。銅酸化物層が数個の原子層と同じかそれ以下の膜厚を有すれば、銅の自然酸化のような部分的に酸化した銅の表面で選択的CVDが起こる。
他の実施例において、信頼性強化層の形成の選択性を向上させるべく、貴金属前駆体ガスは金属エッチング液と同時または断続的に供給される。信頼性強化層が、例えば銅配線またはビアの底で表面に絶縁体が形成されていない露出した銅の上面に選択的に形成される。
選択的置換反応
本発明の好適実施例において、信頼性強化層310(図4及び5)及び325(図6及び7)のような信頼性強化層が金属置換反応を使って形成される。信頼性強化層は、好ましくは高融点金属、より好ましくは貴金属、さらに好ましくはPt、Au、Ru、Ir、及びPdから成る群から選択される貴金属から形成される。金属置換反応において、好適に銅などの金属により画成される第1表面及び絶縁体により画成される第2表面が貴金属の気相化合物と接触する。第1表面からの金属原子は貴金属原子により置換され、それにより第2表面と比較して第1表面上に貴金属層が蒸着される。この処理は第1表面を画成する金属(例えば、Cu)の気相化合物の生成と同時に起こる。
Cu置換反応は、ウエハ上に形成された銅の露出面上に排他的に、貴金属の反応性気相化合物から成る貴金属を選択的かつ自己停止的に蒸着させ、その結果貴金属はCuと置換する。反応は、表面を形成する銅原子が気相化合物に近づけなくなるまで続けられる。以下の金属置換反応により絶縁層上には金属の蒸着はない。
ML(気体)+Cu(固体)→M(固体)+CuL(気体)
ここで、Mは金属化合物または複数の金属の化合物を表し、Lは配位子または複数の配位子を表し、銅の露出面上でのみ反応が起こる。CuLはCu置換反応で生成された配位子(L)から成る気相化合物である。置換反応は、Cu表面を貴金属の気相化合物と接触させることにより進行する。結果として、Cuの露出面はMで置換される。この置換反応は、Mがより高い標準電極ポテンシャルを有するか、Cuよりよりノーブルである場合に起こる。よって、MはCuほど簡単に拡散せず、Cu配線間またはCu配線表面に沿ったCuのマイグレーションが抑制される。M層は絶縁層と組み合わせてCuバリア層として作用する。
好適実施例において、MはPt、Au、Ru、Ir、及びPdの群から選択される貴金属である。他の実施例において、置換される金属より高い標準電極ポテンシャルを有する他の金属が使用可能である。MがCuより高い標準電極ポテンシャルを有すれば、CuよりノーブルなMの酸化形式はCuから電子を取った金属イオンである。例えば、Cu/Cu+、Pt/Pt2+、及びAu/Au+の標準電極ポテンシャルE°は以下の通りである。
Cu/Cu+:E°=+0.52V
Pt/Pt2+:E°=+1.18V
Au/Au+:E°=+1.69V
MがCuより低い標準電極ポテンシャルを有すれば、反応は反対方向に生じやすくなる。
CVDリアクタ内で使用されるよう揮発性の配位子であるLは、表面から揮発性分子としてCu含有副産物を除去するべく揮発性Cu化合物を形成する少なくともひとつの配位子を含み、自由分子として除去される他の中性配位子を含む。例えば、Lは、これに限定されないが、一般式R3C-C(O)-CH-C(O)-CR3またはR-N-C(R)-N-R(ここでRは、アルキル、水素、またはハロゲン)を有するベータジケトネートまたはアミジネート配位子である。例として、これに限定されないが、ヘキサフルオロアセチルアセトネート(hfac):F3C-C(O)-CH-C(O)-CF3;アセチルアセトネート(acac):H3C-C(O)-CH-C(O)-CH3;及び2,2,6,6-テトラメチル-3,5-ヘプタンジオネート(tmhd):(CH3)3C-C(O)-CH-C(O)-C(CH3)3(ときどきtmhdはdpmと呼ばれる);及びN,N’-ジイソプロピルアセトアミジネート:(CH3)2CH-N-CR-N-CH(CH3)2(ここでRは例えば、ブチルまたはメチル基)などが含まれる。
例えば、好適なML及び置換反応は、
1)ビス(2,2,6,6-テトラメチル-3,5-ヘプタンジオネート)(1,5-シクロオクタジエン)ルテニウム(II)[(tmhd)2Ru(COD)]:
Cu+(tmhd)2Ru(COD)→Cu(tmhd)2↑+Ru+COD
2)ルテニウム(III)アセチルアセトネート[Ru(acac)3]:
3Cu+2Ru(acac)3→3Cu(acac)2↑+2Ru
3)トリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオネート)ルテニウム(III)[Ru(tmhd)3]:
3Cu+2Ru(tmhd)3→3Cu(tmhd)2↑+2Ru
4)トリス(N,N’-ジイソプロピルアセトアミジネート)ルテニウム(III)[Ru(iPr-amd)3]:
3Cu+2Ru(iPr-amd)3→3Cu(iPr-amd)2↑+2Ru
5)ビス(N,N’-ジイソプロピルアセトアミジネート)ルテニウム(II)ジカルボニル[Ru(iPr-amd)2(CO)2]:
Cu+Ru(iPr-amd)2(CO)2→Cu(iPr-amd)2(CO)2↑+Ru
6)1,5-シクロオクタジエン(アセチルアセトネート)イリジウム(I)[(acac)Ir(COD)]:
Cu+2(acac)Ir(COD)→Cu(acac)2↑+2Ir+2COD
7)ジカルボニル(アセチルアセトネート)イリジウム(I)[(acac)Ir(CO)2]:
Cu+2(acac)Ir(CO2)→Cu(acac)2↑+2Ir+2CO
8)イリジウム(III)アセチルアセトネート[Ir(acac)3]:
3Cu+2Ir(acac)3→3Cu(acac)2↑+2Ir
9)白金(II)ヘキサフルオロアセチルアセトネート[Pt(hfac)2]:
Cu+Pt(hfac)2→Cu(hfac)2↑+Pt
10)パラジウム(II)ヘキサフルオロアセチルアセトネート[Pd(hfac)2]:
Cu+Pd(hfac)2→Cu(hfac)2↑+Pd
11)(アセチルアセトネート)ビス(シクロオクテン)ロジウム(I)[(acac)Rh(cyclooctene)]:
Cu+2(acac)Rh(cyclooctene)2→Cu(acac)2↑+2Rh+4cyclooctene
12)(アセチルアセトネート)ビス(エチレン)ロジウム(I)[(acac)Rh(CH2=CH2)2]:
Cu+2(acac)Rh(CH2=CH2)2→Cu(acac)2↑+2Rh+4CH2=CH2
13)アセチルアセトネート(1,5-シクロオクタジエン)ロジウム(I)[(acac)Rh(COD)]:
Cu+2(acac)Rh(COD)→Cu(acac)2↑+2Rh+2COD
14)ロジウム(III)アセチルアセトネート[Rh(acac)3]:
3Cu+2Rh(acac)3→3Cu(acac)2↑+2Rh
図6に示される信頼性強化層325のような、銅配線の露出面上に信頼性強化層(銅キャップ層)を選択的に形成するために銅置換反応(置換処理)を使用する代表的処理を説明する。以下で説明する処理は、図4のデュアルダマシン構造のトレンチの底での信頼性強化層310のような、ビアまたはトレンチの底の金属配線上に信頼性強化層を選択的に形成することに応用できる。置換処理は、これに限定されないが、以下の好適実施例を含む。
本発明のひとつの実施例において、Cu置換処理は、プラズマCVDリアクタ及び熱CVDリアクタを含む化学気相成長(CVD)リアクタ(例えば、コールドウォール、またはホットウォールタイプ)で実行される。あらゆるCVDリアクタが構造を修正することなく使用可能である。CVDリアクタは枚葉式または複数のウエハを処理するバッチ式のいずれでもよい。
CVD装置は、ガス吸気口、真空チャンバ、ウエハ支持体、制御された圧力及び雰囲気(大気圧以下または以上)を与えるための圧力制御器及びポンプを含む通常のCVDセットアップが基本である。このような装置は、銅置換反応の前に所望される、汚染物質及び酸化銅(CuO)を除去するための脱ガス、ラジカル被ばく及び/またはプラズマのような、表面処理に応用可能な通常の付加的処理を有しかつ使用することが可能である。酸化銅層が数個の原子層程度の厚さであれば、置換反応は、銅の自然酸化膜のような部分的に酸化した銅表面上で起きる。
ダマシン手法において、絶縁層はウエハ上に蒸着され、その後ビア及び/またはトレンチを形成するべくエッチングされ、そこにCu相互接続が形成される。しばしば、過剰なCu層が電気化学蒸着(ECD)などによりビア及び/またはトレンチ全体に蒸着される。通常、余分または過剰なCu層は化学機械的研磨(CMP)により除去され、その後Cu層の相互接続が完成されCu相互接続の上面が露出する。露出した銅相互接続を含むウエハは加熱された真空チャンバ内の支持体上に載置される。
好適実施例において、枚葉式の場合、ウエハが支持体上に載置された後、チャンバは閉止され、所定圧力(例えば、約10−6barから約5bar、好ましくは約0.01mbarから約20mbarの範囲)で不活性または反応性の所定の混合ガスにより満たされる。ウエハ温度は約室温から約450℃、好ましくは室温から約400℃(200℃、300℃、及びそれらの2数間の範囲を含む)に設定される。温度は以下の基準、すなわち、1)貴金属前駆体化合物及び銅副産物化合物の両方の金属化合物が反応温度において揮発性であること、2)露出面上のすべてのCu原子が実用上30分以内に置換されるような、かなり速い反応を生じさせるのに十分に高温であり、3)400℃以下の温度のような半導体デバイス製造に関するバックエンドオブライン(BEOL)メタライゼーション応用に対して適した温度であること、に基づいて選択される。
銅置換反応用の表面を準備するために上記前処理または他の前処理の後、またはこのような前処理をせずに、Cu置換反応が上記温度で開始される。
銅置換反応の間、リアクタチャンバの圧力は、約10−6barから約5barの範囲、好ましくは約0.01mbarから約20mbarの範囲に調節される。それは、蒸気圧の低い前駆体(すなわち、貴金属の気相化合物)が供給できる圧力である。処理チャンバへ流すように設定された混合ガスは、金属及び反応配位子(例えば、Ru(acac)3)から成る反応性化学物質のみを含むか、または反応性化学物質及び不活性ガスまたは不活性ガスの混合ガスであるキャリアガスを含む。
反応性化学物質の流量は、約10−3sccmから10slm、好ましくは約1sccmから約5slm(10、50、100、250、500、1000、2500sccm、及びこれら任意の2数間の範囲を含む)の間で変化する。チャンバが連続的または断続的に排気されるに従い、流量が連続的または断続的に適用される。
不活性ガスは、真空処理中、反応性化学物質と別個に使用されるかまたは反応性化学物質と一緒に使用される。不活性ガスは、これに限定されないが、Ar、N2、He、またはこれらの混合ガスを含む(好ましくは、ArまたはN2)。例えば、約0.5slmから約5slmの範囲でCVDチャンバを満たし、例えば、約0.5slmから約5slmの範囲でCVDチャンバをパージし、または、例えば、約0.5slmから約5slmの範囲でより少ない揮発性前駆体を移送することができる、あらゆる不活性ガスが使用される。不活性ガスは置換反応には無関係である。不活性ガスが反応性化学物質と混合されると、不活性ガスに対する反応性化学物質の流量比は1:100,000から10:1、好ましくは1:100から1:1の範囲である。
信頼性強化層形成前または最中に金属表面をクリーニングするための反応性クリーニングまたは還元ガスは、これに限定されないが、NH3、H2、水素ラジカル及び、アルコール(例えば、C2H5OH)及びカルボン酸(例えば、HCOOH)のような還元性有機分子を含む。銅表面が空気または酸素含有環境に晒されたなら、Cuの上面に形成される銅酸化物を還元することができるあらゆる反応性クリーニングガスが使用可能である。銅に付着した銅酸化物を還元することにより置換反応のための銅表面を準備するために、Ru前駆体のような気相化合物(蒸着前駆体)を供給する前に、NH3、H2、水素ラジカルまたはC2H5OH及びHCOOHのような還元有機分子の反応性ガスが、例えば、約0.5slmから約5slmの範囲で供給される。他に、酸化物クリーニング(還元またはエッチング、好ましくは還元)用の反応性クリーニングガスが、Cu置換処理による選択的形成のための蒸着前駆体とともに連続的に供給されてもよい。
反応副産物(例えば、揮発性Cu化合物及び配位子として金属に結合された自由分子)の除去を促進しまたはウエハ表面の反応性をより高めるために、ウエハはミリ秒(ms)から数秒のオーダー、または数十msから数百msのオーダーの周期で、順に反応性/不活性キャリアガスとともに適切な化学物質に晒される。反応副産物が表面から除去されなければ、それは表面を覆って反応を妨げる。副産物を除去することは、表面を処理開始時と同じ反応性状態に維持することである。好適実施例において、貴金属が反応の進行とともにCu表面を覆う。しかし、好適な方法は、ウエハ近傍から反応副産物を除去するために一定流量の不活性ガスとともに反応性化学物質へウエハを連続的に晒すことである。総被ばく時間は、約0.1秒から約1800秒、好ましくは約1秒から約300秒の間で変化する。
実施例として、置換反応用にPd(hfac)2が使用された。Pd(hfac)2は約40℃と約80℃の間の温度で気化され、約50sccmと約1000sccmの間の流量のN2ガスにより運ばれた。基板は約80℃と約200℃の間の温度に維持され、Pd(hfac)2気体に1秒から1800秒の間晒される。
置換反応は、すべての銅の露出面が反応性化学物質と反応し、反応が完了するまで続けられる。反応は、貴金属の気相化合物への被ばくにより、銅表面に貴金属層を選択的かつ自己停止的に形成する。最終的に、Cu表面は貴金属により完全に覆われ、蒸着前駆体はCuに到達できず、反応はそれ以上起こらない。この方法の利点は、Cuを過剰に消費することなく貴金属によりCu表面をカバーまたは保護することである。好適実施例において、Cu表面には貴金属のみが現れ、Cuの露出面すべてが貴金属により置換されるまで反応の進行を維持するために、他のすべての反応副産物はそこから除去される。
典型的に、生成された貴金属層は一個の原子層より厚く、Cuを完全に覆うのに最小量の厚さである。最大膜厚は、温度、前駆体の性質及び他の条件に応じて決定される。より小さいまたはより拡散する前駆体及びより高温では、置換反応において貴金属層の膜厚をより厚くする傾向がある。
上記すべての実施例において、ひとつの実施例で使用された任意のエレメントは、実行可能である限り、他の実施例でも相互に交換して使用可能である。また、本発明は装置及び方法に対して等しく適用可能である。
本発明の思想から離れることなくさまざまな修正が可能であることは当業者の知るところである。例えば、キャップまたは信頼性強化層は同一の貴金属の化合物または合金により画成されてもよい。他の実施例として、信頼性強化層はコンタクト及びランディングパッドの上面に選択的に形成され得る。したがって、ここに示された本発明の形式は例示に過ぎず、発明の態様を制限するものではない。すべての修正及び変更は特許請求の範囲に記載された発明の態様の範囲に包含される。
図1は、従来技術に従い、スパッタリングにより拡散バリア層を蒸着した後のデュアルダマシン構造の略示図である。 図2は、従来技術に従い、ALDにより拡散バリア層を蒸着した後のデュアルダマシン構造の略示図である。 図3は、本発明の好適実施例に従う、ビアの底全体に選択的に形成された信頼性強化層から成るデュアルダマシン構造の略示図である。 図4は、本発明の好適実施例に従う、ビアの底全体に選択的に形成された信頼性強化層から成るデュアルダマシン構造であって、銅配線のような下層金属内に信頼性強化層が陥凹するところの構造の略示図である。 図5は、本発明の好適実施例に従う、銅などの金属でビア及びトレンチを満たしかつ構造体全体に絶縁拡散バリア層を形成した後の図4のデュアルダマシン構造の略示図である。 図6は、本発明の好適実施例に従う、銅などの金属でビア及びトレンチを満たした、置換反応により銅全体に信頼性強化層を選択的に形成し、構造体全体に絶縁拡散バリア層を形成し、かつ絶縁拡散バリア全体に層間絶縁膜(ILD)を形成した後の、図2のデュアルダマシン構造の略示図である。 図7は、本発明の好適実施例に従う、銅などの金属でビア及びトレンチを満たし、置換反応により金属全体に信頼性強化層を選択的に形成し、構造体全体に層間絶縁膜(ILD)を形成した後の、図2のデュアルダマシン構造の略示図である。 図8は、本発明の好適実施例に従う、銅などの金属でビア及びトレンチを満たし、金属全体に信頼性強化層を選択的に蒸着し、構造体全体に絶縁拡散バリア層を形成した後の、図2のデュアルダマシン構造の略示図である。

Claims (2)

  1. 集積回路中に導電層を選択的に形成するための方法であって、
    銅から成る第1表面、及び絶縁材料から成る第2表面を与える工程と、
    前記第1表面及び前記第2表面を貴金属の気相化合物と接触させ、それによって前記貴金属から成る導電層を前記第2表面と比較して前記第1表面上に選択的に形成するところの工程と、から成り、
    前記導電層を選択的に形成する工程は、前記第1表面の原子を前記貴金属で置換する工程から成り、さらに、原子層蒸着(ALD)または化学気相成長(CVD)により、付加的貴金属を、置換により形成された前記貴金属上に選択的に蒸着する工程を含む、ところの方法
  2. 請求項1に記載の方法であって、前記貴金属は、Pt、Au、Ru、Ir及びPdの群から選択される、ところの方法。
JP2005309116A 2004-10-26 2005-10-24 集積回路内での金属層の選択的形成 Active JP5057355B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US62214104P 2004-10-26 2004-10-26
US60/622,141 2004-10-26
US66214405P 2005-03-15 2005-03-15
US60/662,144 2005-03-15

Publications (3)

Publication Number Publication Date
JP2006128680A JP2006128680A (ja) 2006-05-18
JP2006128680A5 JP2006128680A5 (ja) 2008-12-04
JP5057355B2 true JP5057355B2 (ja) 2012-10-24

Family

ID=36722966

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005309116A Active JP5057355B2 (ja) 2004-10-26 2005-10-24 集積回路内での金属層の選択的形成

Country Status (2)

Country Link
US (1) US7476618B2 (ja)
JP (1) JP5057355B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220152480A (ko) 2021-05-07 2022-11-16 (주)원익머트리얼즈 루테늄 박막의 선택적 증착 방법

Families Citing this family (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1144942B (it) * 1981-11-18 1986-10-29 Alberto Piaggi Procedimento per la fabbricazione di specifici prodotti per la conservazione, il trasporto, la preparazione ed il consumo di cibi e bevande e rispettivi prodotti ottenuti con tali procedimenti, quali ad esempio, piatti piani e fondi coppe e coppette, bicchieri, e simili
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7491634B2 (en) * 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US20030036242A1 (en) * 2001-08-16 2003-02-20 Haining Yang Methods of forming metal-comprising materials and capacitor electrodes; and capacitor constructions
US7270848B2 (en) * 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
CN1983550A (zh) * 2005-12-14 2007-06-20 中芯国际集成电路制造(上海)有限公司 提高可靠性和成品率的消除铜位错的方法
US7495239B2 (en) * 2005-12-22 2009-02-24 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US7504643B2 (en) * 2005-12-22 2009-03-17 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US20070246830A1 (en) * 2006-04-21 2007-10-25 Toshiba America Electronic Components, Inc. Long-lifetime interconnect structure and method for making
KR100774642B1 (ko) * 2006-07-18 2007-11-08 동부일렉트로닉스 주식회사 반도체 소자의 구리배선 형성방법
DE102006035996A1 (de) * 2006-08-02 2008-02-07 Bayer Technology Services Gmbh Optische Messsonde zur Prozessüberwachung
US8916232B2 (en) * 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR101427142B1 (ko) 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 금속 규산염 막의 원자층 증착
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
DE102006056626A1 (de) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
US20080242088A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Method of forming low resistivity copper film structures
US20080264774A1 (en) * 2007-04-25 2008-10-30 Semitool, Inc. Method for electrochemically depositing metal onto a microelectronic workpiece
US20090028745A1 (en) * 2007-07-24 2009-01-29 Julien Gatineau Ruthenium precursor with two differing ligands for use in semiconductor applications
TWI425110B (zh) * 2007-07-24 2014-02-01 Sigma Aldrich Co 以化學相沉積法製造含金屬薄膜之方法
TWI382987B (zh) * 2007-07-24 2013-01-21 Sigma Aldrich Co 應用於化學相沉積製程的有機金屬前驅物
US7829454B2 (en) * 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
US7704879B2 (en) * 2007-09-27 2010-04-27 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US7737028B2 (en) * 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7884012B2 (en) * 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US8764961B2 (en) * 2008-01-15 2014-07-01 Applied Materials, Inc. Cu surface plasma treatment to improve gapfill window
US7776740B2 (en) * 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7998864B2 (en) * 2008-01-29 2011-08-16 International Business Machines Corporation Noble metal cap for interconnect structures
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7834457B2 (en) * 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US8043976B2 (en) * 2008-03-24 2011-10-25 Air Products And Chemicals, Inc. Adhesion to copper and copper electromigration resistance
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
US8288274B2 (en) * 2008-04-21 2012-10-16 Hynix Semiconductor Inc. Method of forming noble metal layer using ozone reaction gas
US7964497B2 (en) * 2008-06-27 2011-06-21 International Business Machines Corporation Structure to facilitate plating into high aspect ratio vias
US7799681B2 (en) * 2008-07-15 2010-09-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer
US8994179B2 (en) 2008-08-29 2015-03-31 Infineon Technologies Ag Semiconductor device and method for making same
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
US8823176B2 (en) * 2008-10-08 2014-09-02 International Business Machines Corporation Discontinuous/non-uniform metal cap structure and process for interconnect integration
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7977235B2 (en) * 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
US8716132B2 (en) * 2009-02-13 2014-05-06 Tokyo Electron Limited Radiation-assisted selective deposition of metal-containing cap layers
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
JP5788274B2 (ja) 2011-09-14 2015-09-30 ルネサスエレクトロニクス株式会社 抵抗変化型不揮発記憶装置、半導体装置及び抵抗変化型不揮発記憶装置の製造方法
US8921228B2 (en) * 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8916469B2 (en) * 2013-03-12 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating copper damascene
US9343749B2 (en) 2013-05-29 2016-05-17 Ford Global Technologies, Llc Ultrathin platinum films
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
WO2015195080A1 (en) * 2014-06-16 2015-12-23 Intel Corporation Selective diffusion barrier between metals of an integrated circuit device
US10147613B2 (en) * 2014-06-30 2018-12-04 Tokyo Electron Limited Neutral beam etching of Cu-containing layers in an organic compound gas environment
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
JP6591848B2 (ja) * 2015-09-30 2019-10-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9659864B2 (en) * 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9768063B1 (en) * 2016-06-30 2017-09-19 Lam Research Corporation Dual damascene fill
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US20190040542A1 (en) * 2017-04-25 2019-02-07 Guangdong University Of Technology Surface modification device based on electrophoresis-assisted micro-nano particle melting and self-assembly
US9953927B1 (en) * 2017-04-26 2018-04-24 Globalfoundries Inc. Liner replacements for interconnect openings
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US9947582B1 (en) 2017-06-02 2018-04-17 Asm Ip Holding B.V. Processes for preventing oxidation of metal thin films
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP2019062142A (ja) * 2017-09-28 2019-04-18 東京エレクトロン株式会社 選択成膜方法および半導体装置の製造方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR102449895B1 (ko) 2018-05-18 2022-09-30 삼성전자주식회사 반도체 장치와 그 제조 방법
US10741442B2 (en) * 2018-05-31 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer formation for conductive feature
US10580696B1 (en) 2018-08-21 2020-03-03 Globalfoundries Inc. Interconnects formed by a metal displacement reaction
JP7182970B2 (ja) * 2018-09-20 2022-12-05 東京エレクトロン株式会社 埋め込み方法及び処理システム
US11037799B2 (en) 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd Metal heterojunction structure with capping metal layer
US10914008B2 (en) * 2018-09-27 2021-02-09 Imec Vzw Method and solution for forming interconnects
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139202B2 (en) 2019-09-27 2021-10-05 International Business Machines Corporation Fully aligned top vias with replacement metal lines
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
US11842961B2 (en) 2021-08-26 2023-12-12 International Business Machines Corporation Advanced metal interconnects with a replacement metal

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0225568A (ja) * 1988-07-15 1990-01-29 Hitachi Ltd 微細孔の金属穴埋め方法
JPH08264538A (ja) * 1995-03-28 1996-10-11 Sumitomo Metal Ind Ltd 配線の形成方法
US6015986A (en) 1995-12-22 2000-01-18 Micron Technology, Inc. Rugged metal electrodes for metal-insulator-metal capacitors
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
DE19703205A1 (de) * 1997-01-29 1998-07-30 Siemens Ag Verfahren zum Erzeugen einer edelmetallhaltigen Struktur auf einer Unterlage und Halbleiterbauelement mit einer solchen edelmetallhaltigen Struktur
JP3409831B2 (ja) * 1997-02-14 2003-05-26 日本電信電話株式会社 半導体装置の配線構造の製造方法
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
AU2001260374A1 (en) * 2000-05-15 2001-11-26 Asm Microchemistry Oy Process for producing integrated circuits
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6455424B1 (en) 2000-08-07 2002-09-24 Micron Technology, Inc. Selective cap layers over recessed polysilicon plugs
JP2002190517A (ja) * 2000-12-20 2002-07-05 Toshiba Corp 半導体装置及びその製造方法
KR100805843B1 (ko) 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
JP2003243393A (ja) * 2002-02-18 2003-08-29 Sony Corp 半導体装置及びその製造方法
US7404985B2 (en) * 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
JP2004039916A (ja) * 2002-07-04 2004-02-05 Nec Electronics Corp 半導体装置およびその製造方法
JP4451097B2 (ja) * 2002-10-17 2010-04-14 東京エレクトロン株式会社 成膜方法
JP3992588B2 (ja) * 2002-10-23 2007-10-17 東京エレクトロン株式会社 成膜方法
US6955986B2 (en) * 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
JP4009550B2 (ja) * 2003-03-27 2007-11-14 エルピーダメモリ株式会社 金属酸化膜の形成方法
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20060177601A1 (en) 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220152480A (ko) 2021-05-07 2022-11-16 (주)원익머트리얼즈 루테늄 박막의 선택적 증착 방법

Also Published As

Publication number Publication date
JP2006128680A (ja) 2006-05-18
US20060121733A1 (en) 2006-06-08
US7476618B2 (en) 2009-01-13

Similar Documents

Publication Publication Date Title
JP5057355B2 (ja) 集積回路内での金属層の選択的形成
KR100805843B1 (ko) 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US8178439B2 (en) Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US7405143B2 (en) Method for fabricating a seed layer
JP4043785B2 (ja) 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法
CN106024598B (zh) 于阻障表面上的钴沉积
TWI459508B (zh) 用於互連的界面覆蓋層
US8242015B2 (en) Film forming method and film forming apparatus
US7648899B1 (en) Interfacial layers for electromigration resistance improvement in damascene interconnects
JP5773306B2 (ja) 半導体素子構造を形成する方法および装置
US7067407B2 (en) Method of growing electrical conductors
US6464779B1 (en) Copper atomic layer chemical vapor desposition
US8222746B2 (en) Noble metal barrier layers
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US20080081464A1 (en) Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080078325A1 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
US20100248473A1 (en) Selective deposition of metal-containing cap layers for semiconductor devices
US20060240187A1 (en) Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7566661B2 (en) Electroless treatment of noble metal barrier and adhesion layer
KR20100116631A (ko) 선택적 저온 루테늄 증착을 반도체 디바이스의 구리 금속 배선에 통합하는 방법
US7858525B2 (en) Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
US7041596B1 (en) Surface treatment using iodine plasma to improve metal deposition
US20070207611A1 (en) Noble metal precursors for copper barrier and seed layer
TW201445002A (zh) 用於氮化錳整合之方法

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081017

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081017

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110805

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110815

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120523

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120725

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120725

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150810

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5057355

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250