TW202414590A - 非揮發性金屬的無氧蝕刻 - Google Patents

非揮發性金屬的無氧蝕刻 Download PDF

Info

Publication number
TW202414590A
TW202414590A TW112119690A TW112119690A TW202414590A TW 202414590 A TW202414590 A TW 202414590A TW 112119690 A TW112119690 A TW 112119690A TW 112119690 A TW112119690 A TW 112119690A TW 202414590 A TW202414590 A TW 202414590A
Authority
TW
Taiwan
Prior art keywords
substrate
halogen
processing method
oxygen
metal
Prior art date
Application number
TW112119690A
Other languages
English (en)
Inventor
史蒂芬妮 歐有拉雷諾索
伊芙 奧圖四世
琪 王
艾倫 莫斯登
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202414590A publication Critical patent/TW202414590A/zh

Links

Images

Abstract

一種基板處理方法,包括:在基板的釕(Ru)金屬層上方形成蝕刻遮罩,該蝕刻遮罩使該Ru金屬層的第一部分暴露,以及覆蓋該Ru金屬層的第二部分;在處理腔室中將該Ru金屬層的該第一部分轉化為揮發性Ru蝕刻產物,該轉化係包括將該基板的該Ru金屬層暴露至含鹵素蒸汽,以及暴露至配位基交換試劑以形成該揮發性Ru蝕刻產物,其中該轉化係無氧處理。

Description

非揮發性金屬的無氧蝕刻
本揭示大致上係關於基板處理方法,而在特定實施例中係關於非揮發性金屬的無氧蝕刻。 [相關申請案的交互參照]
本申請案係主張2022年5月27日提出申請的美國非臨時申請案第17/826,236號的優先權,而該申請案係作為參考文獻而引進本文。
一般情況下,用於電子產品,如手機、數位相機和電腦的半導體裝置是藉由以下步驟而製造:在半導體基板上方依序沉積介電質層、導電層或半導體材料層,並且對該等層進行圖案化,以及使用光微影和蝕刻以形成作為電路構件(例如,電晶體、電阻器和電容器)和內連元件(例如,導線、接點和通孔)的結構。由於對低價電子產品的需求,半導體產業已經多次利用微影術的創新(例如,浸入式微影術和多重圖案化)而使半導體裝置的最小特徵尺寸縮小到數奈米,以增加構件的封裝密度,從而降低積體電路(IC)的成本。藉由使用三維(3D)結構(例如,鰭式場效電晶體(FinFET)),以及在相繼內連層級之間的層中將例如記憶儲存元件(例如,鐵電電容器、磁隧道接面(MTJ)等)和精密被動構件(例如,薄膜電阻器(TFR)和金屬-絕緣體-金屬(MIM)電容器)的電子元件進行堆疊,從而實現密度的進一步提高和成本的降低。
電漿處理技術,例如反應性離子蝕刻(RIE)、電漿增強化學氣相沉積(PECVD)、電漿增強原子層蝕刻和沉積(PEALE 和 PEALD)、濺射蝕刻、物理氣相沉積(PVD)和循環式蝕刻-沉積(例如,Bosch蝕刻處理)已經成為IC製造中不可或缺的技術。IC製造中使用的材料的多樣性,包括半導體、絕緣體(包括SiO 2、Si 3N 4、高k閘極介電質和低k介電質)、磁性和鐵電膜,以及用於內連和電極的金屬使得電漿處理和通常製造處裡的發展成為挑戰。對於數奈米的縮小化使此一挑戰加劇。此外,在小於20奈米的特徵尺寸下引進非傳統材料(例如,Co和Ru)可能會在發展與傳統矽IC製造相容的期望蝕刻和沉積處理方面造成新的問題。
根據本發明的實施例,一種基板處理方法係包括:在基板的釕(Ru)金屬層上方形成蝕刻遮罩,該蝕刻遮罩使該Ru金屬層的第一部分暴露,以及覆蓋該Ru金屬層的第二部分;在處理腔室中將該Ru金屬層的該第一部分轉化為揮發性Ru蝕刻產物,該轉化係包括將該基板的該Ru金屬層暴露至含鹵素蒸汽,以及暴露至配位基交換試劑以形成該揮發性Ru蝕刻產物,其中該轉化係無氧處理。
根據本發明的實施例,一種基板處理方法係包括:執行無電漿且無氧蝕刻處理,該執行係包括將包含釕(Ru)金屬的該基板暴露至處理氣體混合物,該處理氣體混合物係包括第一含鹵素氣體及第二含鹵素氣體,該第二含鹵素氣體所包括的鹵素係與該第一含鹵素氣體的鹵素不同。
根據本發明的實施例,一種基板處理方法係包括:將該基板裝載於處理腔室中,該基板係包括非揮發性金屬層、氧化物層及介電質層,該氧化物層係包括該非揮發性金屬的氧化物,該基板的表面係包括該氧化物層及該介電質層;藉由將該基板暴露於處理氣體以執行預處理,以去除該氧化物層且暴露該非揮發性金屬層;藉由下列步驟以執行對該介電質層具選擇性的非電漿無氧蝕刻處理:在該處理腔室中將該基板暴露於氯(Cl 2),其中該Cl 2係與該非揮發性金屬反應而形成中間體;以及在該處理腔室中將該基板暴露於配位基交換試劑,該配位基交換試劑係與該中間體反應而形成揮發性產物,以將該非揮發性金屬從該基板的該表面去除。
本申請案涉及一種蝕刻非揮發性金屬的方法,特別是一種基於鹵素的無氧蝕刻處理,其可以蝕刻如釕(Ru)的非揮發性金屬,釕是一種實用的新式材料,但在傳統半導體裝置製造處理中仍然具有挑戰性。隨著半導體裝置的特徵部尺寸的微縮化,傳統銅(Cu)線和鎢(W)接點的內連-RC延遲可能會限制小尺寸的數位電路的速度。在10奈米以下的節點下,正在引進新式材料以取代密集的銅線和鎢接點。Ru金屬是在這些及其他應用中用於替代銅和鎢的主要候選材料。例如,運用鹵素和氧化學品可以進行Ru的電漿蝕刻。然而,目前可用的Ru電漿蝕刻處理對於該些裝置中使用的其他材料,例如低k介電質的選擇性不足。因此,可能需要一種新的蝕刻方法。本申請案的實施例揭示一種用於非揮發性金屬的無氧蝕刻方法。此外,這些方法也可以在缺乏電漿的情況下執行。本案的發明人已展示在不使用氧氣或電漿的情況下蝕刻Ru金屬的能力,而這可以勝過傳統方法的重大優勢,因為氧物質和電漿條件通常會對低k介電質材料造成嚴重損害。
本揭示中所描述的方法可以有優勢地能夠在各種後端製程(BEOL)處理的一部分時蝕刻例如釕的非揮發性金屬,其中該蝕刻可能需要對低k介電質具有選擇性。在各種實施例中,無氧的非電漿蝕刻處理可以用於製造完全自對準通孔(FSAV)、釕內連線、釕接點和通孔等。雖然本揭示主要描述Ru的蝕刻,但也可以使用這些方法蝕刻其他非揮發性金屬。這些金屬包括但不限於鋨(Os)、鎳(Ni)、鉬(Mo)、鈮(Nb)、鎢(W)和鉿(Hf)。
在下方敘述中,首先參照圖1A-1D的各種實施例而描述多步驟無氧蝕刻處理。接著,參照圖2描述連續處理的替代實施例。利用無氧蝕刻處理進行Ru圖案化的兩個示例BEOL處理分別繪示在圖3A-3D和4A-4C中。示例處理流程圖繪示在圖5A-5C中。本揭示中的所有圖式均僅為說明目的而繪製,且不按比例繪製,包括特徵部的深寬比。
圖1A-1D繪示根據各種實施例的在包括無氧蝕刻處理的製造處理期間的示例基板100的橫截面圖。
圖1A繪示剛輸入的基板100,其包括Ru金屬層110和表面Ru氧化物層120。
在各種實施例中,該基板100可以是半導體裝置的一部分,或是包括半導體裝置,並且可能已接受在例如習知處理之後的一系列處理步驟。因此,該基板100可以包括在各種微電子元件中實用的半導體層。例如,該半導體結構可以包括內部形成各種裝置區域的基板100。
在一或多個實施例中,該基板100可以是矽晶圓或絕緣體上矽(SOI)晶圓。在某些實施例中,該基板100可以包括矽鍺、碳化矽、砷化鎵、氮化鎵及其他化合物半導體。在其他實施例中,基板100包括異質層,例如矽上矽鍺、矽上氮化鎵、矽上碳化矽,以及矽或SOI基板上矽層。在各種實施例中,該基板100可以被圖案化或嵌入該半導體裝置的其他構件中。
可以使用適當的沉積技術,例如氣相沉積,包括化學氣相沉積(CVD)、物理氣相沉積(PVD)、濺鍍和其他處理以在基板上方沉積Ru金屬,以形成Ru金屬層110。在某些實施例中,如圖1A所繪示,Ru金屬層110上可能會存在表面Ru氧化物層120,該表面Ru氧化物層120可以是本質氧化物層,若將該基板100暴露在任何含氧環境(例如,空氣)時,該本質氧化物層會在周邊條件下形成在Ru金屬的金屬表面上。在其他實施例中,Ru金屬層110的沉積和後續的處理步驟可以在不暴露於氧的情況下進行(例如,藉由在同一真空腔室中執行所有步驟),而該基板100可以不包括該表面Ru氧化物層120。
在圖1A中,該基板100可以更包括經圖案化硬遮罩層115。在一個實施例中,該經圖案化硬遮罩115可以包括矽氧化物。在各種實施例中,該經圖案化硬遮罩115可以包括矽氮化物、矽碳氮化物(SiCN)或矽碳氧化物(SiOC)。在替代實施例中,該經圖案化硬遮罩115可以包括鈦氮化物。在一或多個實施例中,該經圖案化硬遮罩115可以包括其他合適的有機材料,例如旋塗碳硬遮罩(SOH)材料。此外,該經圖案化硬遮罩115可以堆疊硬遮罩,其例如包括使用兩種不同材料的二或多層。在某些這樣的實施例中,第一硬遮罩可以包括金屬基層,例如鈦氮化物、鈦、鉭氮化物、鉭、鎢基化合物或鋁基化合物,而第二硬遮罩材料可以包括介電質層,例如矽氧化物、矽氮化物、SiCN、SiOC、矽氮氧化物或矽碳化物。該硬遮罩可以使用合適的沉積技術進行沉積,例如氣相沉積,包括化學氣相沉積(CVD)、物理氣相沉積(PVD),以及其他電漿處理,例如電漿增強CVD(PECVD)、濺鍍,以及其他處理,包括濕式處理。在各種實施例中,硬遮罩層130的厚度可以在約5 nm到約50 nm之間。在一或多個實施例中,可以在該經圖案化硬遮罩130上方形成額外的層,例如含矽抗反射塗層膜(SiARC)或其他ARC膜。在各種實施例中,在基板100上方沉積硬遮罩層後,可以藉由傳統的光微影處理,使用光阻接著進行圖案轉移蝕刻,從而完成硬遮罩的圖案化。
在執行無氧蝕刻處理前,可以進行預處理以去除該表面Ru氧化物層120,以暴露該Ru金屬層110的表面。在各種實施例中,該預處理可以是電漿處理或自由基處理,例如包括將基板100暴露於含鹵素預處理氣體所生成的自由基物質。在一實施例中,預處理氣體可以包括三氟化氮(NF 3)、氯(Cl 2)、三氟化氯(ClF 3)、四氟化碳(CF 4)、六氟化硫(SF 6)、三氯化硼(BCl 3)、三氟甲烷(CHF 3)。
圖1B繪示在去除該表面Ru氧化物層120後的基板100的橫截面圖。
在去除該表面Ru氧化物層120後,該Ru金屬層110被暴露在表面上,而這可以被進行下述的無氧蝕刻處理。在某些實施例中,剛輸入的基板100可以不含有表面Ru氧化物層,因此可能不需要進行將該表面Ru氧化物層去除的預處理,但這並不排除在進行該無氧蝕刻處理之前對基板100進行其他預處理步驟的可能性。
圖1C繪示在將基板100暴露於第一含鹵素蒸氣130後的基板100的橫截面圖。
該無氧蝕刻處理的第一步驟是形成Ru中間體140。可以通過將基板100暴露於第一含鹵素蒸氣130以在基板100上形成Ru中間體140。在各種實施例中,該第一含鹵素蒸氣130與Ru金屬之間的反應可以是自限制性的,且僅發生在表面處或表面附近。因此,如圖1C所繪示,該Ru中間體140可以作為Ru金屬層110的表面部分反應的結果而形成為薄膜。在一個實施例中,Ru中間體140的這種薄膜可以具有數nm到數十nm之間的厚度,但在其他實施例中,該薄膜可以具有任何厚度。用以形成該Ru中間體140的該反應可能是Ru的鹵化反應,例如氯化。在某些實施例中,該第一含鹵素蒸氣130可以包括氯(Cl 2)、三氟化氯(ClF 3)、四氟化碳(CF 4)、六氟化硫(SF 6)、三氯化硼(BCl 3)、三氟甲烷(CHF 3)等。在各種實施例中,Ru中間體140可以比Ru金屬更具揮發性,但在處理條件下,例如在缺乏電漿的情況下,其揮發性可能仍然不足以實現對Ru物質的任何實質性去除。因此,可能仍需要進行進一步轉化的後續第二步驟,而這將參照圖1D而進行描述於下。
本揭示中所描述的方法的「無氧」特性意味著在蝕刻處理期間不使用二氧(O 2)、臭氧(O3)或產生氧自由基的含氧氣體,以確保該蝕刻不會被氧物質驅動。然而,需要注意的是,如上所述,在該基板100的各種層,例如在經過「無氧」蝕刻處理進行處理的基板100的表面Ru氧化物層 120 中仍可能會發現氧。這樣的氧可以被假定在蝕刻處理期間幾乎不起蝕刻劑的作用。本公開的發明人發現,傳統的Ru蝕刻處理通常是使用氧(例如,O 2、O 3等)的電漿處理,其中氧物質可以是主要的蝕刻劑。或者,傳統的處理可能使用氧和氯的混合物。在這兩種情況下,含氧蝕刻劑物質往往對其他材料,例如低介電常數介電質具有不利影響。因此,在本揭示中被稱為「無氧」的方法有利地避免使用任何含氧處理氣體。
在各種實施例中,對於該第一含鹵素氣體130的暴露可以在缺乏電漿的情況下進行,因此該無氧蝕刻處理可以不是電漿處理。
在某些實施例中,Ru的鹵素化可以涉及例如氯自由基(Cl•)的自由基物質。在一個實施例中,可以將500瓦至1000瓦的功率應用於處理腔室,以促進自由基物質的生成,而不形成電漿。在這第一暴露步驟期間,在一個實施例中可以將基板100的溫度保持在100°C以上,但在另一個實施例中,該溫度可以在120°C到300°C之間。因此,在進行處理之前,可以通過例如設置在基板支架中的燈或加熱線圈加熱基板100。在一或多個實施例中,該處理腔室內的壓力可以介於1托與20托之間。
圖1D繪示在將基板100暴露於配位基交換試劑150以從基板100蝕刻Ru金屬後的基板100的橫截面圖。
該無氧蝕刻處理的第二步驟包括通過進一步將該Ru中間體140轉化為Ru蝕刻產物160,以從基板100的表面蝕刻Ru金屬。在各種實施例中,這種轉化為Ru蝕刻產物160的步驟可以藉由配位基交換反應而達成,其中配位基交換試劑150的一或多個配位基(例如,氯)取代Ru中間體140中的配位基,以進一步提高揮發性。因此,該第一步驟期間(圖1C)形成的該Ru中間體140的該薄膜可以被去除,且可以暴露該Ru金屬層110的新表面。在各種實施例中,配位基交換試劑150可以包括乙醯丙酮(ACAC)、六氟乙醯丙酮(HFAC)、乙酸、醯胺、乙烯或乙炔等。
在各種實施例中,類似於該第一暴露步驟,該第二暴露步驟可以在缺乏電漿的情況下進行。第一和第二暴露步驟二者的無電漿特性允許該無氧蝕刻處理得以較不激進的方式進行,而這可以進一步改善對低介電常數介電質的材料的蝕刻選擇性。此外,由於該蝕刻處理可以不需要電漿,因此在各種實施例中,可以利用比傳統電漿處理系統更簡單的處理系統來有利地執行該無氧蝕刻處理。
該第二暴露步驟可以是乾式處理。在各種實施例中,基板100可以被暴露於配位基交換試劑150的蒸汽,而該Ru蝕刻產物160可以被蝕刻成氣相。因此,該第一和第二暴露步驟二者可以在共同的處理腔室中進行。在各種實施例中,對配位基交換試劑150的暴露可以在缺乏電漿的情況下進行。此外,類似於該第一暴露步驟,可以不使用O 2、O 3或生成氧自由基的含氧氣體來執行該第二暴露步驟的乾式處理。因此,該蝕刻處理仍然是「無氧」處理。在該第二暴露步驟期間,在一個實施例中可以將基板100的溫度保持在100°C以上,但在另一個實施例中,該溫度可以在120°C到300°C之間。在一或多個實施例中,該處理腔室內的壓力可以介於1托與20托之間。
如上所述,該無氧蝕刻處理可以逐步進行,這可以被視為原子層蝕刻(ALE)或擬ALE處理,其中材料的去除係逐層進行。因此,該無氧蝕刻處理可以包括一個循環式處理,其中上述的該些步驟(例如,圖1B-1D)係重複任意次數以達到期望的蝕刻程度,例如直到將整個Ru金屬層110去除。
在某些實施例中,當該方法以循環方式執行時,可以在任何暴露步驟之間插入一或多個抽氣或吹淨步驟。在吹淨步驟中可以使用惰性氣體,例如二氮(N 2)或鈍氣,以在隨後的暴露步驟之前吹淨該處理腔室。確保在各步驟時該處理腔室中沒有殘餘反應物可以有利於防止任何可能的氣相反應和不希望的材料沉積。
在替代實施例中,該些暴露步驟可以在時間上重疊。例如,對配位基交換試劑150的暴露可以在該處理腔室中存在該第一含鹵素氣體130時開始進行。在進一步實施例中,可以將其完全合併為單一步驟,以實現無氧蝕刻處理的連續處理。
圖2繪示根據替代實施例的在連續無氧蝕刻處理期間的示例基板100的橫截面圖。
相較於先前的多步驟處理實施例,可以執行單一暴露步驟。在圖2中,基板100包括與圖1B類似的Ru金屬層110的表面,且可以在缺乏氧的情況下暴露於包含第一含鹵素氣體130和第二含鹵素氣體155的氣體混合物。這樣的單一暴露可以實現Ru的刻蝕,且形成Ru蝕刻產物160。在各種實施例中,第一含鹵素氣體130包含第一鹵素,用以在表面上使該Ru金屬發生一定程度的鹵素化,而第二含鹵素氣體包含第二鹵素,其可以替換經鹵素化Ru的其中一些第一鹵素原子。例如,該第一鹵素可以是氯,而該第二鹵素可以是氟。一般而言,Ru氟化物的揮發性可以高於Ru氯化物對應物的揮發性。因此,雖然一個處理可以是連續的,但在各種實施例中的方法可以依賴於表面上的逐步反應,以形成包含該第二鹵素的揮發蝕刻產物。在某些實施例中,該第一含鹵素氣體130可以包含氯(Cl 2),而該第二含鹵素氣體155可以包括四氟化碳(CF 4)、四氟化硫(SF 4)、六氟化硫(SF 6)、三氟化氮(NF 3)、三氟化氯(ClF 3)或三氟甲烷(CHF 3)。本申請案的發明人在一個示例中證明,在缺乏氧和電漿的情況下,使用包含氯和氟的氣體混合物,在介於1 Torr至20 Torr之間的壓力和介於120°C至300°C之間的溫度下可以進行Ru金屬的蝕刻。雖然不希望受限於任何理論,但使用兩種鹵素源可以實現Ru的逐步鹵素化,例如部分氯化接著部分氟化。因此,該Ru蝕刻產物160可以包括具有不同程度的氯或氟鹵素化的金屬鹵化物。在各種實施例中,連續無氧蝕刻處理可以在缺乏電漿的情況下進行。這種連續且無電漿的無氧蝕刻處理可以有助於簡化處理配方,從而提高處理效率。
圖3A至3D繪示根據其他實施例的在包括無氧蝕刻處理的製造的各種中間階段時,包括被設置鑲嵌接點層和雙重鑲嵌內連層之間的直接蝕刻內連接線的示例基板25的橫截面圖。
在圖3A中,可以使用適當的技術(例如,CVD、ALD、磁控濺鍍等)在兩個分別稱為ILD 130和ILD 232,且包括例如SiO 2或基於矽氧化物的低介電常數介電質(例如,多孔氧化物、氟矽酸玻璃(FSG)和有機矽酸玻璃(OSG))的絕緣體的垂直相鄰層間介電質層(ILD)層上方沉積厚度例如約為40奈米至80奈米的Ru膜40。任選地,ILD 232的底層可以是包括例如Si 3N 4、SiO xN y、SiC或SiCN(未顯示)的介電質的蝕刻停止層(ESL)。在某些應用中,在沉積該Ru膜40之前,可以在ILD 232上形成任選的導電ESL 42,其例如包括TiN或TaN。在ILD 232中嵌置的接點35被顯示成與FinFET的閘極結構連接,該FinFET的閘極結構包括金屬閘極10(例如,包括Ta、TaN、TiN、W等或其組合的多層金屬堆疊),以及被嵌置在先前形成在一對源極/汲極間隔物12(例如,SiO xN y間隔物)之間的凹陷部中的高介電常數閘極介電質14(例如,HfO 2或Al 2O 3)。源極/汲極接觸蝕刻停止層(CESL)18(例如,Si 3N 4層)被顯示成襯於ILD 130的底表面。在圖3A中,金屬閘極10和閘極介電質14是位於例如先前藉由蝕刻半導體基板25(例如,主體結晶Si晶圓)而形成的半導體鰭部之間的凹陷部中,在淺溝槽隔離(STI)區域20上延伸的金屬閘極結構的一部分。該些半導體鰭部是不可見的,且係沿著與圖3A的橫截面圖的平面平行的平面。經圖案化遮罩層44可以形成在該Ru膜40上方。該經圖案化遮罩層44可以包括例如SiO 2和Si 3N 4的介電質,或是例如TaN、Ti和TiN的導電材料,或是其組合,而可以提供相對於Ru的蝕刻選擇性。所使用的該經圖案化遮罩層44的厚度可以根據相對於Ru的蝕刻選擇性及待去除的Ru的目標厚度而改變。
圖3B繪示在該無氧蝕刻處理後的基板25的橫截面圖,而圖3C繪示在去除蝕刻停止層後的基板25的橫截面圖。
在圖3B中,藉由上述實施例的該無氧蝕刻處理以逐步或連續方式對該Ru膜40進行蝕刻。該經圖案化遮罩層44中的開口所露出的該Ru的頂表面的一部分的Ru被去除。該暴露的Ru可以被垂直蝕刻,直到露出下方層,例如導電ESL 42,從而形成經圖案化Ru膜41。在隨後的處理步驟中,可以去除該導電ESL 42的暴露部分和該經圖案化遮罩層44,如圖3C所示。 剩餘的經圖案化Ru膜41和導電ESL 42形成各別內連層的導線。
圖3D繪示在形成金屬間介電質(IMD)層後的基板25的橫截面圖。
圖3D繪示被金屬間介電質(IMD)層50覆蓋的經圖案化Ru膜41,以及使用例如習知Cu雙重鑲嵌流程在Ru層上方形成的次一內連層。用於形成IMD 50的材料可以包括絕緣體,例如SiO 2,或是基於矽氧化物的低介電常數介電質(例如,多孔氧化物,氟矽酸鹽玻璃(FSG)和正矽酸鹽玻璃(OSG)),類似於ILD 232。圖3D中的銅通孔52將銅線56連接到位於該銅線56正下方的該經圖案化Ru膜41的一部分。如本發明所屬技術領域中具有通常知識者所知,雙重鑲嵌流程包括使用通孔優先或溝槽優先的圖案化次序以在IMD 50中圖案化開口(例如,銅通孔52所用的孔,以及銅線56所用的溝槽)、沉積保形阻障金屬(例如,TiN或TaN)襯墊、以金屬填充該些開口(例如,使用Cu電鍍),以及使用例如化學機械平坦化(CMP)的平坦化處理,將所有過量的導電材料從IMD 50的頂表面去除,從而形成嵌置在該IMD 50中的銅通孔52和銅線56。
使用圖3A至3D所繪示的乾式蝕刻處理來形成Ru內連層的一個優點在於,這樣的處理避免使用Ru金屬CMP步驟,而該步驟是難以執行且昂貴的步驟。
圖4A-4C繪示根據又其他實施例的在包括無氧蝕刻處理的製造的各種中間階段時,包括將導電閘極連接至金屬線的直接蝕刻背側接點的又另一示例基板25的橫截面圖。某些結構與圖3A-3D中所示結構的相同,因此不會重複詳細說明。
圖4A繪示形成在ILD2 32上方且填充接點開口的Ru層90,該接點開口係延伸通過該ILD 232且與金屬閘極10的頂表面的一部分物理接觸。在各種實施例中,舉例來說,該接點開口的直徑可以約為15奈米至約40奈米,而該ILD 232的厚度(也是Ru沉積之前該接點開口的高度)可以約為20奈米至約80奈米。超出ILD 232的平坦頂表面的過量金屬的厚度與與該金屬閘極10的頂表面接觸的Ru的厚度的比率可以約為1:2至約1:5。
圖4A繪示在該無氧蝕刻處理後的基板25的橫截面圖。
在圖4B中,位於ILD2 32的頂表面上方的過量Ru金屬係使用上述該無氧蝕刻處理而加以去除。該無氧蝕刻處理係應用在回蝕步驟中,該回蝕步驟可以選擇性地去除過量的Ru金屬,以形成包括兩個表面的頂表面。因此,如圖4B所示,形成絕緣性ILD 2層32的第一表面和嵌入該ILD 232中的導電Ru插頭91的第二表面。該導電Ru插頭91係較佳以最小的凹陷部(R)形成,以保持該接點結構的整體性和性能,同時減少ILD 232的絕緣頂表面上方的Ru殘留物的缺陷密度。在一些實施例中,可以形成約0.5奈米至10奈米的微小凹陷部R。
圖4C繪示在形成內連接層後的基板25的橫截面圖。
圖4C繪示位於接點層上方垂直相鄰形成的內連接層(例如,Cu內連接層)。在圖4C的示例中,嵌入IMD 60中的金屬線62被顯示位於金屬閘極10的正上方,而導電Ru插頭91在兩者之間形成物理和電性連接。用於IMD 60的介電質可以與用於ILD 232的相同。在一些其他應用中,上部內連接元件可以是通孔,而不是金屬線62。
在圖4A至4C的上述示例中,該無氧蝕刻處理被用於形成Ru接點和通孔的回蝕。在習知的多層內連接系統中,分別使用鎢和銅作為填充材料來填充接點和通孔所用的開口。如前所述,使用Ru的優點在於它的主體電阻率乘以平均自由徑的乘積係低於銅或鎢中的乘積。在室溫下,Ru中的該乘積約為銅的該乘積的70%,以及約為鎢的該乘積的60%。此外,在使用Ru而形成的接點/通孔中,可能不需要使用通常被用作鎢的附著層和銅的擴散阻障物的相對電阻性襯墊(例如,TiN薄膜)。
本發明所屬技術領域中具有通常知識者能理解的是,圖2和圖3中所描述的流程係可以進行修改和組合,以形成包含接點和垂直相鄰線所用的整合Ru結構的內連接元件。例如,與經圖案化遮罩層44類似的經圖案化硬遮罩可以用於形成整合Ru接點和線結構。
圖5A至5C繪示根據各種實施例的無氧蝕刻方法的處理流程圖。該處理流程可以遵循前述的圖式(圖1A至1D和圖2),因此將不會再次進行描述。
在圖5A中,處理流程500可以從在基板的Ru金屬層上方形成經圖案化蝕刻遮罩開始進行(方格501,圖1A),其中該經圖案化蝕刻遮罩使Ru金屬層的一部分露出,且覆蓋該Ru金屬層的另一部分。在某些實施例中,當基板上方存在表面Ru氧化物層時,可以執行任選的預處理步驟以去除該表面Ru氧化物層(方格505,圖1B)。接著,可以藉由將該基板在缺乏氧的情況下暴露於處理腔室中的含鹵素蒸氣,例如氯(Cl 2),以形成表面上的Ru中間體,從而啟動該Ru蝕刻處理(方格510,圖1C)。在該第一暴露步驟之後,可以藉由將該基板暴露於配位基交換試劑,該配位基交換試劑與該Ru中間體反應以形成揮發性Ru蝕刻產物,從而執行第二暴露步驟(方格520,圖1D)。在某些實施例中,這兩個暴露步驟(方格510和520)可以作為循環式蝕刻處理的一部分重複進行。對於循環式蝕刻處理,可以在任意暴露步驟之間插入任選的抽氣或吹淨步驟。在替代實施例中,該些暴露步驟可以在時間上重疊。
在圖5B中,處理流程502從在處理腔室中裝載包含Ru金屬的基板開始進行(方格503),接著在缺乏氧和電漿的情況下將該基板暴露於包含第一含鹵素氣體(例如,Cl 2)及第二含鹵素氣體(例如, CF 4、SF 4、SF 6、NF 3、ClF 3和CHF 3)的處理氣體混合物(方格515,圖2)。在這種單步驟處理中可以連續進行Ru的蝕刻。
在圖5C中,處理流程504係從將基板裝載到處理腔室開始進行(方格506),其中該基板包括非揮發性金屬層、氧化物層和介電質層。接著,可以藉由將該基板暴露於處理氣體以執行預處理,從而去除該氧化物層,並且露出該非揮發性金屬層(方格507)。當該非揮發性金屬層在表面上暴露後,可以執行非電漿蝕刻處理以選擇性地蝕刻該介電質層。在該非電漿蝕刻處理中,可以首先將該基板在缺乏氧和電漿的情況下在該處理腔室中暴露於Cl 2(方格514)。Cl 2和該非揮發性金屬的反應可以形成中間體。在某些實施例中,在第一暴露步驟後可以執行任選的抽氣或吹淨步驟(方格516)。接著,可以藉由將該基板在該處理腔室中暴露於配位基交換試劑,以形成揮發性產物,從而執行第二暴露步驟(方格524)。
實施例可以在該無氧蝕刻處理的第一步驟中使用包含Cl 2的氣體。在介於120°C至300°C之間的溫度下使用純Cl 2氣體執行該第一暴露步驟時,本申請案的發明人發現,Ru的氯化會在缺乏氧和電漿的情況下進行。在該第一暴露步驟後的該基板係利用掃描式電子顯微鏡(SEM)驗證其特徵,以確認表面薄膜的形成;二次離子質譜分析儀(SIMS)係用於測量氟處理的深度,以及該蝕刻後的Ru厚度的減量;X射線光電子能譜(XPS)係用於測量Ru的氯化程度,且確認在表面上形成的薄膜中的Ru和Cl的存在。
本發明的示例實施例總結於此。其他實施例也可以從本說明書的全部內容及本文所提交的申請專利範圍而加以理解。
示例1。一種基板處理方法,包括:在基板的釕(Ru)金屬層上方形成蝕刻遮罩,該蝕刻遮罩使該Ru金屬層的第一部分暴露,以及覆蓋該Ru金屬層的第二部分;在處理腔室中將該Ru金屬層的該第一部分轉化為揮發性Ru蝕刻產物,該轉化係包括將該基板的該Ru金屬層暴露至含鹵素蒸汽,以及暴露至配位基交換試劑以形成該揮發性Ru蝕刻產物,其中該轉化係無氧處理。
示例2。示例1之方法,該無氧蝕刻處理更包括重複進行該些暴露步驟。
示例3。示例1或2之方法,更包括:將該含鹵素蒸汽流動至該處理腔室;以及從該含鹵素蒸汽產生鹵素自由基,其中該些鹵素自由基驅使該Ru金屬的鹵素化。
示例4。示例1至3之其中一者之方法,其中該含鹵素蒸汽包含氯(Cl 2)。
示例5。示例1至4之其中一者之方法,其中該暴露至該配位基交換試劑係使用該配位基交換試劑的蒸汽的乾式處理。
示例6。示例1至5之其中一者之方法,其中該配位基交換試劑係包括乙醯丙酮(ACAC)或六氟乙醯丙酮(HFAC)。
示例7。示例1至6之其中一者之方法,其中該暴露至該配位基交換試劑係包括乙酸、醯胺、乙烯或乙炔。
示例8。示例1至7之其中一者之方法,其中該基板的該表面係包括Ru氧化物層,該方法更包括在該轉化之前,去除該Ru氧化物層以暴露該Ru金屬的該表面部分。
示例9。示例1至8之其中一者之方法,其中該去除係藉由將該Ru氧化物層暴露於包含三氟化氮(NF 3)的蒸汽。
示例10。一種基板處理方法,包括:執行無電漿且無氧蝕刻處理,該執行係包括將包含釕(Ru)金屬的該基板暴露至處理氣體混合物,該處理氣體混合物係包括第一含鹵素氣體及第二含鹵素氣體,該第二含鹵素氣體所包括的鹵素係與該第一含鹵素氣體的鹵素不同。
示例11。示例10之方法,其中該第一含鹵素氣體包含氯,而該第二含鹵素氣體包含氟。
示例12。示例10或11之方法,其中該第一含鹵素氣體包含氯(Cl 2)。
示例13。示例10至12之其中一者之方法,其中該第二含鹵素氣體係包括四氟化碳(CF 4)、四氟化硫(SF 4)、六氟化硫(SF 6)、三氟化氮(NF 3)、三氟化氯(ClF 3)或三氟甲烷(CHF 3)。
示例14。示例10至13之其中一者之方法,更包括在該執行期間將該基板的溫度保持在120°C與300°C之間。
示例15。一種基板處理方法,包括:將該基板裝載於處理腔室中,該基板係包括非揮發性金屬層、氧化物層及介電質層,該氧化物層係包括該非揮發性金屬的氧化物,該基板的表面係包括該氧化物層及該介電質層;藉由將該基板暴露於處理氣體以執行預處理,以去除該氧化物層且暴露該非揮發性金屬層;藉由下列步驟以執行對該介電質層具選擇性的非電漿無氧蝕刻處理:在該處理腔室中將該基板暴露於氯(Cl 2),其中該Cl 2係與該非揮發性金屬反應而形成中間體;以及在該處理腔室中將該基板暴露於配位基交換試劑,該配位基交換試劑係與該中間體反應而形成揮發性產物,以將該非揮發性金屬從該基板的該表面去除。
示例16。示例15之方法,其中該暴露於該Cl 2及該暴露於該配位基交換試劑係重疊的。
示例17。示例15或16之方法,更包括在執行該非電漿無氧蝕刻處理之前,將該處理腔室抽氣或吹淨以將氧從該處理腔室去除。
示例18。示例15至17之其中一者之方法,該非電漿無氧蝕刻處理更包括介於該些暴露步驟之間的抽氣或吹淨步驟。
示例19。示例15至18之其中一者之方法,該非揮發性金屬係包括釕(Ru)、鋨(Os)或鉿(Hf)。
示例20。示例15至19之其中一者之方法,其中該配位基交換試劑係包括乙醯丙酮(ACAC)或六氟乙醯丙酮(HFAC)。
雖然已參照複數說明性實施例來描述本發明,但本實施方式並不被視為限制意圖。這些說明性實施例的各種修改例及結合例,以及本發明的其他實施例對於本發明所屬技術領域中具有通常知識者在參照本實施方式後將為顯而易知的。因此,隨附申請專利範圍係含括任何此樣的修改例或實施例。
10:金屬閘極 12:源極/汲極間隔物 14:閘極介電質 18:源極/汲極接觸蝕刻停止層(CESL) 20:淺溝槽隔離(STI)區域 25:基板 30:ILD 132:ILD 235:接點 40:Ru膜 41:經圖案化Ru膜 42:導電ESL 44:經圖案化遮罩層 50:金屬間介電質(IMD)層 52:銅通孔 56:銅線 60:IMD 62:金屬線 90:Ru層 91:導電Ru插頭 100:基板 110:Ru金屬層 115:經圖案化硬遮罩層 120:表面Ru氧化物層 130:第一含鹵素蒸氣 140:Ru中間體 150:配位基交換試劑 155:第二含鹵素氣體 160:Ru蝕刻產物 500,502,504:處理流程 501,503,505,506,507,510,514,515,516,520,524:方格
為了更完整理解本發明及其優點,現在將參照下方的實施方式並結合隨附圖式,其中:
圖1A-1D繪示根據各種實施例的在包括無氧蝕刻處理的製造處理期間的示例基板的橫截面圖,其中圖1A繪示剛輸入的基板,其包括Ru金屬層和表面Ru氧化物層,圖1B繪示在去除該表面Ru氧化物層後的基板,圖1C繪示在將基板暴露於含鹵素蒸氣後的基板,而圖1D繪示在將基板暴露於配位基交換試劑以從基板蝕刻Ru金屬後的基板;
圖2繪示根據替代實施例的在連續無氧蝕刻處理期間的示例基板的橫截面圖;
圖3A至3D繪示根據其他實施例的在包括無氧蝕刻處理的製造的各種中間階段時,包括被設置鑲嵌接點層和雙重鑲嵌內連層之間的直接蝕刻內連接線的示例基板的橫截面圖,其中圖3A繪示剛輸入的基板,圖3B繪示在該無氧蝕刻處理後的基板,圖3C繪示在去除蝕刻停止層後的基板,而圖3D繪示在形成金屬間介電質(IMD)層後的基板;
圖4A至4C繪示根據又其他實施例的在包括無氧蝕刻處理的製造的各種中間階段時,包括將導電閘極連接至金屬線的直接蝕刻背側接點的又另一示例基板的橫截面圖,其中圖4A繪示剛輸入的基板,圖4B繪示在該無氧蝕刻處理後的基板,而圖4C繪示在形成內連接層後的基板;以及
圖5A至5C繪示無氧蝕刻處理的示例性處理流程圖,其中圖5A繪示一實施例,圖5B繪示替代性實施例,而圖5C繪示又另一實施例。
500:處理流程
501,505,510,520:方格

Claims (20)

  1. 一種基板處理方法,包括: 在基板的釕(Ru)金屬層上方形成蝕刻遮罩,該蝕刻遮罩使該Ru金屬層的第一部分暴露,以及覆蓋該Ru金屬層的第二部分;以及 在處理腔室中將該Ru金屬層的該第一部分轉化為揮發性Ru蝕刻產物,該轉化係包括將該基板的該Ru金屬層暴露至含鹵素蒸汽,以及暴露至配位基交換試劑以形成該揮發性Ru蝕刻產物,其中該轉化係無氧處理。
  2. 如請求項1之基板處理方法,其中該無氧蝕刻處理更包括重複進行該些暴露步驟。
  3. 如請求項1之基板處理方法,更包括: 將該含鹵素蒸汽流動至該處理腔室;以及 從該含鹵素蒸汽產生鹵素自由基,其中該些鹵素自由基驅使該Ru金屬的鹵素化。
  4. 如請求項1之基板處理方法,其中該含鹵素蒸汽包含氯(Cl 2)。
  5. 如請求項1之基板處理方法,其中該暴露至該配位基交換試劑係一種使用該配位基交換試劑的蒸汽的乾式處理。
  6. 如請求項1之基板處理方法,其中該配位基交換試劑係包括乙醯丙酮(ACAC)或六氟乙醯丙酮(HFAC)。
  7. 如請求項1之基板處理方法,其中該配位基交換試劑係包括乙酸、醯胺、乙烯或乙炔。
  8. 如請求項1之基板處理方法,其中該基板的該表面係包括Ru氧化物層,該方法更包括在進行該轉化之前,去除該Ru氧化物層以暴露該Ru金屬的該表面部分。
  9. 如請求項8之基板處理方法,其中該去除係藉由將該Ru氧化物層暴露於包含三氟化氮(NF 3)的蒸汽而執行。
  10. 一種基板處理方法,包括: 執行無電漿且無氧蝕刻處理,該執行係包括: 將包含釕(Ru)金屬的該基板暴露至處理氣體混合物,該處理氣體混合物係包括第一含鹵素氣體及第二含鹵素氣體,該第二含鹵素氣體所包括的鹵素係與該第一含鹵素氣體的鹵素不同。
  11. 如請求項10之基板處理方法,其中該第一含鹵素氣體包含氯,而該第二含鹵素氣體包含氟。
  12. 如請求項11之基板處理方法,其中該第一含鹵素氣體包含氯(Cl 2)。
  13. 如請求項11之基板處理方法,其中該第二含鹵素氣體係包括四氟化碳(CF 4)、四氟化硫(SF 4)、六氟化硫(SF 6)、三氟化氮(NF 3)、三氟化氯(ClF 3)或三氟甲烷(CHF 3)。
  14. 如請求項10之基板處理方法,更包括在該執行期間將該基板的溫度保持在120°C與300°C之間。
  15. 一種基板處理方法,包括: 將該基板裝載於處理腔室中,該基板係包括非揮發性金屬層、氧化物層及介電質層,該氧化物層係包括該非揮發性金屬的氧化物,該基板的表面係包括該氧化物層及該介電質層; 藉由將該基板暴露於處理氣體以執行預處理,以去除該氧化物層且暴露該非揮發性金屬層; 藉由下列步驟以執行對該介電質層具選擇性的非電漿無氧蝕刻處理: 在該處理腔室中將該基板暴露於氯(Cl 2),其中該Cl 2係與該非揮發性金屬反應而形成中間體;以及 在該處理腔室中將該基板暴露於配位基交換試劑,該配位基交換試劑係與該中間體反應而形成揮發性產物,以將該非揮發性金屬從該基板的該表面去除。
  16. 如請求項15之基板處理方法,其中該暴露於該Cl 2及該暴露於該配位基交換試劑係重疊的。
  17. 如請求項15之基板處理方法,更包括在執行該非電漿無氧蝕刻處理之前,將該處理腔室抽氣或吹淨以將氧從該處理腔室去除。
  18. 如請求項15之基板處理方法,其中該非電漿無氧蝕刻處理更包括介於該些暴露步驟之間的抽氣或吹淨步驟。
  19. 如請求項15之基板處理方法,其中該非揮發性金屬係包括釕(Ru)、鋨(Os)或鉿(Hf)。
  20. 如請求項15之基板處理方法,其中該配位基交換試劑係包括乙醯丙酮(ACAC)或六氟乙醯丙酮(HFAC)。
TW112119690A 2022-05-27 2023-05-26 非揮發性金屬的無氧蝕刻 TW202414590A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17/826,236 2022-05-27

Publications (1)

Publication Number Publication Date
TW202414590A true TW202414590A (zh) 2024-04-01

Family

ID=

Similar Documents

Publication Publication Date Title
US7700479B2 (en) Cleaning processes in the formation of integrated circuit interconnect structures
US10304774B2 (en) Semiconductor structure having tapered damascene aperture and method of the same
US8450212B2 (en) Method of reducing critical dimension process bias differences between narrow and wide damascene wires
US11984355B2 (en) Method for manufacturing an interconnection structure having a bottom via spacer
US6207570B1 (en) Method of manufacturing integrated circuit devices
KR102601862B1 (ko) 상호접속부를 위한 루테늄 금속 피처 충전
US11818967B2 (en) Sidewall protection for PCRAM device
TW201539652A (zh) 整合式金屬間隔墊及氣隙互連
TW201814832A (zh) 半導體裝置之形成方法
TW202131406A (zh) 使用電漿處理的金屬膜蝕刻方法
CN114981934A (zh) 用于减除式自对准的方法和装置
US7485574B2 (en) Methods of forming a metal line in a semiconductor device
US20230045689A1 (en) Method of forming interconnect for semiconductor device
TW202414590A (zh) 非揮發性金屬的無氧蝕刻
US20230420267A1 (en) Oxygen-free etching of non-volatile metals
US9564355B2 (en) Interconnect structure for semiconductor devices
JP2005005697A (ja) 半導体装置の製造方法
US20230051311A1 (en) Conductive Superlattice Structures and Methods of Forming the Same
JP2024521903A (ja) 繰り返しプラズマ処理
US20230374670A1 (en) Etch process for oxide of alkaline earth metal
KR20240016999A (ko) 주기적 플라즈마 공정
TW202349465A (zh) 用於金屬蝕刻的預蝕刻處理
TW432622B (en) Process for forming dual damascene structure