KR20240016999A - 주기적 플라즈마 공정 - Google Patents
주기적 플라즈마 공정 Download PDFInfo
- Publication number
- KR20240016999A KR20240016999A KR1020237044741A KR20237044741A KR20240016999A KR 20240016999 A KR20240016999 A KR 20240016999A KR 1020237044741 A KR1020237044741 A KR 1020237044741A KR 20237044741 A KR20237044741 A KR 20237044741A KR 20240016999 A KR20240016999 A KR 20240016999A
- Authority
- KR
- South Korea
- Prior art keywords
- plasma
- layer
- substrate
- gas
- deposition
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 354
- 230000008569 process Effects 0.000 title claims abstract description 273
- 230000000737 periodic effect Effects 0.000 title claims abstract description 71
- 239000000758 substrate Substances 0.000 claims abstract description 132
- 238000005530 etching Methods 0.000 claims abstract description 100
- 230000008021 deposition Effects 0.000 claims abstract description 93
- 229920006254 polymer film Polymers 0.000 claims abstract description 55
- 238000012545 processing Methods 0.000 claims abstract description 45
- 238000010926 purge Methods 0.000 claims abstract description 42
- 229910044991 metal oxide Inorganic materials 0.000 claims abstract description 34
- 150000004706 metal oxides Chemical class 0.000 claims abstract description 34
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 26
- 239000010703 silicon Substances 0.000 claims abstract description 26
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 21
- 239000011737 fluorine Substances 0.000 claims abstract description 21
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims abstract description 17
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 17
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims abstract 4
- 239000010410 layer Substances 0.000 claims description 195
- 239000007789 gas Substances 0.000 claims description 188
- 238000000151 deposition Methods 0.000 claims description 109
- 239000000463 material Substances 0.000 claims description 56
- 229910052751 metal Inorganic materials 0.000 claims description 34
- 239000002184 metal Substances 0.000 claims description 34
- 239000000203 mixture Substances 0.000 claims description 26
- 239000011229 interlayer Substances 0.000 claims description 25
- 238000005137 deposition process Methods 0.000 claims description 23
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 21
- 230000009977 dual effect Effects 0.000 claims description 19
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 19
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 16
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 claims description 16
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 claims description 16
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 claims description 14
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 claims description 14
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 12
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 11
- YUCFVHQCAFKDQG-UHFFFAOYSA-N fluoromethane Chemical compound F[CH] YUCFVHQCAFKDQG-UHFFFAOYSA-N 0.000 claims description 10
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 9
- 238000010790 dilution Methods 0.000 claims description 9
- 239000012895 dilution Substances 0.000 claims description 9
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 9
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims description 9
- 229910052786 argon Inorganic materials 0.000 claims description 8
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 5
- 239000001257 hydrogen Substances 0.000 claims description 5
- 229910052739 hydrogen Inorganic materials 0.000 claims description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 4
- 230000008859 change Effects 0.000 claims description 4
- 239000001301 oxygen Substances 0.000 claims description 4
- 229910052760 oxygen Inorganic materials 0.000 claims description 4
- 235000012239 silicon dioxide Nutrition 0.000 claims description 4
- 239000000377 silicon dioxide Substances 0.000 claims description 4
- 229930195733 hydrocarbon Natural products 0.000 claims description 3
- 150000002430 hydrocarbons Chemical class 0.000 claims description 3
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 1
- 229920002120 photoresistant polymer Polymers 0.000 description 47
- 230000000903 blocking effect Effects 0.000 description 38
- 125000004122 cyclic group Chemical group 0.000 description 29
- 238000005240 physical vapour deposition Methods 0.000 description 18
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 17
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 17
- 238000005229 chemical vapour deposition Methods 0.000 description 15
- 238000010586 diagram Methods 0.000 description 15
- 239000004065 semiconductor Substances 0.000 description 15
- 238000000231 atomic layer deposition Methods 0.000 description 14
- 238000001020 plasma etching Methods 0.000 description 14
- 238000004519 manufacturing process Methods 0.000 description 13
- 230000015572 biosynthetic process Effects 0.000 description 11
- 239000010949 copper Substances 0.000 description 9
- 238000007740 vapor deposition Methods 0.000 description 9
- 238000004544 sputter deposition Methods 0.000 description 8
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 7
- 230000004888 barrier function Effects 0.000 description 7
- 229910052802 copper Inorganic materials 0.000 description 7
- 238000009792 diffusion process Methods 0.000 description 7
- 238000001465 metallisation Methods 0.000 description 7
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 6
- 230000007797 corrosion Effects 0.000 description 6
- 238000005260 corrosion Methods 0.000 description 6
- 238000000059 patterning Methods 0.000 description 6
- 239000002243 precursor Substances 0.000 description 6
- 235000012431 wafers Nutrition 0.000 description 6
- 230000008901 benefit Effects 0.000 description 5
- 239000000460 chlorine Substances 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 239000003085 diluting agent Substances 0.000 description 5
- 239000011241 protective layer Substances 0.000 description 5
- 239000000243 solution Substances 0.000 description 5
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 4
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 4
- 229910052794 bromium Inorganic materials 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 238000001816 cooling Methods 0.000 description 4
- 238000009832 plasma treatment Methods 0.000 description 4
- 150000003254 radicals Chemical class 0.000 description 4
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 3
- 238000004380 ashing Methods 0.000 description 3
- 229910052801 chlorine Inorganic materials 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 230000009972 noncorrosive effect Effects 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 238000004528 spin coating Methods 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 2
- 150000001335 aliphatic alkanes Chemical group 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 239000003960 organic solvent Substances 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 241000894007 species Species 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- ZQXCQTAELHSNAT-UHFFFAOYSA-N 1-chloro-3-nitro-5-(trifluoromethyl)benzene Chemical compound [O-][N+](=O)C1=CC(Cl)=CC(C(F)(F)F)=C1 ZQXCQTAELHSNAT-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- -1 BCl 3 Substances 0.000 description 1
- 241000283690 Bos taurus Species 0.000 description 1
- CQTFHBLVFQDVAY-UHFFFAOYSA-N C.[F] Chemical compound C.[F] CQTFHBLVFQDVAY-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- 229910002601 GaN Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 239000010408 film Substances 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229920005597 polymer membrane Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 239000013077 target material Substances 0.000 description 1
- 230000002123 temporal effect Effects 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
- H01L21/31122—Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/26—Deposition of carbon only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/32—Carbides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/42—Silicides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02118—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02118—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
- H01L21/0212—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02178—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68764—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68771—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76813—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
Abstract
기판을 처리하기 위한 방법은, 복수의 사이클을 포함하는 주기적 플라즈마 공정을 수행하는 단계를 포함하며, 복수의 사이클의 각각의 사이클은, 기판을 포함하는 플라즈마 공정 챔버를 탄소를 포함하는 제1 증착 가스로 퍼지하는 단계를 포함한다. 기판은, 실리콘을 포함하는 제1 층, 및 금속 산화물을 포함하는 제2 층을 포함한다. 방법은, 제1 증착 가스로부터 발생된 제1 플라즈마에 기판을 노출시켜서, 제2 층에 비하여 제1 층 위에 제1 폴리머 막을 선택적으로 증착하는 단계; 불소를 포함하는 에칭 가스로 플라즈마 공정 챔버를 퍼지하는 단계; 및 에칭 가스로부터 발생된 제2 플라즈마에 기판을 노출시켜서 제2 층을 에칭하는 단계를 더 포함한다.
Description
관련 출원에 대한 상호 참조
본 출원은 2021년 6월 4일자로 출원된 미국 정규출원 번호 제17/339,495호의 이익을 주장하며, 그 전체 내용은 본원에 참조로 포함된다.
본 발명은 일반적으로 반도체 소자를 처리하는 시스템 및 방법에 관한 것이며, 구체적인 실시형태에서, 주기적 플라즈마 공정(processing)을 위한 시스템 및 방법에 관한 것이다.
일반적으로, 집적 회로(IC)와 같은 반도체 소자는, 모놀리식(monolithic) 구조로 집적되는 전자 부품 및 상호 연결 요소(예를 들어, 트랜지스터, 저항기, 커패시터, 금속 라인, 접점, 및 비아)의 망을 형성하기 위해, 기판 위에 유전체 재료, 전도성 재료, 및 반도체 재료의 층들을 순차적으로 증착 및 패터닝함으로써 제조된다. 반도체 소자의 구성 구조물을 형성하기 위해 사용되는 공정 흐름은, 다양한 재료를 증착 및 제거하는 단계를 포함한다. 이러한 목적을 위해, 반도체 소자 제조 시에 다양한 플라즈마 공정이 흔히 사용된다.
일 유형의 플라즈마 공정인 플라즈마 에칭은, 하전된 종, 중성 종, 또는 이들의 조합물을 포함하는 가스의 플라즈마에 재료를 노출시킴으로써 재료를 에칭하기 위한 통상적인 기술이다. 플라즈마 에칭 시에, 에칭 선택성 및 방향성(이방성 또는 등방성)은 공정 성능을 결정하는 중요한 핵심 특성이다. 반도체 업계의 혁신으로 새로운 재료 및 소자 아키텍처가 계속 도입됨에 따라, 반도체 소자 제조의 증가하는 요건을 충족시키기 위해, 특히 선택성 및 방향성을 개선하기 위한 플라즈마 에칭 공정의 추가적인 개발이 요구된다.
본 발명의 바람직한 실시형태에 따라, 기판을 처리하기 위한 방법은, 복수의 사이클을 포함하는 주기적 플라즈마 공정을 수행하는 단계를 포함하며, 복수의 사이클의 각각의 사이클은, 기판을 포함하는 플라즈마 공정 챔버를 탄소를 포함하는 제1 증착 가스로 퍼지하는(purging) 단계로서, 기판은, 실리콘을 포함하는 제1 층, 및 금속 산화물을 포함하는 제2 층을 포함하는, 단계; 제1 증착 가스로부터 발생된 제1 플라즈마에 기판을 노출시켜서, 제2 층에 비하여 제1 층 위에 제1 폴리머 막을 선택적으로 증착하는 단계; 불소를 포함하는 에칭 가스로 플라즈마 공정 챔버를 퍼지하는 단계; 및 에칭 가스로부터 발생된 제2 플라즈마에 기판을 노출시켜서 제2 층을 에칭하는 단계를 포함한다.
본 발명의 바람직한 실시형태에 따라, 기판을 처리하는 방법은, 복수의 사이클을 포함하는 주기적 플라즈마 공정을 수행하는 단계를 포함하며, 복수의 사이클의 하나의 사이클은, 제1 시간 간격 동안, 플라즈마 공정 챔버의 소스 전극에 제1 소스 전력을 인가하고, 플라즈마 공정 챔버의 바이어스 전극에 제1 바이어스 전력을 인가하며, 탄소를 포함하는 증착 가스를 플라즈마 공정 챔버 내로 유동시킴으로써, 금속 산화물 층에 비하여 실리콘 함유 층 위에 폴리머 막을 선택적으로 증착하는 단계; 제2 시간 간격 동안, 불소를 포함하는 에칭 가스로 플라즈마 공정 챔버를 퍼지하는 단계; 및 제3 시간 간격 동안, 소스 전극에 제2 소스 전력을 인가하고, 바이어스 전극에 제2 바이어스 전력을 인가하며, 에칭 가스를 플라즈마 공정 챔버 내로 유동시킴으로써, 금속 산화물 층을 에칭하는 단계로서, 제1 층은 제3 간격 동안 폴리머 막의 아래에 커버되는, 단계를 포함한다.
본 발명의 바람직한 실시형태에 따라, 기판을 처리하기 위한 방법은, 복수의 사이클을 포함하는 주기적 플라즈마 공정을 수행하는 단계를 포함하며, 복수의 사이클의 각각의 사이클은, 기판을 포함하는 플라즈마 공정 챔버를 탄소를 포함하는 증착 가스로 퍼지하는 단계로서, 기판은, 금속 라인을 커버하는 금속 산화물 에칭 정지 층(ESL) 위에 패터닝된 층간 유전체(ILD) 층 및 하드 마스크를 포함하고, 하드 마스크, ILD 층, 및 금속 산화물 ESL은 외측 노출된 표면을 포함하는, 단계; 증착 가스로부터 발생된 제1 플라즈마에 기판을 노출시켜서, 금속 산화물 ESL에 비하여 하드 마스크 및 ILD 층 위에 우선적으로 폴리머 막을 증착함으로써, 영역-선택적 플라즈마 증착 공정을 수행하는 단계; 불소를 포함하는 에칭 가스로 플라즈마 공정 챔버를 퍼지하는 단계; 및 에칭 가스로부터 발생된 제2 플라즈마에 기판을 노출시켜서, 하드 마스크 및 ILD 층에 비하여 금속 산화물 ESL을 우선적으로 에칭함으로써, 에칭 공정을 수행하는 단계를 포함한다.
이제 본 발명, 및 이의 이점에 대한 보다 완전한 이해를 위해, 첨부된 도면과 함께 고려되는 이하의 설명을 참조하며, 첨부된 도면으로서:
도 1a 내지 도 1m은 다양한 실시형태에 따라, 주기적 플라즈마 공정(도 1g 내지 도 1i)을 수행하는 듀얼 다마신(dual damascene) 라인 후단(back-end-of-line: BEOL) 공정 흐름에서 다양한 중간 제조 단계의 기판의 단면도를 도시하는 것으로서, 도 1a는 유입 기판을 도시하고, 도 1b는 트렌치 에칭 후를 도시하며, 도 1c는 트렌치 재충전 후를 도시하고, 도 1d는 포토레지스트 패터닝 후를 도시하며, 도 1e는 비아 에칭 후를 도시하고, 도 1f는 상부 차단 층의 제거 후를 도시하며, 도 1g는 영역-선택적 플라즈마 증착 공정 후를 도시하고, 도 1h는 에칭 정지 층(ESL)의 에칭 후를 도시하며, 도 1i는 주기적 플라즈마 공정의 완료 후를 도시하고, 도 1j는 하부 차단 층의 제거 후를 도시하며, 도 1k는 확산 장벽 층 증착 후를 도시하고, 도 1l은 금속 배선 후를 도시하며, 도 1m은 평탄화 후를 도시한다;
도 2a 내지 도 2e는 대안적인 실시형태에 따라, 주기적 플라즈마 공정을 수행하는 듀얼 다마신 BEOL 공정 흐름에서 다양한 중간 제조 단계의 기판의 단면도를 도시하는 것으로서, 도 2a는 유입 기판을 도시하고, 도 2b는 비아 에칭 후를 도시하며, 도 2c는 비아 재충전 후를 도시하고, 도 2d는 포토레지스트 패터닝 후를 도시하며, 도 2e는 트렌치 에칭 후를 도시한다;
도 3a 내지 도 3c는 상이한 실시형태에 따라, 주기적 플라즈마 공정을 수행하는 기판의 단면도를 도시하는 것으로서, 도 3a는 제1 영역-선택적 플라즈마 증착 공정 후의 기판을 도시하고, 도 3b는 제2 영역-선택적 플라즈마 증착 공정 후를 도시하며, 도 3c는 에칭 정지 층(ESL)의 에칭 후를 도시한다;
도 4a 내지 도 4d는 다양한 실시형태에 따른 주기적 플라즈마 공정의 흐름도를 도시하는 것으로서, 도 4a는 도 1g 내지 도 1i에서 설명된 실시형태에 따른 주기적 플라즈마 공정을 도시하고, 도 4b는 도 1a 내지 도 1m에서 설명된 예시적인 실시형태에 따라, 주기적 플라즈마 공정(트렌치-우선)을 포함하는 듀얼 다마신 BEOL 공정을 도시하며, 도 4c는 도 2a 내지 도 2e 및 도 1f 내지 도 1m에서 설명된 대안적인 실시형태에 따라, 주기적 플라즈마 공정(비아-우선)을 포함하는 다른 듀얼 다마신 BEOL 공정을 도시하고, 도 4d는 도 3a 내지 도 3c에서 설명된 다른 대안적인 실시형태에 따른 주기적 플라즈마 공정을 도시한다;
도 5a 및 도 5b는 플라즈마 공정 도구에서의 주기적 플라즈마 공정의 일 실시형태의 방법을 도시하는 것으로서, 도 5a는 주기적 플라즈마 공정의 시분할 다중화(time-multiplexed) 구현예의 하나의 사이클 동안의 타이밍도를 도시하고, 도 5b는 도 5a의 실시형태에 따른 주기적 플라즈마 공정의 흐름도를 도시한다;
도 6은 도 4a 내지 도 4d 및 도 5a 내지 도 5b의 흐름도에 도시된, 주기적 플라즈마 에칭 공정의 시분할 다중화 구현예를 수행하기 위한 플라즈마 시스템의 단면도를 도시한다; 그리고
도 7a 및 도 7b는 도 4a 내지 도 4d 및 도 5a 내지 도 5b의 흐름도에 도시된, 주기적 플라즈마 공정의 공간적으로 분리된 구현예를 수행하기 위한 플라즈마 시스템을 도시하는 것으로서, 도 7a는 평면도를 도시하고, 도 7b는 단면도를 도시한다.
도 1a 내지 도 1m은 다양한 실시형태에 따라, 주기적 플라즈마 공정(도 1g 내지 도 1i)을 수행하는 듀얼 다마신(dual damascene) 라인 후단(back-end-of-line: BEOL) 공정 흐름에서 다양한 중간 제조 단계의 기판의 단면도를 도시하는 것으로서, 도 1a는 유입 기판을 도시하고, 도 1b는 트렌치 에칭 후를 도시하며, 도 1c는 트렌치 재충전 후를 도시하고, 도 1d는 포토레지스트 패터닝 후를 도시하며, 도 1e는 비아 에칭 후를 도시하고, 도 1f는 상부 차단 층의 제거 후를 도시하며, 도 1g는 영역-선택적 플라즈마 증착 공정 후를 도시하고, 도 1h는 에칭 정지 층(ESL)의 에칭 후를 도시하며, 도 1i는 주기적 플라즈마 공정의 완료 후를 도시하고, 도 1j는 하부 차단 층의 제거 후를 도시하며, 도 1k는 확산 장벽 층 증착 후를 도시하고, 도 1l은 금속 배선 후를 도시하며, 도 1m은 평탄화 후를 도시한다;
도 2a 내지 도 2e는 대안적인 실시형태에 따라, 주기적 플라즈마 공정을 수행하는 듀얼 다마신 BEOL 공정 흐름에서 다양한 중간 제조 단계의 기판의 단면도를 도시하는 것으로서, 도 2a는 유입 기판을 도시하고, 도 2b는 비아 에칭 후를 도시하며, 도 2c는 비아 재충전 후를 도시하고, 도 2d는 포토레지스트 패터닝 후를 도시하며, 도 2e는 트렌치 에칭 후를 도시한다;
도 3a 내지 도 3c는 상이한 실시형태에 따라, 주기적 플라즈마 공정을 수행하는 기판의 단면도를 도시하는 것으로서, 도 3a는 제1 영역-선택적 플라즈마 증착 공정 후의 기판을 도시하고, 도 3b는 제2 영역-선택적 플라즈마 증착 공정 후를 도시하며, 도 3c는 에칭 정지 층(ESL)의 에칭 후를 도시한다;
도 4a 내지 도 4d는 다양한 실시형태에 따른 주기적 플라즈마 공정의 흐름도를 도시하는 것으로서, 도 4a는 도 1g 내지 도 1i에서 설명된 실시형태에 따른 주기적 플라즈마 공정을 도시하고, 도 4b는 도 1a 내지 도 1m에서 설명된 예시적인 실시형태에 따라, 주기적 플라즈마 공정(트렌치-우선)을 포함하는 듀얼 다마신 BEOL 공정을 도시하며, 도 4c는 도 2a 내지 도 2e 및 도 1f 내지 도 1m에서 설명된 대안적인 실시형태에 따라, 주기적 플라즈마 공정(비아-우선)을 포함하는 다른 듀얼 다마신 BEOL 공정을 도시하고, 도 4d는 도 3a 내지 도 3c에서 설명된 다른 대안적인 실시형태에 따른 주기적 플라즈마 공정을 도시한다;
도 5a 및 도 5b는 플라즈마 공정 도구에서의 주기적 플라즈마 공정의 일 실시형태의 방법을 도시하는 것으로서, 도 5a는 주기적 플라즈마 공정의 시분할 다중화(time-multiplexed) 구현예의 하나의 사이클 동안의 타이밍도를 도시하고, 도 5b는 도 5a의 실시형태에 따른 주기적 플라즈마 공정의 흐름도를 도시한다;
도 6은 도 4a 내지 도 4d 및 도 5a 내지 도 5b의 흐름도에 도시된, 주기적 플라즈마 에칭 공정의 시분할 다중화 구현예를 수행하기 위한 플라즈마 시스템의 단면도를 도시한다; 그리고
도 7a 및 도 7b는 도 4a 내지 도 4d 및 도 5a 내지 도 5b의 흐름도에 도시된, 주기적 플라즈마 공정의 공간적으로 분리된 구현예를 수행하기 위한 플라즈마 시스템을 도시하는 것으로서, 도 7a는 평면도를 도시하고, 도 7b는 단면도를 도시한다.
본 개시물은, 부식성 에칭 가스에서 확인되는 바와 같은 금속 부식을 유발하지 않으면서, 불소를 포함하는 에칭 가스를 사용하여, 실리콘 함유 또는 다른 재료에 비하여 금속 산화물 재료를 선택적으로 제거하기 위한 주기적 플라즈마 공정 방법의 실시형태를 설명한다. 아래에 추가로 설명되는 바와 같이, 주기적 플라즈마 공정은, 반도체 소자 제조의 다마신 라인 후단(BEOL) 공정의 일부로서, 산화알루미늄과 같은 금속 산화물을 포함하는 에칭 정지 층(ESL)을 선택적으로 제거하기 위해 사용될 수 있다. 본원에 설명된 실시형태는, 실리콘 함유 하드 마스크 재료 및 층간 유전체(ILD) 재료와 같은 다른 재료의 손실을 감소시키고 금속 부식을 방지하면서, 금속 산화물 재료를 효율적으로 제거하는 이점을 제공한다. 혁신적인 방법은, 아래에 보다 상세히 설명되는 바와 같이, 영역-선택적 플라즈마 증착을 조합하여 실리콘 함유 재료 등 위에 보호 층을 형성하고, 후속적으로 불소 함유 비-부식성 가스를 사용하여 에칭함으로써, 보호 층을 거의 갖지 않거나 전혀 갖지 않는 타겟 금속 산화물 재료를 선택적으로 제거함으로써, 이러한 이점을 달성한다. 본 개시물에서 구리 듀얼 다마신 공정의 맥락에서 설명되지만, 당업자에게 알려져 있는 바와 같이, 주기적 플라즈마 공정은 다른 구조물의 다른 공정 흐름에 적용될 수 있다.
일반적으로, 듀얼 다마신 BEOL 공정은, 구리(Cu) 상호 연결부를 형성하기 위한 집적 회로 제조의 중요한 단계이다. 듀얼 다마신 BEOL 공정에서, 비아 또는 트렌치와 같은 제1 리세스(recess)가 형성되며, 제1 리세스는 일시적인 충전 재료로 충전된다. 그 다음, 제2 리세스가 형성된 다음, 형성된 전체 리세스가 구리 금속으로 충전된 후에, 평탄화가 후속된다. 비아 및 트렌치를 형성하기 위한 공정은, 에칭 정지 층(ESL)의 제거를 포함할 수 있다. ESL은 상이한 금속 층을 분리시키도록 2개의 차단 층 사이에 위치될 수 있다. 이러한 다수의 층의 적층물은 통칭하여 에칭 정지 층으로 지칭될 수 있지만, 본 개시물에서, 이들은 상부 차단 층, 에칭 정지 층(ESL), 및 하부 차단 층으로 별도로 지칭된다. 통상적인 ESL 재료로서 실리콘 탄화물 및 실리콘 질화물이 사용되었지만, 이의 더 낮은 유전상수(박막으로서 약 4 내지 6의 k 값) 및 높은 밀도로 인해, ESL을 위해 산화알루미늄(Al2O3)이 바람직할 수 있다. 그러나, 산화알루미늄 ESL의 제거가 어려웠고, 산화알루미늄 ESL을 에칭하기 위한 통상적인 방법은 만족스럽지 못하다. 예를 들어, 습식 에칭 공정은 등방성인 경향이 있으므로, 하부 임계 치수를 증가시킬 수 있고, 결과적으로 비아-비아 또는 비아-트렌치 단락의 위험이 있을 수 있다. BCl3, HBr, 및 Cl2와 같은 염소(Cl) 또는 브롬(Br) 함유 가스를 사용하는 건식 플라즈마 에칭은 금속 라인 부식을 유발할 수 있다. 반면에, 플라즈마 에칭 공정 시에 플루오로카본과 같은 비-부식성 가스가 사용될 수 있지만, 하드 마스크(HM) 및 층간 유전체(ILD) 재료에 대한 ESL 에칭의 선택성이 낮을 수 있다. 본 개시물의 다양한 실시형태에서, 방법은 하나 이상의 불소 함유 에칭 가스를 사용하는 플라즈마 에칭 공정 및 영역-선택적 플라즈마 증착 공정을 포함하는 주기적 플라즈마 공정을 기반으로 하며, 방법은 바람직하게는 ESL 재료의 더 나은 에칭 선택성을 제공할 수 있다. 이러한 이점으로 인해, 제조 동안 반도체 소자의 임계 치수의 미세 제어를 개선할 수 있다.
다양한 실시형태에서, 금속 산화물 층을 선택적으로 제거하기 위한 주기적 플라즈마 공정은, 반도체 제조 시에 듀얼 다마신 라인 후단(BEOL) 공정의 일부로서 수행된다. 본 개시물의 주기적 플라즈마 공정은 듀얼 다마신 BEOL 공정의 단계로서 설명될 수 있지만, 주기적 플라즈마 공정은 단일 다마신 BEOL 공정 또는 다른 제조 공정에 적용될 수 있다.
도 1a 내지 도 1m에서, 다양한 실시형태에 따라, ESL 제거를 위한 주기적 플라즈마 공정을 수행하는 듀얼 다마신 BEOL 공정 흐름(트렌치-우선)이 먼저 도시된다. 대안적인 실시형태의 듀얼 다마신 BEOL 공정 흐름(비아-우선)이 도 2a 내지 도 2e에 도시된다. 또한, 다른 대안적인 실시형태에 따라, 2개의 영역-선택적 플라즈마 증착 공정 단계를 갖는 주기적 플라즈마 공정이 도 3a 내지 도 3c에 도시된다. 주기적 플라즈마 공정의 다수의 공정 흐름은 상이한 실시형태에서 도 4a 내지 도 4d를 참조하여 추가로 설명된다. 주기적 플라즈마 공정의 단계는 시간적으로 또는 공간적으로 분리될 수 있다. 시간적/공간적 분리를 설명하기 위해, 주기적 플라즈마 공정의 시분할 다중화 구현예의 하나의 사이클 동안의 타이밍도가 도 5a에 도시되며, 해당 공정 흐름도가 도 5b에 도시된다. 예시적인 플라즈마 공정 시스템은 도 6에 도시된다. 대안적인 플라즈마 공정 시스템 및 해당 공간적 분리 방법이 도 7a 및 도 7b에 도시된다.
도 1a는 유입 기판(90)의 단면도를 도시한다. 다양한 실시형태에서, 기판(90)은 반도체 소자의 일부일 수 있으며, 예를 들어, 통상적인 공정에 따른 다수의 공정 단계를 거쳤을 수 있다. 이에 따라, 기판(90)은 다양한 마이크로 전자 기술에서 유용한 반도체 층을 포함할 수 있다. 예를 들어, 반도체 구조물은, 다양한 소자 영역이 형성되는 기판(90)을 포함할 수 있다.
하나 이상의 실시형태에서, 기판(90)은 실리콘 웨이퍼, 또는 실리콘-온-절연체(SOI) 웨이퍼일 수 있다. 특정 실시형태에서, 기판(90)은 실리콘 게르마늄 웨이퍼, 탄화 규소 웨이퍼, 갈륨 비소 웨이퍼, 질화 갈륨 웨이퍼, 및 다른 화합물 반도체를 포함할 수 있다. 다른 실시형태에서, 기판(90)은, 실리콘 게르미늄 온 실리콘, 질화 갈륨 온 실리콘, 실리콘 탄소 온 실리콘과 같은 이종 층 뿐만 아니라, 실리콘 온 실리콘 층 또는 SOI 기판을 포함한다. 다양한 실시형태에서, 기판(90)은 반도체 소자의 다른 구성 요소 내에 패터닝되거나 내장된다.
도 1a에 도시된 바와 같이, 기판(90)은 기판 위에 금속 층(100)을 더 포함할 수 있다. 일부 실시형태에서, 기판(90)과 금속 층(100) 사이에 유전체 층과 같은 하나 이상의 층이 존재할 수 있다. 다양한 실시형태에서, 금속 층(100)은 기판(90) 위에 패터닝된 금속 상호 연결부의 일부로서 형성될 수 있다. 다양한 실시형태에서, 금속 층(100)은 구리(Cu), 알루미늄(Al), 도핑된 폴리실리콘, 텅스텐, 티타늄 질화물, 탄탈럼 질화물, 루테늄, 및 다른 금속을 포함할 수 있다. 금속 층(100)은 예를 들어, 물리 기상 증착(PVD)을 사용하는 증착에 의해 형성될 수 있다.
금속 층(100) 위에 하부 차단 층(115)으로서 유전체 층이 증착될 수 있다. 특정 실시형태에서, 하부 차단 층(115)은, 실리콘 질화물 및 실리콘 탄소 질화물(SiCN)과 같은 실리콘계 유전체 재료를 포함할 수 있다. 하부 차단 층(115)은, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 및 원자층 증착(ALD)을 포함하는 기상 증착과 같은 증착 기술 뿐만 아니라, 플라즈마 강화 CVD(PECVD), 스퍼터링, 및 다른 공정과 같은 다른 플라즈마 공정을 사용하여 증착될 수 있다.
하부 차단 층(115) 위에 에칭 정지 층(ESL)(120)으로서 다른 유전체 층이 추가로 증착될 수 있다. 다양한 실시형태에서, ESL(120)은 산화알루미늄, 질화알루미늄, 또는 산화지르코늄을 포함할 수 있다. ESL(120)은, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 및 원자층 증착(ALD)을 포함하는 기상 증착과 같은 증착 기술 뿐만 아니라, 플라즈마 강화 CVD(PECVD), 스퍼터링, 및 다른 공정과 같은 다른 플라즈마 공정을 사용하여 증착될 수 있다. 특정 실시형태에서, ESL(120)의 두께는 2 nm 내지 5 nm일 수 있다.
도 1a를 계속 참조하면, ESL(120) 위에 상부 차단 층(125)이 형성될 수 있다. 특정 실시형태에서, 상부 차단 층(125)은, 실리콘 질화물 및 실리콘 탄소 질화물(SiCN)과 같은 실리콘계 유전체 재료를 포함할 수 있다. 상부 차단 층(125)은 전형적으로 하부 차단 층(115)과 동일한 재료일 수 있다. 상부 차단 층(125)은, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 및 원자층 증착(ALD)을 포함하는 기상 증착과 같은 증착 기술 뿐만 아니라, 플라즈마 강화 CVD(PECVD), 스퍼터링, 및 다른 공정과 같은 다른 플라즈마 공정을 사용하여 증착될 수 있다.
상부 차단 층(125) 위에, 층간 유전체(ILD) 층(130)으로서 다른 유전체 층이 형성될 수 있다. ILD 층(130)은, 유기실리케이트 유리(SiCOH), 고밀도 SiCOH, 다공성 SiCOH, 및 다른 다공성 유전체 재료와 같은, 낮은 유전상수(즉, 로우(low)-k 값)를 갖는 실리콘계 유전체 재료를 포함할 수 있다. ILD 층(130)은, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 및 원자층 증착(ALD)을 포함하는 기상 증착과 같은 증착 기술 뿐만 아니라, 플라즈마 강화 CVD(PECVD), 스퍼터링, 및 다른 공정과 같은 다른 플라즈마 공정을 사용하여 증착될 수 있다.
도 1a에 추가로 도시된 바와 같이, 층간 유전체(ILD) 층(130) 위에 제1 하드 마스크(140)가 형성될 수 있다. 특정 실시형태에서, 제1 하드 마스크(140)는 실리콘 질화물을 포함할 수 있다. 대안적인 실시형태에서, 제1 하드 마스크(140)는 실리콘 이산화물(SiO2) 또는 티타늄 질화물을 포함할 수 있다. 또한, 제1 하드 마스크(140)는, 예를 들어, 2개의 상이한 재료를 사용하는 2개 이상의 층을 포함하는 적층형 하드 마스크일 수 있다. 제1 하드 마스크(140)의 제1 층은, 티타늄 질화물, 티타늄, 탄탈럼 질화물, 탄탈럼, 텅스텐계 화합물, 루테늄계 화합물, 또는 알루미늄계 화합물과 같은, 금속계 층을 포함할 수 있으며, 제1 하드 마스크(140)의 제2 층은, 실리콘 이산화물, 실리콘 질화물, 실리콘 옥시나이트라이드, 실리콘 탄화물, 비정질 실리콘, 또는 다결정질 실리콘과 같은, 유전체 층을 포함할 수 있다. 제1 하드 마스크(140)는, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 및 원자층 증착(ALD)을 포함하는 기상 증착과 같은 증착 기술 뿐만 아니라, 플라즈마 강화 CVD(PECVD), 스퍼터링, 및 다른 공정과 같은 다른 플라즈마 공정을 사용하여 증착될 수 있다.
제1 포토레지스트(150)는 예를 들어, 코팅 공정 또는 스핀온(spin-on) 공정을 사용하여, 제1 하드 마스크(140) 위에 증착될 수 있다. 다양한 실시형태에서, 제1 포토레지스트(150)는 감광성 유기 재료를 포함할 수 있으며, 예를 들어, 통상적인 스핀 코팅 기술에 의해, 용액으로부터 제1 하드 마스크(140) 위에 도포될 수 있다. 도 1a에 도시된 바와 같이, 제1 포토레지스트(150)는 통상적인 포토리소그래피 기술을 사용하여 패턴으로 패터닝될 수 있다. 다양한 실시형태에서, 제1 포토레지스트(150)의 패턴은 제1 리세스 형성을 위해 사용될 수 있다. 특정 실시형태에서, 도 1b에 도시된 바와 같이, 제1 패턴은 트렌치 형성을 위해 사용될 수 있다.
도 1b는 트렌치 형상부(feature)를 형성하기 위한 트렌치 에칭 후의 기판(90)을 도시한다. 도 1a의 제1 포토레지스트(150)는 마스크 층으로서 기능하며, 제1 포토레지스트(150)의 패턴이 전사된다. 트렌치 에칭에 따라, 제1 포토레지스트(150)에 의해 마스킹되지 않은 층간 유전체(ILD) 층(130) 및 제1 하드 마스크(140)의 일부분을 이방성으로 제거한다. 도 1b에 도시된 바와 같이, 트렌치 에칭은, 상부 차단 층(125)이 노출되기 전에 중단될 수 있으므로, 이후 단계에서 비아 형성을 위한 공간이 존재할 것이다. 트렌치 에칭을 중단시키기 위해 에칭 정지 층이 사용될 수 있지만, 일부 실시형태에서, 시한(timed) 에칭이 사용될 수도 있다. 특정 실시형태에서, 트렌치 에칭은, 하나 이상의 습식 에칭 공정, 플라즈마 에칭 공정, 반응성 이온 에칭(RIE) 공정, 또는 이들 또는 다른 에칭 공정의 조합을 포함한다. 트렌치 에칭 후의 임의의 잔류 제1 포토레지스트(150)는, 예를 들어, 통상적인 플라즈마 애싱(ashing) 기술에 의해 기판(90)으로부터 제거될 수 있다.
도 1c는 트렌치 재충전 및 제2 하드 마스크(142) 및 제2 포토레지스트(152)의 증착 후의 기판(90)을 도시한다. 트렌치 재충전 시에, 임의의 잔류 제1 하드 마스크(140)를 제거한 후에, 갭 충전 재료 또는 유기 하부 반사방지 코팅(BARC)으로 지칭되는 충전 재료(160)가 이전 단계에서 형성된 트렌치 형상부를 충전한다. 충전 재료(160)는 유기 용제의 용액으로부터 스핀 도포될 수 있다. 일부 실시형태에서, 충전 재료(160)는, 기상 증착을 포함하는 다른 증착 기술을 사용하여 증착될 수 있다. ILD 층(130)의 주 표면과 동일 평면 상에 있도록 충전 재료(160)의 표면을 평탄화하기 위해, 화학 기계적 평탄화 공정과 같은 평탄화 공정이 사용될 수 있다.
또한, 도 1c를 계속 참조하면, 다음 패터닝 단계를 위해 제2 하드 마스크(142) 및 제2 포토레지스트(152)가 도포된다. 제2 하드 마스크(142)는 앞서 설명된 제1 하드 마스크(140)와 유사할 수 있지만, 일부 실시형태에서는, 상이한 조성을 가질 수 있다. 제2 하드 마스크(142)는, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 및 원자층 증착(ALD)을 포함하는 기상 증착과 같은 증착 기술 뿐만 아니라, 플라즈마 강화 CVD(PECVD), 스퍼터링, 및 다른 공정과 같은 다른 플라즈마 공정을 사용하여 증착될 수 있다. 제2 포토레지스트(152)는 앞서 설명된 제1 포토레지스트(150)와 유사할 수 있지만, 일부 실시형태에서는, 상이한 조성을 가질 수 있다. 제2 포토레지스트(152)는 예를 들어, 통상적인 스핀 코팅 기술에 의해, 용액으로부터 제2 하드 마스크(142) 위에 도포된다.
도 1d는 하나 이상의 리소그래피 공정에 의해 제2 포토레지스트(152)의 패턴을 한정한 후의 기판(90)을 도시한다. 다양한 실시형태에서, 제2 포토레지스트(152)의 패턴은 제2 리세스 형성을 위해 사용될 수 있다. 특정 실시형태에서, 도 1e에 도시된 바와 같이, 제2 포토레지스트(152)의 패턴은 비아 형성을 위해 사용될 수 있다.
도 1e는 비아 에칭 다음에 충전 재료(160)를 제거한 후의 기판(90)을 도시한다. 도 1d의 제2 포토레지스트(152)는 마스크 층으로서 기능하며, 제2 포토레지스트(152)의 패턴이 전사된다. 비아 에칭에 따라, 제2 포토레지스트(152)에 의해 마스킹되지 않은 층간 유전체(ILD) 층(130), 충전 재료(160), 및 제2 하드 마스크(142)의 일부분을 이방성으로 제거한다. 잔류 제2 포토레지스트(152) 및 충전 재료(160)는 예를 들어, 통상적인 플라즈마 애싱 기술에 의해 제거될 수 있다. 이러한 제거 단계에서, 충전 재료(160) 위에 분리된 제2 하드 마스크(142)의 일부분도 제거될 수 있다. 특정 실시형태에서, 비아 에칭 공정은, 하나 이상의 습식 에칭 공정, 플라즈마 에칭 공정, 반응성 이온 에칭(RIE) 공정, 또는 이들 또는 다른 에칭 공정의 조합을 포함한다.
도 1f는 형성된 비아의 하부에서 상부 차단 층(125)을 제거한 후의 기판(90)을 도시한다. 다양한 실시형태에서, 상부 차단 층의 제거는, 후속 주기적 플라즈마 공정 전에, 에칭 정지 층(ESL)(120)의 일부분을 노출시키는 에칭 공정에 의해 수행될 수 있다(예를 들어, 도 1g 내지 도 1i). 특정 실시형태에서, 상부 차단 층(125)의 에칭은, 하나 이상의 습식 에칭 공정, 플라즈마 에칭 공정, 반응성 이온 에칭(RIE) 공정, 또는 이들 또는 다른 에칭 공정의 조합을 포함한다. 특정 실시형태에서, 상부 차단 층(125)의 에칭 및 비아 에칭은 단일 에칭 단계로 수행될 수 있다. 특정 실시형태에서, 아래에 설명되는 주기적 플라즈마 공정은, 비아 에칭 또는 상부 차단 층(125)의 에칭의 목적의 적어도 일부를 달성하는 방식으로 수행될 수 있다.
특정 실시형태에서, 주기적 플라즈마 공정을 수행하기 전에, 이하의 주기적 플라즈마 공정의 선택성을 향상시키기 위해, 기판(90) 상에 존재하는 층(예를 들어, 도 1f의 제2 하드 마스크(142) 및 층간 유전체(ILD) 층(130))의 표면 말단기(terminal group)의 조성을 화학적 또는 물리적으로 변경하도록 선택적인 전처리가 수행될 수 있다. 예를 들어, 선택적인 전처리는, 수소 함유 환원제를 사용하는 플라즈마 처리일 수 있다. 일 실시형태에서, 분자 수소(H2)를 사용하는 플라즈마 처리가 수행될 수 있다.
도 1g는 폴리머 막(165)을 형성하기 위한 영역-선택적 플라즈마 증착 공정 후의 기판(90)을 도시한다. 폴리머 막(165)의 형성은, 다양한 실시형태에서 주기적 플라즈마 공정의 제1 플라즈마 단계이다. 다양한 실시형태에서, 증착 가스의 플라즈마에 기판(90)을 노출시킴으로써, ESL(120)에 비하여, 제2 하드 마스크(142) 및 층간 유전체(ILD) 층(130) 위에 폴리머 막(165)이 선택적으로 형성될 수 있다. 다양한 실시형태에서, ESL(120)에 비하여 제2 하드 마스크(142) 또는 층간 유전체(ILD) 층(130) 위의 증착 비율은, 5:1 내지 1000:1일 수 있으므로, ESL(120) 위에 폴리머 막이 거의 또는 전혀 형성되지 않을 수 있다. 따라서, 폴리머 막(165)은, ESL(120)보다 제2 하드 마스크(142) 또는 층간 유전체(ILD) 층(130) 상에서 훨씬 더 두껍다.
다양한 실시형태에서, 증착 가스는, 탄소 및 희석제를 포함하는 폴리머 막 전구체의 혼합물을 포함한다. 예를 들어, 다양한 실시형태에서, 폴리머 막 전구체는 알칸이다. 특정 실시형태에서, 증착 가스는, 임의의 비율의 메탄(CH4) 및 아르곤(Ar)의 혼합물이다. 일부 실시형태에서, 증착 가스는, 수소(H2) 또는 질소(N2)를 더 포함할 수 있다. 일부 실시형태에서, 증착 가스는, 에탄, 에틸렌, 및 다른 탄화수소를 더 포함할 수 있다.
일 실시형태에서, 영역-선택적 플라즈마 증착 공정은, 20℃ 내지 120℃의 공정 온도로 CH4 및 Ar의 가스 혼합물을 사용하여 수행될 수 있으며, 산화알루미늄을 포함하는 에칭 정지 층(ESL)(120)에 비하여, 실리콘 질화물, 실리콘 산화물, 및 유기실리케이트 유리(SiCOH)와 같은 실리콘 함유 재료를 포함하는 층간 유전체(ILD) 층(130) 및 제2 하드 마스크(142) 위에 폴리머 막(165)이 우선적으로 형성된다. 예를 들어, 테트라에틸 오르토실리케이트(TEOS)를 전구체로 사용하는 플라즈마 강화 CVD 또는 유동성 CVD에 의해, 실리콘 산화물이 제조될 수 있다. 상이한 실시형태에서, 산화알루미늄을 포함하는 ESL(120)에 비하여, 티타늄 질화물을 포함하는 제2 하드 마스크(142) 위에 폴리머 막(165)이 우선적으로 형성될 수 있다.
도 1h는 주기적 플라즈마 공정의 제2 플라즈마 단계에서, 불소를 포함하는 가스를 사용하여 ESL(120)을 에칭한 후의 기판(90)을 도시한다. ESL(120)의 노출된 부분이 이방성으로 에칭되는 동안, 도 1g의 상기 이전 단계(영역-선택적 플라즈마 증착 공정)의 제1 플라즈마 단계에 의해 형성된 폴리머 막(165)은, 제2 하드 마스크(142) 및 ILD 층(130)을 보존하기 위한 보호 층으로서 기능한다. 제2 하드 마스크(142) 또는 층간 유전체(ILD) 층(130) 위에 형성된 더 두꺼운 폴리머 막(165)은 에칭으로부터 하부 재료를 보호함으로써, 심지어 비-선택적인 또는 더 적은 에천트가 사용될 수 있도록 한다. ESL(120) 상에 존재하는 경우 임의의 폴리머 막(165)은 더 얇으므로, 먼저 에칭된 다음, 노출된 ESL(120)이 에칭될 것이다.
다양한 실시형태에서, 불소 함유 에칭 가스는, 구리를 포함하는 금속에 부식성이 아닌 가스를 포함할 수 있다. 다양한 실시형태에서, 불소 함유 가스는 염소 또는 브롬을 포함하지 않으며, 연장된 시간 기간 동안 금속 라인의 금속 부식을 유발하지 않을 수 있다. 이는 제품 수명 동안 구리의 부식을 유발하여 제품 고장을 야기할 수 있는 염소 또는 브롬 함유 가스와는 다르다.
다양한 실시형태에서, 불소 함유 에칭 가스는, 테트라플루오로메탄(CF4), 삼플루오르화질소(NF3), 플루오로포름(CHF3), 디플루오로메탄(CH2F2), 플루오로메탄(CH3F), 및 이들의 조합물을 포함한다. 불소 함유 에칭 가스는, 전술한 바와 같은 염소 또는 브롬과 유사하게, 금속 라인의 금속 부식을 유발할 수 있는 황을 포함하지 않도록 선택될 수 있다. 특정 실시형태에서, 에칭 가스는 임의의 비율의 산소(O2)를 더 포함한다. 하나 이상의 실시형태에서, 에칭 가스는 0.1 부피% 내지 10 부피%의 산소를 더 포함한다. 에칭 가스는 임의의 비율로 아르곤(Ar) 및 질소(N2)와 같은 희석제를 더 포함할 수 있다. 다양한 실시형태에서, 선택적 ESL 제거의 타겟 양을 달성하기 위해, 영역-선택적 플라즈마 증착(제1 플라즈마 단계) 및 후속 에칭 플라즈마 공정(제2 플라즈마 단계)이 반복된다. 주기적 플라즈마 공정의 다양한 실시형태는 도 4a 내지 도 4d를 참조하여 추가로 설명될 것이다.
특정 실시형태에서, 에칭 플라즈마 공정(제2 플라즈마 단계)은, 60℃ 내지 120℃의 공정 온도에서 테트라플루오로메탄(CF4)의 플라즈마를 사용하여, 실리콘 질화물, 실리콘 산화물, 및 유기실리케이트 유리(SiCOH)와 같은 실리콘 함유 재료를 포함하는 층간 유전체(ILD) 층(130) 및 폴리머 막-보호된 제2 하드 마스크(142)에 비하여, 산화알루미늄을 포함하는 ESL(120)을 우선적으로 제거한다. 상이한 실시형태에서, 산화알루미늄을 포함하는 ESL(120)은, 티타늄 질화물을 포함하는 폴리머 막-보호된 제2 하드 마스크(142)에 비하여 우선적으로 에칭될 수 있다.
도 1i는 주기적 플라즈마 공정의 완료 후의 기판(90)을 도시한다. 다양한 실시형태에 따라, 비아를 하부 차단 층(115)으로 연장시키는, 도 1d에 한정된 제2 포토레지스트(152)의 패턴에 해당하는 ESL(120)의 일부분이 제거된다. 도 1i에 도시된 실시형태에서, 주기적 플라즈마 공정의 공정 파라미터는, ESL(120)을 제거할 뿐만 아니라, 폴리머 막(165)을 또한 제2 하드 마스크(142) 및 ILD 층(130)으로부터 완전히 제거하도록 최적화될 수 있다.
다양한 실시형태에서, 주기적 플라즈마 공정 후에, 듀얼 다마신 라인 후단(BEOL) 공정의 추가적인 단계가 수행되어 금속 상호 연결부를 형성할 수 있다. 도 1j는 형성된 비아의 패턴에 따라 금속 층(100)의 일부분을 노출시키는, 하부 차단 층(115)의 일부분을 제거하기 위한 에칭 후의 기판(90)을 도시한다. 특정 실시형태에서, 하부 차단 층(115)의 에칭은, 하나 이상의 습식 에칭 공정, 플라즈마 에칭 공정, 반응성 이온 에칭(RIE) 공정, 또는 이들 또는 다른 에칭 공정의 조합을 포함한다. 특정 실시형태에서, 하부 차단 층(115)의 에칭의 적어도 일부, 또는 듀얼 다마신 BEOL 공정의 임의의 다른 후속 단계는, 전술한 주기적 플라즈마 공정의 일부로서 통합될 수 있다.
도 1k는 확산 장벽 층(170)의 증착 후의 기판(90)을 도시한다. 특정 실시형태에서, 확산 장벽 층(170)은 탄탈럼, 탄탈럼 질화물, 티타늄, 또는 티타늄 질화물을 포함할 수 있다. 확산 장벽 층은, 금속 층(100) 내의 금속이 제조 동안 다른 구성 요소 내로 확산되는 것을 방지하도록 의도된다. 확산 장벽 층(170)은, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 및 원자층 증착(ALD)을 포함하는 기상 증착, 스퍼터링과 같은 증착 기술 뿐만 아니라, 플라즈마 강화 CVD(PECVD), 및 다른 공정과 같은 다른 플라즈마 공정을 사용하여 증착될 수 있다.
도 1l은 금속 증착 후의 기판(90)을 도시한다. 금속 증착은, 스퍼터링 또는 물리 기상 증착(PVD) 기술을 사용하여 금속(예를 들어, 구리)의 시드 층을 증착한 후에 전기도금에 의해 수행될 수 있다. 이러한 금속 증착 단계에서, 비아 및 트렌치가 금속으로 충전된다. 도 1l에 도시된 바와 같이, 다양한 실시형태에서, 일반적으로 금속 층(100)과 동일한 금속이 금속 증착을 위해 사용될 수 있다.
마지막으로, 도 1m은 평탄화 후의 기판(90)을 도시하는 것으로서, 예를 들어, 화학 기계적 평탄화(CMP) 방법에 의해 과잉 금속이 제거된다.
도 1a 내지 도 1m에 도시된 예시적인 실시형태에서, 트렌치 에칭(도 1b)은 비아 에칭(도 1e) 전에 수행된다. 이러한 순서는 트렌치-우선으로 지칭된다. 그러나, 다른 실시형태에서, 아래의 도 2a 내지 도 2e에 도시된 바와 같이, 트렌치 에칭 전에 비아 에칭이 수행될 수 있다(비아-우선).
도 2a에서, 유입 기판(90)은, 금속 층(100), 하부 차단 층(115), 에칭 정지 층(ESL)(120), 상부 차단 층(125), 층간 유전체(ILD) 층(130)을 포함하는 층 적층물을 갖는, 전술한 이전의 (트렌치-우선) 실시형태와 유사한 구조물을 갖는다. ILD 층(130) 위에, 제1 하드 마스크(240)가 형성된다. 도 1d의 패턴과 유사한, 비아 에칭을 위한 패턴을 갖는 제1 포토레지스트(250)가 제1 하드 마스크(240) 위에 형성될 수 있다. 제1 하드 마스크(240) 및 제1 포토레지스트(250)는 도 1a의 제1 하드 마스크(140) 및 제1 포토레지스트(150)와 각각 유사할 수 있지만, 일부 실시형태에서는, 이들이 상이한 조성을 가질 수 있다.
도 2b는 비아 에칭 후의 기판(90)을 도시한다. 도 2a의 제1 포토레지스트(250)는 마스크 층으로서 기능하며, 제1 포토레지스트(250)의 패턴이 전사된다. 이전의 실시형태에서 앞서 설명된 바와 같이, 제1 포토레지스트(250)에 의해 마스킹되지 않은 층간 유전체(ILD) 층(130) 및 제1 하드 마스크(240)의 일부분을 이방성으로 제거하고, 형성된 비아의 하부에서 상부 차단 층(125)을 노출시키도록, 적절한 에칭 공정을 사용하여 비아 에칭이 수행될 수 있다.
도 2c는 비아 재충전 및 제2 하드 마스크(242) 및 제2 포토레지스트(252)의 증착 후의 기판(90)을 도시한다. 비아 재충전 시에, 임의의 잔류 제1 하드 마스크(240)를 제거한 후에, 충전 재료(260)가 이전 단계에서 형성된 비아 형상부를 충전한다. 충전 재료(260)는 충전 재료(160)와 유사할 수 있지만, 일부 실시형태에서는, 상이한 조성을 가질 수 있다. 충전 재료(260)는 유기 용제의 용액으로부터 스핀 도포될 수 있다. 일부 실시형태에서, 충전 재료(260)는, 기상 증착을 포함하는 다른 증착 기술을 사용하여 증착될 수 있다. ILD 층(130)의 주 표면과 동일 평면 상에 있도록 충전 재료(260)의 표면을 평탄화하기 위해, 화학 기계적 평탄화 공정과 같은 평탄화 공정이 사용될 수 있다.
또한, 도 2c에서, 도 1c와 유사하게, 제2 하드 마스크(242) 및 제2 포토레지스트(252)가 ILD 층(130) 및 충전 재료(260) 위에 형성된다. 제2 하드 마스크(242) 및 제2 포토레지스트(252)는 제1 하드 마스크(240) 및 제1 포토레지스트(250)와 각각 유사할 수 있지만, 이들이 상이한 조성을 가질 수 있다. 제2 하드 마스크(242)는, 도 1c의 제2 하드 마스크(142)와 유사하게 적절한 증착 기술을 사용하여 증착될 수 있다. 제2 포토레지스트(252)는 예를 들어, 통상적인 스핀 코팅 기술에 의해, 용액으로부터 제2 하드 마스크(242) 위에 도포될 수 있다.
도 2d는 하나 이상의 리소그래피 공정에 의해 제2 포토레지스트(252)의 패턴을 한정한 후의 기판(90)을 도시한다. 제2 포토레지스트(252)의 패턴은 트렌치 형성을 위해 사용될 수 있다.
도 2e는 트렌치 에칭 후의 기판(90)을 도시한다. 도 2d에서 패터닝된 제2 포토레지스트(252)는 마스크 층으로서 기능하며, 제2 포토레지스트(252)의 패턴이 전사된다. 이전의 실시형태에서 앞서 설명된 바와 같이, 제2 포토레지스트(252)에 의해 마스킹되지 않은 층간 유전체(ILD) 층(130), 충전 재료(260), 및 제2 하드 마스크(242)의 일부분을 이방성으로 제거하도록, 적절한 에칭 공정을 사용하여 트렌치 에칭이 수행될 수 있다. 도 2e에 도시된 바와 같이, 트렌치 에칭은, 충전 재료(260)를 완전히 제거하여 비아의 하부에서 상부 차단 층을 노출시키도록 수행될 수 있지만, 제2 포토레지스트(252)에 의해 마스킹되지 않은 ILD 층(130)의 일부분이 완전히 제거되기 전에 중단될 수 있다. 트렌치 에칭을 중단시키기 위해 에칭 정지 층이 사용될 수 있지만, 일부 실시형태에서, 시한 에칭이 사용될 수도 있다. 임의의 잔류 제2 포토레지스트(252)는 예를 들어, 통상적인 플라즈마 애싱 기술에 의해 제거될 수 있다. 이러한 단계에서, 기판(90)은, 트렌치-우선 실시형태에 의해 형성된 도 1e에 도시된 구조물과 유사한, 트렌치 및 비아 형상부를 갖는 구조물을 갖는다.
후속적으로, 상부 차단 층(125)의 제거, 주기적 플라즈마 공정, 및 후속 공정이 이전 실시형태와 유사하게 수행될 수 있다(예를 들어, 각각 도 1f, 도 1g 내지 도 1i, 및 도 1j 내지 도 1m).
이전 실시형태는 듀얼 다마신 라인 후단(BEOL) 공정의 일부로서 설명되지만, 본 개시물의 주기적 플라즈마 공정은 듀얼 다마신 BEOL 공정으로 제한되지 않으며, 다른 재료(예를 들어, 실리콘 함유 재료) 위에 선택적으로 형성된 하나 이상의 보호 층을 통해 특정 재료(예를 들어, 산화알루미늄)를 선택적으로 제거하도록 다른 제조 공정에 적용될 수 있다. 일 실시형태에서, 주기적 플라즈마 공정은 단일 다마신 BEOL 공정에 적용될 수 있다. 단일 다마신 BEOL 공정의 하나의 사이클에서, 듀얼 다마신 BEOL 공정에서의 2개의 리세스 대신에, 트렌치 또는 비아와 같은 하나의 리세스만이 형성될 수 있다. 예를 들어, 주기적 플라즈마 에칭은 비아 형성(예를 들어, 도 2b) 후에 수행될 수 있다.
다양한 실시형태에서, 주기적 플라즈마 공정은, 2개의 별개의 영역-선택적 플라즈마 증착 공정 단계를 포함할 수 있다. 실시형태의 방법을 통해, 2개보다 많은 재료를 포함하는 표면으로부터의 타겟 재료의 선택적 에칭이 개선될 수 있다. 주기적 플라즈마 공정 전의 트렌치 및 비아의 형성은, 트렌치-우선 방법(예를 들어, 도 1a 내지 도 1e) 또는 비아-우선 방법(예를 들어, 도 2a 내지 도 2e)에 의해 수행될 수 있으며, 이로 인해 도 1f에 도시된 바와 같은 동일한 구조물을 야기한다. 이러한 실시형태에 따른 주기적 플라즈마 공정의 후속 단계가 도 3a 내지 도 3c에 도시된다.
도 3a에서, 제1 증착 가스를 사용하는 제1 영역-선택적 플라즈마 증착 공정(제1 플라즈마 단계) 후의 기판(90)이 도시된다. 제1 증착 가스는, 앞서 도 1g를 참조하여 설명된 바와 같은 증착 가스와 유사할 수 있다. 도시된 바와 같이, 제1 폴리머 막(360)은, 층간 유전체(ILD) 층(130) 및 에칭 정지 층(ESL)(120)에 비하여 제2 하드 마스크(142) 위에 우선적으로 형성될 수 있다. 제1 폴리머 막(360)은 전술한 폴리머 막(165)과 조성이 유사할 수 있으며, 유사하게 형성될 수 있다. 이러한 단계에서, 예를 들어, 제1 증착 가스 조성 및 다른 공정 파라미터는, 제2 하드 마스크(142)에 대한 높은 증착 비율 및/또는 선택성을 달성하도록 선택될 수 있다.
그 다음, 도 3b에서, 제2 증착 가스를 사용하는 제2 영역-선택적 플라즈마 증착 공정(제2 플라즈마 단계) 후의 기판(90)이 도시된다. 제1 폴리머 막(360)과 조성이 상이한 제2 폴리머 막(362)은, 제2 하드 마스크(142) 및 ESL(120)에 비하여 ILD 층(130) 위에 우선적으로 형성될 수 있다. 제1 폴리머 막(360), 제2 폴리머 막(362), 또는 둘 모두는 폴리머 막(165)과 조성이 유사할 수 있다. 일 실시형태에서, 제1 및 제2 폴리머 막(360 및 362)은 조성이 동일할 수 있지만, 제1 및 제2 플라즈마 단계에 대해 상이한 공정 파라미터를 선택함으로써 상이한 비율로 형성될 수 있다. 제2 증착 가스는, 앞서 도 1g를 참조하여 설명된 바와 같은 증착 가스와 유사할 수 있다. 예를 들어, 제2 증착 가스는, 탄소 및 희석제를 포함하는 제2 폴리머 막 전구체의 혼합물을 포함한다. 특정 실시형태에서, 제2 폴리머 막 전구체는 알칸이다. 특정 실시형태에서, 증착 가스는, 임의의 비율의 메탄(CH4) 및 아르곤(Ar)의 혼합물이다. 일부 실시형태에서, 증착 가스는, 수소(H2) 또는 질소(N2)를 더 포함할 수 있다. 제2 증착 가스는, 제1 영역-선택적 플라즈마 증착 공정을 위한 제1 증착 가스와 동일할 수 있거나 동일하지 않을 수 있다. 제2 증착 가스 조성 및 다른 공정 파라미터는, 층간 유전체(ILD) 층(130)에 대한 높은 증착 비율 및/또는 선택성을 달성하도록 선택될 수 있다.
일 실시형태에서, 제1 플라즈마 단계의 공정 파라미터는, 실리콘을 포함하는 하드 마스크 위에 제1 폴리머 막(360)의 형성을 위해 최적화될 수 있는 반면에, 제2 플라즈마 단계의 공정 파라미터는, SiCOH와 같은 로우-k 유전체를 포함하는 ILD 층(130) 위에 제2 폴리머 막(362)의 형성을 위해 최적화될 수 있으며, 두 조건 모두는, 산화알루미늄을 포함하는 에칭 정지 층(ESL)(120)에 비하여 여전히 선택적이다.
도 3c는 주기적 플라즈마 공정의 제3 플라즈마 단계에서, 불소를 포함하는 가스를 사용하여 ESL(120)을 에칭한 후의 기판(90)을 도시한다. 앞서 도 1h에서 설명된 바와 같이, ESL(120)의 노출된 부분은 이방성으로 에칭된다. 위의 제1 및 제2 영역-선택적 플라즈마 증착 공정 단계(제1 및 제2 플라즈마 단계)에 의해 형성된 제1 및 제2 폴리머 막(360 및 362)은, 제2 하드 마스크(142) 및 ILD 층(130)을 각각 보존하기 위한 보호 층으로서 기능한다.
전술한 실시형태(예를 들어, 도 3a 내지 도 3c)는 2개의 영역-선택적 플라즈마 증착 공정 단계를 포함하지만, 대안적인 실시형태에서, 주기적 플라즈마 공정은, 임의의 수의 영역-선택적 플라즈마 증착 공정 단계 및 하나 이상의 에칭 공정을 포함할 수 있다.
이하에서, 도 4a 내지 도 4d는 앞서 설명된 실시형태에 해당하는 주기적 플라즈마 공정의 공정 흐름도를 도시하는 것으로서, 각각 도 4a는 도 1g 내지 도 1i에 해당하고, 도 4b는 도 1a 내지 도 1m에 해당하며, 도 4c는 도 2a 내지 도 2e에 해당하고, 도 4d는 도 3a 내지 도 3c에 해당한다.
다양한 실시형태에서, 예를 들어, 도 1g 내지 도 1i에 해당하는, 주기적 플라즈마 공정의 모든 단계는, 플라즈마 공정 도구 내에서 수행될 수 있다.
도 4a에서, 다양한 실시형태에 따라, 주기적 플라즈마 공정의 공정 흐름이 도시된다. 주기적 플라즈마 공정(블록(450A))은, 4개의 주요 단계로서, 탄소를 포함하는 증착 가스로 플라즈마 공정 도구의 플라즈마 공정 챔버를 퍼지하는 단계(블록(451)); 증착 가스로부터 발생된 플라즈마에 기판을 노출시키는 단계(블록(452))(예를 들어, 도 1g); 불소를 포함하는 에칭 가스로 플라즈마 공정 챔버를 퍼지하는 단계(블록(455)); 및 에칭 가스의 플라즈마에 기판을 노출시키는 단계(블록(456))(예를 들어, 도 1h)를 포함한다. 주기적 플라즈마 공정의 각각의 단계는 시간적으로 또는 공간적으로 분리될 수 있다. 특정 실시형태에서, 주기적 플라즈마 공정은, 도 5 및 도 6에 상세히 도시된, 시분할 다중화 공정에 의해 플라즈마 공정 챔버의 단일 섹션 내에서 수행될 수 있다. 다른 실시형태에서, 주기적 플라즈마 공정은, 플라즈마 공정 챔버의 분리된 섹션 내에서 수행될 수 있으며, 도 7a 및 도 7b를 참조하여 도시된, 다음 단계로의 전환을 위해, 하나의 섹션으로부터 다른 섹션으로 기판이 이송될 수 있다.
주기적 플라즈마 공정의 제1 단계로서, 블록(451)에서, 플라즈마 공정 챔버는, 메탄(CH4)과 같은 탄소를 포함하는 증착 가스로 퍼지되며, 기판 온도는 공정 온도에서 평형 상태로 될 수 있다. 다양한 실시형태에서, 기판 온도는 예를 들어 히터에 의해 증가될 수 있으며, 온도 제어기에 의해 제어되는 수냉 또는 액체 헬륨 냉각에 의해 냉각될 수 있다.
둘째, 블록(452)에서, 주기적 플라즈마 공정(영역-선택적 플라즈마 증착)의 제1 플라즈마 단계는, 플라즈마 강화 화학 기상 증착(PECVD) 도구와 같은 플라즈마 증착 도구에 의해 수행된다(예를 들어, 도 1g). 하나 이상의 플라즈마 전원으로부터 플라즈마 소스 에너지를 제공함으로써, 플라즈마 공정 챔버 내에서 증착 가스의 플라즈마가 발생되며, 기판은 증착 가스의 플라즈마에 노출된다. 폴리머 막(165)을 위한 전구체 종이 플라즈마에 의해 활성화되어 라디칼을 형성할 수 있다. 이러한 라디칼은 표면 상에 흡착될 수 있으며, 플라즈마 중합 공정에서 서로 무작위로 반응하여, 폴리머 막(165)을 형성할 수 있다(예를 들어, 도 1g). 상이한 재료 간의 흡착의 차이는, 상이한 플라즈마 중합 비율 및 이에 따른 상이한 막 성장률을 유발할 수 있다. 따라서, 소스 전력, 바이어스 전력, 가스 유량, 공정 압력, 공정 온도, 공정 시간, 및 가스 조성과 같은, 공정 파라미터는 폴리머 막의 영역 선택적 형성을 달성하도록 최적화될 수 있다.
그 다음, 블록(235)에서, 플라즈마 소스 전력을 턴 오프시킴으로써 제1 플라즈마 단계가 종료된 후에, 플라즈마 공정 챔버는 불소를 포함하는 에칭 가스로 퍼지된다. 다양한 실시형태에서, 기판 온도는 제1 플라즈마 단계와 동일하게 유지될 수 있거나, 다른 공정 온도에서 평형 상태로 될 수 있다. 다양한 실시형태에서, 기판 온도는 예를 들어 히터에 의해 증가될 수 있으며, 온도 제어기에 의해 제어되는 수냉 또는 액체 헬륨 냉각에 의해 냉각될 수 있다.
블록(456)에서, 금속 산화물(예를 들어, 도 1h의 ESL(120))을 에칭하기 위해 제2 플라즈마 단계가 수행된다. 도 1h에 도시된 바와 같이, 폴리머 막(165)은 또한 이러한 단계 동안 부분적으로 또는 완전히 제거될 수 있다. 에칭 가스의 플라즈마가 플라즈마 공정 챔버 내에서 발생되며, 기판이 에칭 가스의 플라즈마에 노출된다. 특정 실시형태에서, 산화알루미늄을 포함하는 ESL(120) 및 폴리머 막(165)은, 다른 재료(예를 들어, 도 1h의 제2 하드 마스크(142) 및 층간 유전체(ILD) 층(130))를 보존하면서 이방성으로 에칭된다.
일부 통상적인 방법에서는, 비-부식성 가스를 사용하는 플라즈마 에칭은 비-선택적 에칭을 야기하는 경향이 있다. 산화알루미늄과 같은 금속 산화물 재료를 제거하기 위한 전형적인 조건은, 흔히 하드 마스크 및 층간 유전체(ILD) 층으로서 사용될 수 있는 실리콘 함유 재료와 같은 다른 재료를 손상시킨다. 그러나, 본 개시물의 실시형태의 방법을 통해, 제1 플라즈마 단계에서 영역-선택적 증착 동안 그러한 재료 위에 형성된 폴리머 막의 존재로 인해, 그러한 손상이 바람직하게는 최소화될 수 있거나 완전히 제거될 수 있다. 소스 전력, 바이어스 전력, 가스 유량, 공정 압력, 공정 온도, 공정 시간, 및 가스 조성과 같은 공정 파라미터는, 폴리머 막의 제거도 달성될 수 있으면서, 타겟 금속 산화물 재료를 효과적으로 에칭하도록 최적화될 수 있다.
특정 실시형태에서, 퍼지 단계(예를 들어, 블록(451 및 455)) 중 어느 하나 전에, 플라즈마 공정 챔버가 진공 상태로 배기될 수 있다. 또한, 일부 실시형태에서, 하나 이상의 추가적인 처리 단계가 단계들 사이에 삽입될 수 있다. 추가적인 처리는, 진공 상태 하에서의 열처리, 습식 공정, 전처리(블록(445))와 유사한 플라즈마 처리, 또는 다른 공정일 수 있다.
다양한 실시형태에서, 4개의 단계(블록(451, 452, 455, 및 456))의 이러한 사이클은, 원하는 공정 성능을 달성하도록 반복될 수 있다. 사이클은 4개의 단계 중 임의의 단계에서 시작될 수 있다.
도 4b에서, 특정 실시형태에 따라, 주기적 플라즈마 공정은, 트렌치-우선 방법(예를 들어, 도 1a 내지 도 1m)을 통한 듀얼 다마신 라인 후단(BEOL) 공정의 일부로서, 기판으로부터 에칭 정지 층(ESL)을 선택적으로 제거하기 위해 사용될 수 있다.
기판은 다양한 제조 단계를 거쳤을 수 있으며, 제1 하드 마스크(140) 및 제1 포토레지스트(150)를 포함하는 층 적층물을 가질 수 있다(블록(400))(예를 들어, 도 1a). 먼저, 앞서 설명된 바와 같이, 트렌치 형상부를 형성하기 위해, 트렌치 에칭(블록(410))(예를 들어, 도 1b)이 수행된다. 그 다음, 트렌치 재충전, 제2 하드 마스크(142) 및 제2 포토레지스트(152)의 증착, 제2 포토레지스트(152)의 패터닝이 수행된다(블록(420)(예를 들어, 도 1c 및 도 1d). 후속적으로, 비아 에칭이 수행된다(블록(430))(예를 들어, 도 1e). 그 다음, 상부 차단 층(125)이 선택적으로 제거될 수 있다(블록(440))(예를 들어, 도 1f). 일부 실시형태에서, 상부 차단 층(125)을 제거하는 이러한 단계는, 후속 주기적 플라즈마 공정(블록(450B))(예를 들어, 도 1g 내지 도 1i) 내로 통합될 수 있다. 또한, 이하의 주기적 플라즈마 공정의 선택성을 향상시키기 위해, 기판(90) 상에 존재하는 층(예를 들어, 도 1f의 제2 하드 마스크(142) 및 층간 유전체(ILD) 층(130))의 표면 말단기의 조성을 화학적으로 또는 물리적으로 변경하기 위해, 선택적인 전처리(블록(445))가 수행될 수 있다. 그 다음, 주기적 플라즈마 공정(블록(450B))(예를 들어, 도 1g 내지 도 1i)이 앞서 도 4a의 블록(450A)을 참조하여 설명된 바와 같이 수행될 수 있다.
도 4b를 계속 참조하면, 주기적 플라즈마 공정(블록(450B))의 완료 후에, 하부 차단 층(115)이 선택적으로 제거될 수 있다(블록(460))(예를 들어, 도 1j). 일부 실시형태에서, 하부 차단 층(115)을 제거하는 이러한 단계는, 이전 주기적 플라즈마 공정(블록(450B))(예를 들어, 도 1g 내지 도 1i) 내로 통합될 수 있다. 후속적으로, 확산 장벽 층(170)의 증착, 금속 증착, 및 평탄화가 수행되어, 금속 상호 연결부의 형성 사이클을 완료한다(블록(470))(예를 들어, 도 1k 내지 도 1m).
도 4c는 대안적인 실시형태(예를 들어, 도 2a 내지 도 2e 및 도 1f 내지 도 1m)에 따른 비아-우선 방법을 통한 듀얼 다마신 라인 후단(BEOL) 공정의 예시적인 공정 흐름도를 도시한다.
트렌치-우선 방법을 통한 이전 실시형태와 유사하게, 기판은 다양한 제조 단계를 거쳤을 수 있으며, 제1 하드 마스크(240) 및 제1 포토레지스트(250)를 포함하는 층 적층물을 가질 수 있다(블록(402))(예를 들어, 도 2a). 먼저, 앞서 설명된 바와 같이, 비아 형상부를 형성하기 위해, 비아 에칭(블록(402))(예를 들어, 도 2b)이 수행된다. 그 다음, 비아 재충전, 제2 하드 마스크(242) 및 제2 포토레지스트(252)의 증착, 제2 포토레지스트(252)의 패터닝이 수행된다(블록(422)(예를 들어, 도 2c 및 도 2d). 그 다음, 트렌치 에칭이 수행된다(블록(432))(예를 들어, 도 2e). 후속 공정은, 앞서 설명된 트렌치-우선 실시형태의 공정 흐름(예를 들어, 도 1f 내지 도 1m 및 도 4b)과 유사할 수 있다. 상부 차단 층(125)은 선택적으로 제거될 수 있지만(블록(442))(예를 들어, 도 1f), 일부 실시형태에서, 상부 차단 층(125)을 제거하는 이러한 단계는 후속 주기적 플라즈마 공정(블록(450B))(예를 들어, 도 1g 내지 도 1i) 내로 통합될 수 있다. 또한, 이하의 주기적 플라즈마 공정의 선택성을 향상시키기 위해, 기판(90) 상에 존재하는 층(예를 들어, 도 1f의 제2 하드 마스크(142) 및 층간 유전체(ILD) 층(130))의 표면 말단기의 조성을 화학적으로 또는 물리적으로 변경하기 위해, 선택적인 전처리(블록(447))가 수행될 수 있다. 주기적 플라즈마 공정(블록(450C)) 및 후속 공정은, 전술한 이전 실시형태(예를 들어, 도 4b의 블록(450B, 460, 및 470))와 유사할 수 있다. 주기적 플라즈마 공정(블록(450C))의 완료 후에, 하부 차단 층(115)은 선택적으로 제거될 수 있지만(블록(462))(예를 들어, 도 1j), 일부 실시형태에서, 하부 차단 층(115)을 제거하는 이러한 단계는, 이전 주기적 플라즈마 공정(블록(450C))(예를 들어, 도 1g 내지 도 1i) 내로 통합될 수 있다. 마지막으로, 확산 장벽 층(170)의 증착, 금속 증착, 및 평탄화가 수행된다(블록(472))(예를 들어, 도 1k 내지 도 1m).
도 4d는 상이한 실시형태(예를 들어, 도 3a 내지 도 3c)에 따라, 하나의 에칭 공정 및 2개의 영역-선택적 플라즈마 증착 공정 단계를 포함하는 주기적 플라즈마 공정의 예시적인 공정 흐름도를 도시한다. 앞서 설명된 바와 같이, 특정 실시형태에서, 2개의 영역-선택적 플라즈마 증착 공정 단계를 포함하는 주기적 플라즈마 공정은, 타겟 에칭 재료에 비하여 2개의 상이한 재료 위의 2개의 폴리머 막의 플라즈마 증착을 위한 2개의 최적화된 조건을 가능하게 하기 위해 사용될 수 있다.
이러한 실시형태에서, 주기적 플라즈마 공정은 6개의 단계를 포함할 수 있다. 앞서 설명된 4개의 단계(예를 들어, 도 4a 내지 도 4c의 블록(451, 452, 455, 및 456))와 더불어, 제1 영역-선택적 플라즈마 증착 단계(블록(452)) 후에, 제2 증착 가스를 통한 퍼지 단계(블록(453)) 및 제2 증착 가스를 통한 영역-선택적 플라즈마 증착 공정(블록(454))이 삽입된다.
본 개시물에서, 주기적 플라즈마 공정 및 대안적인 실시형태의 세부사항은, 2개의 퍼지 단계 및 영역-선택적 플라즈마 증착 및 에칭(예를 들어, 도 4a의 블록(450A))의 4개의 주요 단계에 중점을 두고 설명된다. 그러나, 그러한 세부사항은, 임의의 수의 플라즈마 증착 및 에칭 공정(예를 들어, 2개의 증착 공정 단계 및 하나의 에칭 공정을 갖는 블록(450D))을 포함하는 주기적 플라즈마 공정에 적용 가능할 수 있는 것으로 구상된다.
도 5a는 예를 들어, 도 4a의 흐름도에 도시된 바와 같은, 주기적 플라즈마 공정의 시분할 다중화 구현예의 하나의 사이클에서 4개의 단계에 대한 타이밍도를 도시한다. 도 5a의 6개의 수평 축은 시간에 따른 경과를 나타낸다. 주기적 플라즈마 에칭 공정(230)의 하나의 사이클의 4개의 단계에 대한 4개의 시간 간격(T1, T2, T3, 및 T4)은, 하나의 시간 축에 인접한 4개의 이중 화살표로 개략적으로 도시된다. 도 4a를 참조하면, T1, T2, T3, 및 T4는, 증착 가스를 통한 퍼지(블록(451)), 영역-선택적 플라즈마 증착 공정(블록(452)), 에칭 가스를 통한 퍼지(블록(455)), 및 플라즈마 에칭(블록(456))에 각각 해당한다. 시간 축과 교차하는 4개의 수직 점선은, 중첩되지 않는 시간 간격(T1, T2, T3, 및 T4)을 한정한다. 특정 실시형태에서, T1은 약 5초 내지 약 60초일 수 있으며, T2는 약 1초 내지 약 5초일 수 있고, T3는 약 5초 내지 약 60초일 수 있으며, T4는 약 1초 내지 5초일 수 있다. 시간 간격(T1, T2, T3, 및 T4)의 지속시간은, 각각의 공정 방식에 따라 동일하지 않을 수 있다. 6개의 시간 축은, 도 4a에 도시된 바와 같은 예시적인 주기적 플라즈마 에칭 공정(450A)의 복수의 사이클의 하나의 사이클에 대한 6개의 공정 파라미터 대 시간의 그래프를 개략적으로 표시하기 위해 사용된다. 도 5a에 표시된 6개의 공정 파라미터는, 소스 전력, 바이어스 전력, 공정 압력, 증착 가스의 가스 유량, 에칭 가스의 가스 유량, 및 희석 가스의 가스 유량이다.
도 5a에서 구체적으로 설명되지는 않지만, 온도와 같은 임의의 다른 공정 파라미터가 각각의 공정 방식에 따라 주기적 플라즈마 공정의 각각의 단계에서 독립적으로 제어될 수 있다. 특정 실시형태에서, T2 및 T4 동안 공정 온도를 각각 안정화시키기 위해, 시간 간격(T1 및 T3)이 조정될 수 있다.
다양한 실시형태에서, 기판은 5℃ 내지 120℃의 온도로 유지된다. 일부 실시형태에서, 주기적 플라즈마 공정의 각각의 단계에서 온도가 변경될 수 있으며, 퍼지 단계는 플라즈마를 발생시키기 전에 시스템을 안정화시키기 위해 사용된다. 대안적인 실시형태에서, 온도는 플라즈마 단계(즉, 시간 간격(T2 및 T4)) 동안 경사지거나 냉각되도록 프로그래밍될 수 있다.
도 5a에 도시된 시간 간격(T1) 동안, 소스 전력 및 바이어스 전력이 오프인 동안, 플라즈마 공정 챔버를 퍼지하기 위해 증착 가스가 유입된다. 특정 실시형태에서, 희석 가스도 선택적으로 사용된다. 다양한 실시형태에서, 총 가스 유량은 20 내지 1000 sccm일 수 있다. 공정 압력은 5 내지 100 mTorr일 수 있다. 일부 실시형태에서, CH4/Ar 가스 유량은 30/150 sccm이며, 공정 압력은 10 mTorr이다.
영역-선택적 플라즈마 증착을 위해, 시간 간격(T1)으로부터 다음 시간 간격(T2)으로 전환하는 단계는, 소스 전력 및 바이어스 전력을 턴 온시킴으로써 수행된다. 이러한 단계에서, 폴리머 막 형성을 위해, 라디칼이 풍부한(radical-rich) 조건이 요구된다. 라디칼이 풍부한 환경을 달성하기 위해, 충분히 높은 소스 전력이 인가될 수 있다. 다양한 실시형태에서, 소스 전력은 50 W 내지 1000 W일 수 있으며, 바이어스 전력은 0 W 내지 200 W일 수 있다. 총 가스 유량은 20 내지 1000 sccm일 수 있다. 공정 압력은 5 내지 100 mTorr일 수 있다. 일부 실시형태에서, 소스 전력은 500 W이고, 바이어스 전력은 100 W이다. CH4/Ar 가스 유량은 30/150 sccm이다. 공정 압력은 10 mTorr이다. 특정 실시형태에서, 희석 가스의 가스 유량은 T1과 T2 사이에서 변경될 수 있다.
그 다음, 소스 전력 및 바이어스 전력을 턴 오프시킴으로써, 시간 간격(T3)이 개시된다. 동시에, 플라즈마 공정 챔버를 퍼지하기 위해, 가스 유량이 또한 증착 가스로부터 에칭 가스로 전환된다. 가스 유량은 T1 및 T2의 조건과 무관하게 선택된다. 다양한 실시형태에서, 총 가스 유량은 20 내지 1000 sccm일 수 있다. 공정 압력은 5 내지 100 mTorr일 수 있다. 일부 실시형태에서, CF4/CHF3/Ar 가스 유량은 60/60/520 sccm이며, 공정 압력은 10 mTorr이다.
에칭 가스로 플라즈마 공정 챔버를 퍼지하는 단계의 완료 후에, 플라즈마를 발생시키기 위해 에칭 가스를 방전시키도록 소스 전력 및 바이어스 전력을 턴 온시킴으로써, 시간 간격(T4)이 개시된다. 에칭 단계에서, 비아의 하부의 재료가 측벽에 대한 손상을 거의 또는 전혀 유발하지 않으면서 충분히 에칭되도록, 이방성 에칭을 위한 조건을 달성하는 것이 중요하다. 이방성 에칭 조건의 경우, 증착 단계와 비교하여, 비교적 높은 바이어스 전력이 요구된다. 다양한 실시형태에서, 소스 전력은 10 내지 1000 W일 수 있으며, 바이어스 전력은 50 내지 500 W일 수 있다. 총 가스 유량은 20 내지 1000 sccm일 수 있다. 공정 압력은 5 내지 100 mTorr일 수 있다. 일부 실시형태에서, 소스 전력은 50 W이고, 바이어스 전력은 600 W이다. CF4/CHF3/Ar 가스 유량은 60/60/520 sccm이고, 공정 압력은 10 mTorr이다.
시간 간격(T4) 후에, 4개의 시간 간격의 다음 사이클이 수행될 수 있다. 사이클은 원하는 공정 성능을 달성하기 위해 임의의 횟수로 반복될 수 있다. 도 5a는 주기적 플라즈마 공정의 하나의 사이클에 대한 단일 조건 세트를 예시하지만, 다양한 실시형태에서, 각각의 사이클은 제1 사이클과 상이한 공정 파라미터 조건을 가질 수 있으며, 공정 파라미터는, 시간 간격(T1, T2, T3, 및 T4), 가스 유량, 가스 조성, 공정 압력, 공정 온도, 소스 전력, 및 바이어스 전력 중 어느 하나를 포함한다.
또한, 도 5a의 그래프는 예시적인 목적만을 위한 것임을 유의해야 한다. 예를 들어, 그래프는 계단 함수로서 변경되는 것으로 공정 파라미터를 나타내지만, 공정 파라미터의 즉각적인 변경은 달성 가능하지 않으며, 물리적 파라미터가 안정화되기 전에 고려될 한정된 응답 시간이 있음을 이해한다. 또한, 전술한 바와 같이, 시간 간격(T1, T2, T3, 및 T4) 중 어느 하나 후에, 배기, 진공 하의 열처리, 습식 공정, 플라즈마 처리 등과 같은 하나 이상의 추가적인 처리 단계가 삽입될 수 있다.
도 5b는 도 5a의 실시형태에 따른 주기적 플라즈마 공정의 흐름도를 도시한다. 제1 시간 간격(T1)(블록(510))에서, 소스 전력 및 바이어스 전력은 이들이 온인 경우 턴 오프되고, 희석 가스 및 탄소를 포함하는 증착 가스가 기판을 수용하는 플라즈마 공정 챔버에 제1 가스 유량으로 유입되어, 플라즈마 공정 챔버를 퍼지한다. 제2 시간 간격(T2)(블록(520))에서, 소스 전력이 제1 레벨로 인가되어, 증착 가스의 플라즈마를 형성하고, 기판이 증착 가스의 플라즈마에 노출된다. 제3 시간 간격(T3)(블록(530))에서, 소스 전력, 제1 바이어스 전력, 및 증착 가스가 턴 오프되며, 희석 가스, 및 테트라플루오로메탄(CF4), 삼플루오르화질소(NF3), 플루오로포름(CHF3), 디플루오로메탄(CH2F2), 또는 플루오로메탄(CH3F)을 포함하는 에칭 가스가 제2 가스 유량으로 플라즈마 챔버에 유입되어, 플라즈마 공정 챔버를 퍼지한다. 마지막으로, 제4 시간 간격(T4)(블록(540))에서, 소스 전력이 제2 레벨로 인가되어, 에칭 가스의 플라즈마를 형성하고, 기판이 에칭 가스의 플라즈마에 노출된다.
도 6은 예를 들어, 도 4a 내지 도 4c의 흐름도에 도시된, 주기적 플라즈마 에칭 공정의 시분할 다중화 구현예를 수행하기 위한 플라즈마 시스템(600)을 도시한다. 플라즈마 시스템(600)은, 기판 홀더(610) 상에 로딩된 기판(602) 바로 위에 플라즈마를 유지시키도록 구성된 플라즈마 공정 챔버(650)를 갖는다. 공정 가스는 가스 흡입구(622)를 통하여 플라즈마 공정 챔버(650)에 유입될 수 있으며, 가스 배출구(624)를 통하여 플라즈마 공정 챔버(650)의 밖으로 펌핑될 수 있다. 가스 흡입구(622) 및 가스 배출구(624)는, 다수의 가스 흡입구 및 가스 배출구의 세트를 각각 포함할 수 있다. 가스 유량 및 챔버 압력은, 가스 흡입구(622) 및 가스 배출구(624)에 결합된 가스 유량 제어 시스템(620)에 의해 제어될 수 있다. 가스 유량 제어 시스템(620)은, 고압 가스 캐니스터(canister), 밸브(예를 들어, 스로틀 밸브), 압력 센서, 가스 유량 센서, 진공 펌프, 파이프, 및 전자적으로 프로그래밍 가능 제어기와 같은, 다양한 구성 요소를 포함할 수 있다. RF 바이어스 전원(634) 및 RF 소스 전원(630)이 플라즈마 공정 챔버(650)의 각각의 전극에 결합될 수 있다. 기판 홀더(610)는 RF 바이어스 전원(634)에 결합된 전극일 수도 있다. 유전체 측벽(616)의 둘레에 감긴 나선형 전극(632)에 결합된 RF 소스 전원(630)이 도시된다. 도 6에서, 가스 흡입구(622)는 상부 플레이트(612) 내의 개구부이며, 가스 배출구(624)는 하부 플레이트(614) 내의 개구부이다. 상부 플레이트(612) 및 하부 플레이트(614)는 전도성일 수 있으며, 시스템 접지(기준 전위)에 전기적으로 연결될 수 있다.
플라즈마 시스템(600)은 단지 예시적인 것일 뿐이다. 다양한 대안적인 실시형태에서, 플라즈마 시스템(600)은, 상부 유전체 커버 위의 평면형 코일에 결합되는 RF 소스 전력으로 유도성 결합 플라즈마(ICP)를 유지시키거나, 플라즈마 공정 챔버(650) 내의 디스크 형상의 상부 전극을 사용하여 유지되는 용량성 결합 플라즈마(CCP)를 유지시키도록 구성될 수 있다. 가스 흡입구 및 배출구는 플라즈마 공정 챔버의 측벽에 결합될 수 있으며, 펄스형 RF 전원 및 펄스형 DC 전원도 일부 실시형태에서 사용될 수 있다.
도 7a 및 도 7b는 주기적 플라즈마 공정의 공간적으로 분리된 구현예를 수행하기 위한 플라즈마 시스템(700)을 도시하는 것으로서, 도 7a는 평면도이고, 도 7b는 단면도이다. 플라즈마 시스템(700)에서, 각각의 사이클의 4개의 구성 요소가 공간적 플라즈마 공정 챔버(740)의 4개의 공간적으로 분리된 섹션 내에서 수행될 수 있다. 주기적 플라즈마 에칭 공정의 각각의 사이클의 4개의 구성 요소는, 예를 들어, 회전식 스테이지(710)를 사용하여, 공간적 플라즈마 공정 챔버(740)의 4개의 공간적으로 분리된 섹션을 통하여 기판을 이동시킴으로써 수행될 수 있다.
도 7a에 도시된 평면도에서, 회전식 스테이지(710)는, 제1 퍼지 섹션(745), 제1 플라즈마 섹션(750), 제2 퍼지 섹션(755), 및 제2 플라즈마 섹션(760)의 4개의 섹션으로 분리된다. 4개의 섹션은 예를 들어, 불활성 가스 커튼(curtain)(730)에 의해 분리될 수 있다. 각각의 섹션은, 하나 이상의 가스 흡입구 및 배출구를 포함할 수 있다. 도 7a에서, 제1 플라즈마 섹션(750)은 가스 흡입구(752) 및 가스 배출구(724)를 가지며, 제2 플라즈마 섹션(760)은 가스 흡입구(723) 및 가스 배출구(725)를 갖고, 2개의 퍼지 섹션(745 및 755)은 가스 흡입구(720) 및 가스 배출구(726)를 갖는다. 증착 가스를 통한 퍼지(도 4a 내지 도 4d의 블록(451))는 제1 퍼지 섹션(745) 내에서 수행될 수 있으며, 영역-선택적 플라즈마 증착(도 4a 내지 도 4d의 블록(452))은 제1 플라즈마 섹션(750) 내에서 수행될 수 있고, 에칭 가스를 통한 퍼지(도 4a 내지 도 4d의 블록(455))는 제2 퍼지 섹션(755) 내에서 수행될 수 있으며, 플라즈마 에칭(도 4a 내지 도 4d의 블록(456))은 제2 플라즈마 섹션(760) 내에서 수행될 수 있다.
예를 들어, 도 7a에 도시된, 복수의 기판이 회전식 스테이지(710) 상에 로딩될 수 있다. 제1 플라즈마 섹션(750) 내에서 회전식 스테이지(710) 상에 로딩된 것으로 도시된 제1 기판(702)은, 주기적 플라즈마 에칭 공정의 복수의 사이클의 하나의 사이클의 증착 단계를 거친 다음, 제2 퍼지 섹션(755)으로 이동된 후에, 다음 플라즈마 에칭 단계를 거칠 수 있다. 동시에, 다른 기판(704)은, 플라즈마 에칭 단계 후에, 다시 제1 퍼지 섹션(745)으로 이동한 후에, 다음 사이클의 플라즈마 증착 단계가 후속된다. 따라서, 복수의 기판은 상이한 주기적 플라즈마 공정 단계를 동시에 거칠 수 있다. 회전식 스테이지(710)의 한 번의 회전은, 주기적 플라즈마 에칭 공정의 하나의 사이클을 수행하는 단계에 해당한다.
도 7b에 도시된 단면도에서, 플라즈마 섹션(750 및 760)이 보인다. 예시적인 공간적 플라즈마 공정 챔버(740) 내에서, 플라즈마 섹션(750 및 760)은, RF 전원(714)에 결합된 상부 전극(712)을 사용하여 용량성 결합 플라즈마(CCP)를 유지시키도록 구성된다. 도 7b에 도시된 예시적인 실시형태에서, 회전식 스테이지(710)는 접지에 전기적으로 결합되었다. 접지된 회전식 스테이지(710)는 하부 전극의 역할을 할 수 있다. 가스 배출구(724, 725, 및 726)는 가스 유량 시스템의 진공 펌프에 연결될 수 있으며, 해당 섹션을 위한 원하는 압력 및 가스 유량을 유지하도록 제어될 수 있다. 불활성 가스 커튼(730) 중 하나는 점선으로 개략적으로 표시된다. 불활성 커튼(730)은, 가스 흡입구(720) 중 하나를 통하여 유입되는 불활성 가스(예를 들어, 아르곤 또는 헬륨)의 흐름일 수 있다.
본 발명의 예시적인 실시형태가 여기에 요약된다. 본원에 제출된 청구범위 뿐만 아니라 명세서 전체로부터 다른 실시형태도 이해될 수 있다.
실시예 1. 기판을 처리하기 위한 방법으로서, 상기 방법은, 복수의 사이클을 포함하는 주기적 플라즈마 공정을 수행하는 단계를 포함하며, 상기 복수의 사이클의 각각의 사이클은, 상기 기판을 포함하는 플라즈마 공정 챔버를 탄소를 포함하는 제1 증착 가스로 퍼지하는 단계로서, 상기 기판은, 실리콘을 포함하는 제1 층, 및 금속 산화물을 포함하는 제2 층을 포함하는, 단계; 상기 제1 증착 가스로부터 발생된 제1 플라즈마에 상기 기판을 노출시켜서, 상기 제2 층에 비하여 상기 제1 층 위에 제1 폴리머 막을 선택적으로 증착하는 단계; 불소를 포함하는 에칭 가스로 상기 플라즈마 공정 챔버를 퍼지하는 단계; 및 상기 에칭 가스로부터 발생된 제2 플라즈마에 상기 기판을 노출시켜서 상기 제2 층을 에칭하는 단계를 포함하는, 기판을 처리하기 위한 방법.
실시예 2. 실시예 1에 있어서, 상기 기판은 실리콘 및 산소를 포함하는 제3 층을 포함하며, 상기 제3 층은 상기 제1 층과 상이한 재료이고, 상기 제1 폴리머 막은, 상기 제1 플라즈마에 상기 기판을 노출시키는 동안, 상기 제2 층에 비하여 상기 제3 층 위에 선택적으로 증착되는, 방법.
실시예 3. 실시예 1 또는 2 중 어느 하나에 있어서, 탄소를 포함하는 제2 증착 가스로부터 발생된 제3 플라즈마에 상기 기판을 노출시켜서, 상기 제2 층에 비하여 제3 층 위에 제2 폴리머 막을 선택적으로 증착하는 단계를 더 포함하는, 방법.
실시예 4. 실시예 1 내지 3 중 어느 하나에 있어서, 상기 주기적 플라즈마 공정 전에, 상기 제1 층 또는 상기 제2 층의 표면 말단기의 조성을 변경하기 위한 전처리 단계를 수행하는 단계를 더 포함하는, 방법.
실시예 5. 실시예 1 내지 4 중 어느 하나에 있어서, 상기 증착 가스의 상기 플라즈마에 상기 기판을 노출시키는 단계, 및 상기 에칭 가스의 상기 플라즈마에 상기 기판을 노출시키는 단계는, 상기 플라즈마 공정 챔버의 고정된 구역 내에서 시분할 다중화 공정에 의해 시간적으로 분리되는, 방법.
실시예 6. 실시예 1 내지 5 중 어느 하나에 있어서, 상기 증착 가스의 상기 플라즈마에 상기 기판을 노출시키는 단계, 및 상기 에칭 가스의 상기 플라즈마에 상기 기판을 노출시키는 단계는, 상기 플라즈마 공정 챔버의 분리된 섹션 내에서 공간적으로 분리되는, 방법.
실시예 7. 실시예 1 내지 6 중 어느 하나에 있어서, 상기 제1 층은 실리콘 질화물 또는 실리콘 이산화물을 포함하며, 상기 제2 층은 산화알루미늄을 포함하는, 방법.
실시예 8. 실시예 1 내지 7 중 어느 하나에 있어서, 상기 제1 플라즈마에 노출시키는 동안, 상기 기판을 제1 온도로 유지하는 단계; 및 상기 제2 플라즈마에 노출시키는 동안, 상기 기판을 제2 온도로 유지하는 단계를 더 포함하며, 상기 제2 온도는 상기 제1 온도와 상이한, 방법.
실시예 9. 실시예 1 내지 8 중 어느 하나에 있어서, 상기 제1 증착 가스는 메탄(CH4)을 포함하며, 상기 에칭 가스는, 테트라플루오로메탄(CF4), 삼플루오르화질소(NF3), 플루오로포름(CHF3), 디플루오로메탄(CH2F2), 또는 플루오로메탄(CH3F)을 포함하는, 방법.
실시예 10. 실시예 1 내지 9 중 어느 하나에 있어서, 상기 제1 증착 가스는, 수소(H2), 아르곤(Ar), 또는 질소(N2)를 포함하는 혼합물을 더 포함하는, 방법.
실시예 11. 기판을 처리하는 방법으로서, 상기 방법은, 복수의 사이클을 포함하는 주기적 플라즈마 공정을 수행하는 단계를 포함하며, 상기 복수의 사이클의 하나의 사이클은, 제1 시간 간격 동안, 플라즈마 공정 챔버의 소스 전극에 제1 소스 전력을 인가하고, 상기 플라즈마 공정 챔버의 바이어스 전극에 제1 바이어스 전력을 인가하며, 탄소를 포함하는 증착 가스를 상기 플라즈마 공정 챔버 내로 유동시킴으로써, 금속 산화물 층에 비하여 실리콘 함유 층 위에 폴리머 막을 선택적으로 증착하는 단계; 제2 시간 간격 동안, 불소를 포함하는 에칭 가스로 상기 플라즈마 공정 챔버를 퍼지하는 단계; 및 제3 시간 간격 동안, 상기 소스 전극에 제2 소스 전력을 인가하고, 상기 바이어스 전극에 제2 바이어스 전력을 인가하며, 상기 에칭 가스를 상기 플라즈마 공정 챔버 내로 유동시킴으로써, 상기 금속 산화물 층을 에칭하는 단계로서, 상기 제1 층은 상기 제3 간격 동안 상기 폴리머 막의 아래에 커버되는, 단계를 포함하는, 기판을 처리하는 방법.
실시예 12. 실시예 11에 있어서, 상기 복수의 사이클의 상기 사이클은, 제4 시간 간격 동안, 상기 증착 가스로 상기 플라즈마 공정 챔버를 퍼지하는 단계를 더 포함하는, 방법.
실시예 13. 실시예 11 또는 12 중 어느 하나에 있어서, 상기 제1 소스 전력은 상기 제2 소스 전력보다 더 높으며, 상기 제2 바이어스 전력은 상기 제1 바이어스 전력보다 더 높은, 방법.
실시예 14. 실시예 11 내지 13 중 어느 하나에 있어서, 상기 복수의 사이클의 상기 사이클은, 상기 제1 시간 간격, 상기 제2 시간 간격, 및 상기 제3 시간 간격 동안, 상기 플라즈마 공정 챔버를 통하여 희석 가스를 유동시키는 단계를 더 포함하며, 상기 희석 가스의 유량은, 상기 제1 간격보다 상기 제2 간격 동안 더 높은, 방법.
실시예 15. 실시예 11 내지 14 중 어느 하나에 있어서, 상기 증착 가스는 탄화수소를 포함하며, 상기 희석 가스는 아르곤을 포함하고, 상기 에칭 가스는, 테트라플루오로메탄(CF4), 삼플루오르화질소(NF3), 플루오로포름(CHF3), 디플루오로메탄(CH2F2), 또는 플루오로메탄(CH3F)을 포함하는, 방법.
실시예 16. 실시예 11 내지 15 중 어느 하나에 있어서, 상기 금속 산화물 층은 산화알루미늄을 포함하는, 방법.
실시예 17. 실시예 11 내지 16 중 어느 하나에 있어서, 상기 제3 시간 간격은 상기 폴리머 막을 완전히 제거하도록 선택되는, 방법.
실시예 18. 기판을 처리하기 위한 방법으로서, 상기 방법은, 복수의 사이클을 포함하는 주기적 플라즈마 공정을 수행하는 단계를 포함하며, 상기 복수의 사이클의 각각의 사이클은, 상기 기판을 포함하는 플라즈마 공정 챔버를 탄소를 포함하는 증착 가스로 퍼지하는 단계로서, 상기 기판은, 금속 라인을 커버하는 금속 산화물 에칭 정지 층(ESL) 위에 패터닝된 층간 유전체(ILD) 층 및 하드 마스크를 포함하고, 상기 하드 마스크, 상기 ILD 층, 및 상기 금속 산화물 ESL은 외측 노출된 표면을 포함하는, 단계; 상기 증착 가스로부터 발생된 제1 플라즈마에 상기 기판을 노출시켜서, 상기 금속 산화물 ESL에 비하여 상기 하드 마스크 및 상기 ILD 층 위에 우선적으로 폴리머 막을 증착함으로써, 영역-선택적 플라즈마 증착 공정을 수행하는 단계; 불소를 포함하는 에칭 가스로 상기 플라즈마 공정 챔버를 퍼지하는 단계; 및 상기 에칭 가스로부터 발생된 제2 플라즈마에 상기 기판을 노출시켜서, 상기 하드 마스크 및 상기 ILD 층에 비하여 상기 금속 산화물 ESL을 우선적으로 에칭함으로써, 에칭 공정을 수행하는 단계를 포함하는, 기판을 처리하기 위한 방법.
실시예 19. 실시예 18에 있어서, 상기 금속 산화물 ESL은 산화알루미늄을 포함하며, 상기 증착 가스는 메탄을 포함하고, 상기 에칭 가스는, 테트라플루오로메탄(CF4), 삼플루오르화질소(NF3), 플루오로포름(CHF3), 디플루오로메탄(CH2F2), 또는 플루오로메탄(CH3F)을 포함하는, 방법.
실시예 20. 실시예 18 또는 19 중 어느 하나에 있어서, 상기 주기적 플라즈마 공정은 듀얼 다마신 공정의 일부인, 방법.
본 발명은 예시적인 실시형태를 참조하여 설명되었지만, 이러한 설명은 제한적인 의미로 해석되는 것으로 의도되지 않는다. 설명을 참조하면, 본 발명의 다른 실시형태 뿐만 아니라, 예시적인 실시형태의 다양한 변경 및 조합은 당업자에게 명백할 것이다. 따라서, 첨부된 청구범위는 임의의 그러한 변경 또는 실시형태를 포함하는 것으로 의도된다.
Claims (20)
- 기판을 처리하기 위한 방법으로서,
상기 방법은,
복수의 사이클을 포함하는 주기적 플라즈마 공정을 수행하는 단계를 포함하며,
상기 복수의 사이클의 각각의 사이클은,
상기 기판을 포함하는 플라즈마 공정 챔버를 탄소를 포함하는 제1 증착 가스로 퍼지하는 단계로서, 상기 기판은, 실리콘을 포함하는 제1 층, 및 금속 산화물을 포함하는 제2 층을 포함하는, 단계;
상기 제1 증착 가스로부터 발생된 제1 플라즈마에 상기 기판을 노출시켜서, 상기 제2 층에 비하여 상기 제1 층 위에 제1 폴리머 막을 선택적으로 증착하는 단계;
불소를 포함하는 에칭 가스로 상기 플라즈마 공정 챔버를 퍼지하는 단계; 및
상기 에칭 가스로부터 발생된 제2 플라즈마에 상기 기판을 노출시켜서 상기 제2 층을 에칭하는 단계를 포함하는,
기판을 처리하기 위한 방법. - 제1항에 있어서,
상기 기판은 실리콘 및 산소를 포함하는 제3 층을 포함하며,
상기 제3 층은 상기 제1 층과 상이한 재료이고,
상기 제1 폴리머 막은, 상기 제1 플라즈마에 상기 기판을 노출시키는 동안, 상기 제2 층에 비하여 상기 제3 층 위에 선택적으로 증착되는, 방법. - 제2항에 있어서,
탄소를 포함하는 제2 증착 가스로부터 발생된 제3 플라즈마에 상기 기판을 노출시켜서, 상기 제2 층에 비하여 제3 층 위에 제2 폴리머 막을 선택적으로 증착하는 단계를 더 포함하는, 방법. - 제1항에 있어서,
상기 주기적 플라즈마 공정 전에, 상기 제1 층 또는 상기 제2 층의 표면 말단기의 조성을 변경하기 위한 전처리 단계를 수행하는 단계를 더 포함하는, 방법. - 제1항에 있어서,
상기 증착 가스의 상기 플라즈마에 상기 기판을 노출시키는 단계, 및 상기 에칭 가스의 상기 플라즈마에 상기 기판을 노출시키는 단계는, 상기 플라즈마 공정 챔버의 고정된 구역 내에서 시분할 다중화 공정에 의해 시간적으로 분리되는, 방법. - 제1항에 있어서,
상기 증착 가스의 상기 플라즈마에 상기 기판을 노출시키는 단계, 및 상기 에칭 가스의 상기 플라즈마에 상기 기판을 노출시키는 단계는, 상기 플라즈마 공정 챔버의 분리된 섹션 내에서 공간적으로 분리되는, 방법. - 제1항에 있어서,
상기 제1 층은 실리콘 질화물 또는 실리콘 이산화물을 포함하며,
상기 제2 층은 산화알루미늄을 포함하는, 방법. - 제1항에 있어서,
상기 제1 플라즈마에 노출시키는 동안, 상기 기판을 제1 온도로 유지하는 단계; 및
상기 제2 플라즈마에 노출시키는 동안, 상기 기판을 제2 온도로 유지하는 단계를 더 포함하며,
상기 제2 온도는 상기 제1 온도와 상이한, 방법. - 제1항에 있어서,
상기 제1 증착 가스는 메탄(CH4)을 포함하며,
상기 에칭 가스는, 테트라플루오로메탄(CF4), 삼플루오르화질소(NF3), 플루오로포름(CHF3), 디플루오로메탄(CH2F2), 또는 플루오로메탄(CH3F)을 포함하는, 방법. - 제1항에 있어서,
상기 제1 증착 가스는, 수소(H2), 아르곤(Ar), 또는 질소(N2)를 포함하는 혼합물을 더 포함하는, 방법. - 기판을 처리하는 방법으로서,
상기 방법은,
복수의 사이클을 포함하는 주기적 플라즈마 공정을 수행하는 단계를 포함하며,
상기 복수의 사이클의 하나의 사이클은,
제1 시간 간격 동안, 플라즈마 공정 챔버의 소스 전극에 제1 소스 전력을 인가하고, 상기 플라즈마 공정 챔버의 바이어스 전극에 제1 바이어스 전력을 인가하며, 탄소를 포함하는 증착 가스를 상기 플라즈마 공정 챔버 내로 유동시킴으로써, 금속 산화물 층에 비하여 실리콘 함유 층 위에 폴리머 막을 선택적으로 증착하는 단계;
제2 시간 간격 동안, 불소를 포함하는 에칭 가스로 상기 플라즈마 공정 챔버를 퍼지하는 단계; 및
제3 시간 간격 동안, 상기 소스 전극에 제2 소스 전력을 인가하고, 상기 바이어스 전극에 제2 바이어스 전력을 인가하며, 상기 에칭 가스를 상기 플라즈마 공정 챔버 내로 유동시킴으로써, 상기 금속 산화물 층을 에칭하는 단계로서, 상기 제1 층은 상기 제3 간격 동안 상기 폴리머 막의 아래에 커버되는, 단계를 포함하는,
기판을 처리하는 방법. - 제11항에 있어서,
상기 복수의 사이클의 상기 사이클은,
제4 시간 간격 동안, 상기 증착 가스로 상기 플라즈마 공정 챔버를 퍼지하는 단계를 더 포함하는, 방법. - 제11항에 있어서,
상기 제1 소스 전력은 상기 제2 소스 전력보다 더 높으며,
상기 제2 바이어스 전력은 상기 제1 바이어스 전력보다 더 높은, 방법. - 제11항에 있어서,
상기 복수의 사이클의 상기 사이클은,
상기 제1 시간 간격, 상기 제2 시간 간격, 및 상기 제3 시간 간격 동안, 상기 플라즈마 공정 챔버를 통하여 희석 가스를 유동시키는 단계를 더 포함하며,
상기 희석 가스의 유량은, 상기 제1 간격보다 상기 제2 간격 동안 더 높은, 방법. - 제11항에 있어서,
상기 증착 가스는 탄화수소를 포함하며,
상기 희석 가스는 아르곤을 포함하고,
상기 에칭 가스는, 테트라플루오로메탄(CF4), 삼플루오르화질소(NF3), 플루오로포름(CHF3), 디플루오로메탄(CH2F2), 또는 플루오로메탄(CH3F)을 포함하는, 방법. - 제11항에 있어서,
상기 금속 산화물 층은 산화알루미늄을 포함하는, 방법. - 제11항에 있어서,
상기 제3 시간 간격은 상기 폴리머 막을 완전히 제거하도록 선택되는, 방법. - 기판을 처리하기 위한 방법으로서,
상기 방법은,
복수의 사이클을 포함하는 주기적 플라즈마 공정을 수행하는 단계를 포함하며,
상기 복수의 사이클의 각각의 사이클은,
상기 기판을 포함하는 플라즈마 공정 챔버를 탄소를 포함하는 증착 가스로 퍼지하는 단계로서, 상기 기판은, 금속 라인을 커버하는 금속 산화물 에칭 정지 층(ESL) 위에 패터닝된 층간 유전체(ILD) 층 및 하드 마스크를 포함하고, 상기 하드 마스크, 상기 ILD 층, 및 상기 금속 산화물 ESL은 외측 노출된 표면을 포함하는, 단계;
상기 증착 가스로부터 발생된 제1 플라즈마에 상기 기판을 노출시켜서, 상기 금속 산화물 ESL에 비하여 상기 하드 마스크 및 상기 ILD 층 위에 우선적으로 폴리머 막을 증착함으로써, 영역-선택적 플라즈마 증착 공정을 수행하는 단계;
불소를 포함하는 에칭 가스로 상기 플라즈마 공정 챔버를 퍼지하는 단계; 및
상기 에칭 가스로부터 발생된 제2 플라즈마에 상기 기판을 노출시켜서, 상기 하드 마스크 및 상기 ILD 층에 비하여 상기 금속 산화물 ESL을 우선적으로 에칭함으로써, 에칭 공정을 수행하는 단계를 포함하는,
기판을 처리하기 위한 방법. - 제18항에 있어서,
상기 금속 산화물 ESL은 산화알루미늄을 포함하며,
상기 증착 가스는 메탄을 포함하고,
상기 에칭 가스는, 테트라플루오로메탄(CF4), 삼플루오르화질소(NF3), 플루오로포름(CHF3), 디플루오로메탄(CH2F2), 또는 플루오로메탄(CH3F)을 포함하는, 방법. - 제18항에 있어서,
상기 주기적 플라즈마 공정은 듀얼 다마신 공정의 일부인, 방법.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/339,495 US11961735B2 (en) | 2021-06-04 | 2021-06-04 | Cyclic plasma processing |
US17/339,495 | 2021-06-04 | ||
PCT/US2022/032005 WO2022256558A1 (en) | 2021-06-04 | 2022-06-02 | Cyclic plasma processing |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20240016999A true KR20240016999A (ko) | 2024-02-06 |
Family
ID=84285402
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020237044741A KR20240016999A (ko) | 2021-06-04 | 2022-06-02 | 주기적 플라즈마 공정 |
Country Status (5)
Country | Link |
---|---|
US (1) | US11961735B2 (ko) |
JP (1) | JP2024521903A (ko) |
KR (1) | KR20240016999A (ko) |
TW (1) | TW202314851A (ko) |
WO (1) | WO2022256558A1 (ko) |
Family Cites Families (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5820770A (en) | 1992-07-21 | 1998-10-13 | Seagate Technology, Inc. | Thin film magnetic head including vias formed in alumina layer and process for making the same |
TW294831B (ko) | 1995-04-26 | 1997-01-01 | Handotai Energy Kenkyusho Kk | |
US6211092B1 (en) * | 1998-07-09 | 2001-04-03 | Applied Materials, Inc. | Counterbore dielectric plasma etch process particularly useful for dual damascene |
US6071809A (en) * | 1998-09-25 | 2000-06-06 | Rockwell Semiconductor Systems, Inc. | Methods for forming high-performing dual-damascene interconnect structures |
KR100327346B1 (ko) * | 1999-07-20 | 2002-03-06 | 윤종용 | 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법 |
US6720249B1 (en) * | 2000-04-17 | 2004-04-13 | International Business Machines Corporation | Protective hardmask for producing interconnect structures |
US6696222B2 (en) * | 2001-07-24 | 2004-02-24 | Silicon Integrated Systems Corp. | Dual damascene process using metal hard mask |
US6905968B2 (en) * | 2001-12-12 | 2005-06-14 | Applied Materials, Inc. | Process for selectively etching dielectric layers |
US7977390B2 (en) * | 2002-10-11 | 2011-07-12 | Lam Research Corporation | Method for plasma etching performance enhancement |
US7169695B2 (en) * | 2002-10-11 | 2007-01-30 | Lam Research Corporation | Method for forming a dual damascene structure |
US6916746B1 (en) * | 2003-04-09 | 2005-07-12 | Lam Research Corporation | Method for plasma etching using periodic modulation of gas chemistry |
DE10338422B4 (de) * | 2003-08-18 | 2007-08-16 | Infineon Technologies Ag | Selektiver Plasmaätzprozess zur Aluminiumoxid-Strukturierung und dessen Verwendung |
US7125792B2 (en) * | 2003-10-14 | 2006-10-24 | Infineon Technologies Ag | Dual damascene structure and method |
US20050211668A1 (en) * | 2004-03-26 | 2005-09-29 | Lam Research Corporation | Methods of processing a substrate with minimal scalloping |
KR101063796B1 (ko) * | 2004-05-28 | 2011-09-09 | 매그나칩 반도체 유한회사 | 반도체 소자의 다마신 패턴 형성 방법 |
JP5893864B2 (ja) * | 2011-08-02 | 2016-03-23 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
US8859430B2 (en) * | 2012-06-22 | 2014-10-14 | Tokyo Electron Limited | Sidewall protection of low-K material during etching and ashing |
KR102068677B1 (ko) * | 2013-04-10 | 2020-01-22 | 삼성전자 주식회사 | 반도체 소자 제조 방법 |
US9514953B2 (en) * | 2013-11-20 | 2016-12-06 | Applied Materials, Inc. | Methods for barrier layer removal |
KR101745686B1 (ko) * | 2014-07-10 | 2017-06-12 | 도쿄엘렉트론가부시키가이샤 | 기판의 고정밀 에칭을 위한 방법 |
US9761488B2 (en) * | 2015-07-17 | 2017-09-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for cleaning via of interconnect structure of semiconductor device structure |
US9595451B1 (en) * | 2015-10-19 | 2017-03-14 | Applied Materials, Inc. | Highly selective etching methods for etching dielectric materials |
US9659955B1 (en) | 2015-10-28 | 2017-05-23 | Sandisk Technologies Llc | Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure |
US10388515B2 (en) * | 2015-11-16 | 2019-08-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Treatment to control deposition rate |
US10366902B2 (en) * | 2016-02-22 | 2019-07-30 | Tokyo Electron Limited | Methods for cyclic etching of a patterned layer |
US9859153B1 (en) * | 2016-11-14 | 2018-01-02 | Lam Research Corporation | Deposition of aluminum oxide etch stop layers |
US10256144B2 (en) * | 2017-04-26 | 2019-04-09 | Applied Materials, Inc. | Process integration approach of selective tungsten via fill |
US10468297B1 (en) * | 2018-04-27 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal-based etch-stop layer |
US11164780B2 (en) * | 2019-06-07 | 2021-11-02 | Applied Materials, Inc. | Process integration approach for selective metal via fill |
US11024537B2 (en) * | 2019-08-09 | 2021-06-01 | Applied Materials, Inc. | Methods and apparatus for hybrid feature metallization |
US20210407801A1 (en) * | 2020-06-29 | 2021-12-30 | Applied Materials, Inc. | Methods for producing high-density doped-carbon films for hardmask and other patterning applications |
-
2021
- 2021-06-04 US US17/339,495 patent/US11961735B2/en active Active
-
2022
- 2022-06-02 JP JP2023574413A patent/JP2024521903A/ja active Pending
- 2022-06-02 WO PCT/US2022/032005 patent/WO2022256558A1/en active Application Filing
- 2022-06-02 KR KR1020237044741A patent/KR20240016999A/ko unknown
- 2022-06-06 TW TW111120828A patent/TW202314851A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
JP2024521903A (ja) | 2024-06-04 |
US20220392765A1 (en) | 2022-12-08 |
TW202314851A (zh) | 2023-04-01 |
WO2022256558A1 (en) | 2022-12-08 |
US11961735B2 (en) | 2024-04-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US20220254682A1 (en) | Interconnect Structure of Semiconductor Device and Method of Forming the Same | |
KR101896724B1 (ko) | 배선 패터닝을 위한 하드 마스크 제거 중의 측벽 및 챔퍼 보호 | |
US7176571B2 (en) | Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure | |
WO2013192323A1 (en) | Sidewall protection of low-k material during etching and ashing | |
JP2002525840A (ja) | 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス | |
US12057322B2 (en) | Methods for etching metal films using plasma processing | |
KR20150014387A (ko) | 금속화 패턴 프로파일링을 위한 건식 에칭 방법 | |
US20230317459A1 (en) | Interconnect Structures and Methods and Apparatuses for Forming the Same | |
CN107978515B (zh) | 一种半导体器件及其制造方法 | |
US7282436B2 (en) | Plasma treatment for silicon-based dielectrics | |
US7485574B2 (en) | Methods of forming a metal line in a semiconductor device | |
JP4034115B2 (ja) | 半導体装置の製造方法 | |
US11961735B2 (en) | Cyclic plasma processing | |
US11688604B2 (en) | Method for using ultra thin ruthenium metal hard mask for etching profile control | |
JP2002329714A (ja) | エッチング中にガスを切り替えてエッチングの特性を調節する方法 | |
JP2005005697A (ja) | 半導体装置の製造方法 | |
US20220375759A1 (en) | Cyclic Plasma Etching Of Carbon-Containing Materials | |
US20230420267A1 (en) | Oxygen-free etching of non-volatile metals | |
US20230369064A1 (en) | Pre-etch treatment for metal etch | |
US20240258108A1 (en) | Selective Deposition of Passivating Layer During Spacer Etching | |
US12080650B1 (en) | Interconnect structure with low capacitance and high thermal conductivity | |
KR20220124637A (ko) | 선택적 배리어 금속 에칭 | |
TW202111870A (zh) | 用於混成特徵金屬化之方法與設備 | |
TWI483407B (zh) | 包含阻障件研磨停止層之積體電路及其製造方法 | |
KR20050118469A (ko) | 반도체 소자의 듀얼 다마신 패턴 형성방법 |