JP2002329714A - エッチング中にガスを切り替えてエッチングの特性を調節する方法 - Google Patents

エッチング中にガスを切り替えてエッチングの特性を調節する方法

Info

Publication number
JP2002329714A
JP2002329714A JP2002044118A JP2002044118A JP2002329714A JP 2002329714 A JP2002329714 A JP 2002329714A JP 2002044118 A JP2002044118 A JP 2002044118A JP 2002044118 A JP2002044118 A JP 2002044118A JP 2002329714 A JP2002329714 A JP 2002329714A
Authority
JP
Japan
Prior art keywords
etching
layer
etch
trench
barc
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2002044118A
Other languages
English (en)
Inventor
Ping Jian
ジアン ピン
Francis G Celii
ジー、セリィ フランシス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JP2002329714A publication Critical patent/JP2002329714A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 エッチング処理中に処理用ガスを切り替え
て、エッチングの特性を調節する方法を開示する。 【解決手段】 エッチング処理は、少なくとも1回繰り
返す第1のステップと、第2のステップを含む。例え
ば、第1のステップは、酸化物108のエッチング速度
を速くし、第2のステップは、酸化物のエッチング速度
を遅くして他の材料114のエッチング速度を速くす
る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般に半導体デバ
イス製造の分野に関し、より詳細には、2重線幅微細化
処理によるトレンチ・エッチング(dual damascene tre
nch etching)に関する。
【0002】
【発明の背景】半導体デバイスの集積度が増大するのに
伴って、半導体デバイスを相互に接続するための接続層
に関する要求も高まっている。したがって、従来のアル
ミニウム金属接続から銅接続に切り替えたいという要望
がある。しかしながら、半導体製造環境に適当な銅エッ
チングは、すぐには利用できない。銅エッチングの課題
を克服するために、線幅微細化処理(damascene proces
s)が開発されている。
【0003】従来の接続処理では、アルミニウム(と何
らかの障壁材料(barrier material))を堆積させ、パ
ターン形成しエッチングして、接続線を形成する。次に
レベル間誘電体(ILD)が堆積して平滑化される。線
幅微細化処理では、最初にILDが形成される。次にI
LDがパターン形成されてエッチングされる。次に、こ
の構造体の上に金属が堆積し化学金属的に研磨される
と、ILD上から金属が除去され、金属の接続線が残
る。これによって金属のエッチングが回避される。
【0004】図1Aから図1Eを参照しつつ、従来技術
による線幅微細化処理、2重線幅微細化処理を説明す
る。図1Aを参照すると、半導体ボディ10の上に窒化
ケイ素層12が堆積する。半導体ボディ10は、第1の
金属接続層を通して処理済みになっている。窒化ケイ素
層12の上にバイア・レベル誘電体14が堆積する。バ
イア・レベル誘電体14は、FSG(fluorine-doped s
ilicate glass:フッ素がドーピングされたケイ酸塩ガラ
ス)を含む。バイア・レベル誘電体14の上に別の窒化
ケイ素層18が堆積し、窒化ケイ素層18の上に第2の
トレンチ・レベル誘電体20が堆積する。バイア22は
パターン形成され、トレンチ・レベル誘電体20、窒化
ケイ素層18およびバイア・レベル誘電体14を通して
エッチングされるのが普通である。窒化ケイ素層12
は、エッチング停止(etch-stop)として使用される。
【0005】
【発明が解決しようとする課題】図1Bを参照すると、
スピン・オン有機BARC(spin-on organic bottom a
nti-reflection coating:最低部の反射防止コーティン
グ)24が堆積してバイア22の一部分を充填する。そ
の結果は、誘電体20上の約600ÅのBARCであ
り、バイア22の内側の約2000〜2500Åの厚さ
のBARCである。BARC24は、後続のトレンチ・
エッチング中にバイア22を保護する。次に図1Cに示
すように、構造体の上にトレンチ・パターン26が形成
される。トレンチ・パターン26は、(誘電体20の最
上部に約600ÅのBARCがある)トレンチ・レベル
誘電体20上の金属接続線が必要なエリアを露出する。
図1Dを参照すると、FSG層20の一部分を除くトレ
ンチ・エッチングが実行される。バイア22のエッジに
不要な酸化物の突起28が形成される。図1Eに示すよ
うに、パターン26が除去される。金属障壁が酸化物の
突起を完全に遮蔽することを保証するのは困難なため、
酸化物の突起があるとデバイスの信頼性が損なわれる。
【0006】新しい技術は、FSGの代わりに有機ケイ
酸塩ガラス(organo-silicate glass :OSG))のよ
うなkが小さい誘電体に切り替わりつつある。新しい誘
電体とともに機能する2重線幅微細化処理が必要であ
る。
【0007】
【課題を解決する手段】本発明は、エッチング処理中に
ガス切り替えを使用して、エッチングの特性を調節す
る。エッチング処理は、少なくとも1回繰り返される第
1のステップと第2のステップを含む。例えば、第1の
ステップは、酸化物のエッチング速度を速くし、第2の
ステップは、酸化物のエッチング速度を遅くし他の材料
のエッチング速度を速くする。
【0008】本発明の利点は、高速エッチング、良好な
CDとプロフィールの制御、高選択性および良好な欠陥
管理を提供することである。
【0009】これらの各種利点は、図面とともに本明細
書を参照する当業者には明らかである。
【0010】
【発明の実施の形態】以下、2重線幅微細化銅接続処理
に関連して本発明を説明する。本発明の利点が、ゲート
のエッチングやコンタクト/バイアのエッチングのよう
な、他のエッチングに応用されると、処理の余裕度を大
きくしたり、エッチングの結果を良くしたりすることが
できることは、図面とともに本明細書を参照する当業者
には明らかである。
【0011】以下、図2Aから図2Eを参照しつつ、本
発明の実施例による製造工程について考察する。半導体
ボディ100は、当業者には公知のとおり、第1の接続
層102の形成を介して処理される。(ここでは第1の
接続層102と呼ばれているが、層102は、最上部の
接続層以外の接続層ならばどれでもよい。)バイア・エ
ッチング停止層104は、第1の接続層102の上に堆
積する。エッチング停止層104は、窒化ケイ素を含む
のが普通であるが、(例えばSiCのような)他の適切
なエッチング停止層も当業者には公知である。一例とし
て、エッチング停止層104の厚さは、1000Å(例
えば、300Åから1000Å)のオーダーでよい。
【0012】バイア・レベル誘電体106(レベル間誘
電体、ILDと呼ばれることがある)とトレンチ・レベ
ル誘電体108(金属間誘電体、IMDと呼ばれること
がある)は、エッチング停止層104上に形成される。
図2Aに示すように、ILD106とIMD108は、
1つの層でよい。好適実施例では、OSG(有機ケイ酸
塩ガラス)がILD106とIMD108に使用される
材料である。OSGは、誘電率が2.7から3.0の範
囲のkが小さい材料である。代替方法として、ILD1
06とIMD108は、kが小さい(k<3.0)か、
kが極めて小さい(k<2.7)他の誘電体でもよい。
以下に説明するエッチングの化学反応は、OSG誘電体
に対して最適化されている。ILD106とIMD10
8を組み合わせた厚さは、約9000Åでよい。
【0013】ILD106とIMD108の間のトレン
チ・エッチング停止層は、必ずしも必要ではない。しか
し、必要な場合は、どれか1つを含むことができる。I
LD106とIMD108の間にエッチング停止層を使
用しないことは、寄生容量(parasitic capacitance)
を小さくする利点がある。
【0014】IMD108の上にカバー用の層を形成す
ることが多い。一例として、プラズマで強化されたテト
ラエチル酸化シラン(tetraethyoxysilane:PETEO
S)処理を使用して、酸化物のカバー層を堆積させても
よい。酸化物のカバー層の厚さは、約1500Åであ
る。窒化ケイ素もカバー層として使用することができ
る。BARC層は、バイアとトレンチのパターン双方の
ためのレジストの下に使用されることが多いことに注意
すべきである。好適実施例では、カバー層またはハード
マスクは使用されていない。
【0015】図2Aを参照すると、BARC、IMD1
08およびILD106を通してバイア112がエッチ
ングされる。バイアのエッチングは、エッチング停止層
104で停止する。バイア112は、2つの金属接続層
の間で接続が必要なエリアに形成される。ILD106
とIMD108の間に追加エッチング停止層が含まれて
いた場合、バイア・エッチングは、この追加されたエッ
チング停止層を通してエッチングする。好適実施例にお
けるバイア・エッチングの化学反応には、C58、N2
またはCOが含まれる。
【0016】図2Bを参照すると、スピン・オンBAR
C(spin-on BARC)114がコーティングされ、バ
イア112の少なくとも一部分を充填する。図2Bは、
完全に充填されたバイアを示す。その結果は、IMD1
08の上の約850ÅのBARCであり、後続のトレン
チ・エッチング中のバイアの内側の約4500Åから7
000Åの厚さである。(バイアの内側のBARCの厚
さは、バイアの密度に依存する。)BARC114は、
後続のトレンチ・エッチング中、バイアの底部を保護す
る。
【0017】さらに図2Bを参照すると、トレンチ・パ
ターン120が形成されている。トレンチ・パターン1
20は、第2のまたは後続の金属接続層の金属接続線が
必要なエリアを露出する。
【0018】次に、図2Cに示すように、トレンチ・エ
ッチングが実行されて、IMD108をエッチングす
る。トレンチ・エッチングはエッチングの特性を調節す
るガス切り替え処理である。ガス切り替え処理は、少な
くとも1回繰り返される少なくとも2つのステップ(例
えば、第1と第2のステップ)を交互に使用する。追加
ステップを含めて、少なくとも1回繰り返すことができ
る。第1と第2のステップは、各種の異なるエッチング
選択比(etch selectivity ratios)をとることができ
る。好適実施例における第1のステップは、IMDを優
先的にエッチングし、第2のステップは、BARC充填
を優先的にエッチングして突起を除去する。各種の異な
るエッチング選択比をとることは、使用する1つまたは
それ以上のガスを変更するか、流量率を変更するか、ま
たは圧力を変更することによって達成される。残りの処
理パラメータ(例えば、電力、温度など)は、同じまま
であってもよいし、変更されてもよい。一例では、IM
D108上のBARC層の露出した部分(114a)を
除去する最初のエッチング・ステップの後に、第1のエ
ッチング・ステップが実行される。第1のエッチング・
ステップは、IMDのエッチング速度を速くするように
調整される。次に第2のエッチング・ステップが実行さ
れる。第2のエッチング・ステップは、異なるガスの化
学反応を使用し、第1のエッチング・ステップだけを使
用して生じる酸化物の突起の形成を防止するように最適
化されている。例えば、第2のステップは、IMDのエ
ッチング速度が遅くてBARCのエッチング速度が速い
か、または不活性ガスの流量率が大きくてもよい。第1
と第2のステップは、少なくとも1回繰り返される。
【0019】OSGにトレンチをエッチングするのに好
適なエッチング・パラメータが表1に与えられている。
不活性ガスは、BARC114aをエッチングするため
に使用される。第1と第2のステップは、総計45秒間
に3回繰り返される。
【表1】
【0020】上に示した好適な例のように、第1と第2
のエッチング・ステップは、1つまたはそれ以上のガス
の種類、流量率、または圧力によって変わることがあ
る。残りの処理パラメータは、同じままであってもよい
し、変更されてもよい。上述の例では、ガスの種類を変
更することとともに、電力、流量率、および時間が変更
される。上記の第1のエッチングは、従来の「エッチン
グ」ステップにおけると同様、酸化物のエッチング速度
が速い。第2のエッチングは、バイアの内側の有機BA
RCのエッチング速度を速くし酸化物のエッチング速度
を遅くしたアッシング(ashing)、および/または不活
性ガスの流量を大きくして突起物を除去するスパッタリ
ング以上のものでありうる。図3に示すように、調節さ
れた表1のエッチング処理は、バイアを完全にBARC
で充填して突起物を小さくするか、無くしてしまうこと
ができる。図4に示すように、上記エッチング処理は、
OSGのトレンチ・エッチングに対して良好な側壁のプ
ロフィールとCD制御を達成することができる。
【0021】図2Dを参照すると、たとえば、アッシン
グによって、レジストとBARCがトレンチ・パターン
120から除去される。次にエッチング停止のエッチン
グが実行されて、バイアの底部にあるエッチング停止層
を除去する。(カバー層が薄い(たとえば、500Å以
下)場合は、エッチング停止層のエッチング中にカバー
層を除去することができる。しかし、カバー層が500
Åより厚い場合は、金属のCMP中に除去される。)
【0022】図2Eに示すように、処理は第2の金属接
続層122の形成を続ける。(第2の金属接続層122
と呼ばれているが、層122は、最下部の接続層以外の
金属接続層ならばどれでもよい。)普通、窒化タンタル
(TaN)のような障壁層124が、最初に堆積する。
酸化物の突起がまったく形成されないため、トレンチ/
バイア中に連続障壁層(continuous barrier layer)1
24を形成することがかなり容易になる。この利点によ
り、処理の余裕度が大きくなる。障壁層の目的は、引き
続いてIMD/ILDに形成される金属の拡散を防止す
ることである。障壁層に破壊箇所があると、金属の拡散
が可能になるので、歩留まりと信頼性が低くなる。この
ように、本発明は、酸化物の突起の形成を防止し、バイ
ア内の欠陥を少なくすることにより、歩留まりと信頼性
の双方を改善する。
【0023】障壁層124が堆積した後、銅のシード層
が形成されるのが普通である。この後に銅接続122と
最上部の窒化物(Si34)のカバー層128の形成が
続く。上記処理を繰り返すと、後続の金属接続層を形成
することができる。
【0024】例示した実施例を参照しつつ本発明を詳細
に説明してきたが、この説明は、限定の意味で解釈され
ることを考えていない。本発明の他の実施例とともに、
例示した実施例の各種の修正や組み合わせは、当業者が
本説明を参照すれば明らかであろう。たとえば、第1と
第2のステップのエッチングの化学反応は、第1のステ
ップが先にBARCをエッチングし、第2のステップが
先にOSGをエッチングするように逆の順番にしてもよ
い。したがって、添付の特許請求の範囲は、かかる修正
や実施例を含むものと考える。
【0025】以上の説明に関して更に以下の項を開示す
る。 (1)集積回路を製造する方法であって、上に層が形成
される半導体ボディを用意するステップと、前記層に上
にパターンを形成するステップと、さらに少なくとも第
1のステップと第2のステップを含む処理を使用して前
記層をエッチングし、前記第1のステップと第2のステ
ップが少なくとも1回繰り返されるステップと、を含む
方法。
【0026】(2)第1項記載の方法において、前記第
1のステップは、第1のガス化学反応を使用し、前記第
2のステップは、第2の異なるガス化学反応を使用する
方法。
【0027】(3)第2項記載の方法において、前記第
1のガス化学反応は、前記層のエッチング速度を速く
し、前記第2のガス化学反応は、前記層のエッチング速
度を遅くする方法。
【0028】(4)第2項記載の方法において、前記第
1のステップは、第1の電力を使用し、前記第2ステッ
プは、第2の異なる電力を使用する方法。
【0029】(5)第1項記載の方法において、前記第
1のステップは、処理用ガスの第1の流量率を含み、前
記第2ステップは、処理用ガスの第2の異なる流量率を
含む方法。
【0030】(6)第1項記載の方法において、前記第
1のステップは、第1の圧力のとき生起し、前記第2ス
テップは、第2の異なる圧力のとき生起する方法。
【0031】(7)第1項記載の方法において、前記エ
ッチング処理は、少なくとも1回繰り返される少なくと
も1つの追加ステップを含む方法。
【0032】(8)集積回路を製造する方法であって、
上にレベル間誘電体(ILD)と金属間誘電体(IM
D)が形成される半導体ボディを用意するステップと、
前記ILDと前記IMDにバイアをエッチングするステ
ップと、前記バイアをBARC材料で充填するステップ
と、前記IMD上にトレンチ・パターンを形成するステ
ップと、少なくとも第1のステップと第2のステップを
含む処理を使用して前記IMDにトレンチをエッチング
し、前記第1のステップと第2のステップが少なくとも
1回繰り返されるステップと、を含む方法。
【0033】(9)第8項記載の方法において、前記第
1のステップは、第1のガス化学反応を使用し、前記第
2のステップは、第2の異なるガス化学反応を使用する
方法。
【0034】(10)第9項記載の方法において、前記
第1のガス化学反応は、前記IMDのエッチング速度を
速くし、前記第2のガス化学反応は、前記IMDのエッ
チング速度を遅くする方法。
【0035】(11)第8項記載の方法において、前記
IMDとILDは、それぞれ有機ケイ酸塩ガラスを含む
方法。
【0036】(12)第14項記載の方法において、前
記第1のガス化学反応は、前記第2のガス化学反応より
速い速度で前記有機ケイ酸塩ガラスをエッチングし、前
記第1のガス化学反応は、前記有機ケイ酸塩ガラスより
先に前記BARC材料をエッチングして、いかなる酸化
物の突起も除去する方法。
【0037】(13)第14項記載の方法において、前
記第1のガス化学反応は、C48、窒素、およびアルゴ
ンを含み、前記第2のガス化学反応は、O2と、窒素と
アルゴンから構成されたグループから選択された1つか
それ以上のガスとを含む方法。
【0038】(14)第14項記載の方法において、前
記第1のガス化学反応は、より速い速度で前記BARC
材料をエッチングし、前記第2のガス化学反応は、前記
BARC材料より先に前記有機ケイ酸塩ガラスをエッチ
ングする方法。
【0039】(15)エッチングの特性を調節するため
に、エッチング処理中にガス切り替えが使用される。エ
ッチング処理は、少なくとも1回繰り返される第1のス
テップと、第2のステップを含む。例えば、第1のステ
ップは、酸化物(108)のエッチング速度を速くし、
第2のステップは、酸化物のエッチング速度を遅くし他
の材料(114)のエッチング速度を速くする。
【図面の簡単な説明】
【図1】製造の各種段階における従来の技術による2重
線幅微細化処理の断面図を示す図であって、Aは、半導
体ボディ10の上に窒化ケイ素層12が堆積した図、B
は、誘電体20上の約600ÅのBARCとバイア22
の内側に約2000〜2500Åの厚さのBARCが堆
積した図、Cは、トレンチ・パターン26が形成され、
トレンチ・レベル誘電体20上の金属接続線が必要なエ
リアを露出する様子を示す図、Dは、層20の一部分を
除くトレンチ・エッチングが実行され、バイア22のエ
ッジに不要な酸化物の突起28が形成される様子を示す
図、Eは、パターン26が除去され、この処理が終わっ
た様子を示す図。
【図2】製造の各種段階における本発明による2重線幅
微細化処理の断面図を示す図であって、Aは、BAR
C、IMD108およびILD106を通してバイア1
12がエッチングされた様子を示す図、Bは、BARC
114がコーティングされ、バイア112が完全に充填
され、トレンチ・パターン120が形成されている様子
を示す図、Cは、トレンチ・エッチングが実行され、I
MD108がエッチングされた様子を示す図、Dは、E
は、障壁層124が堆積し、第2の金属接続層122の
形成され、カバー層が堆積して、この処理が終わった様
子を示す図。
【図3】本発明の実施例のとおりに、トレンチとバイア
がエッチングされた断面図であって、酸化物の突起が無
いことを示す図。
【図4】本発明の実施例のとおりに、トレンチがエッチ
ングされた断面図であって、良好なCDとプロフィール
を示す図。
【符号の説明】
10 半導体ボディ 12 窒化ケイ素層 14 バイア・レベル誘電体 18 別の窒化ケイ素層 20 トレンチ・レベル誘電体 22 バイア 24 スピン・オンBARC(最低部反射防止コーティ
ング) 26 トレンチ・パターン 28 酸化物の突起 100 半導体ボディ 102 第1の接続層 104 バイア・エッチング停止層 106 レベル間誘電体 108 金属間誘電体 112 バイア 114 スピン・オンBARC 114a BARC層の露出した部分 120 トレンチ・パターン 122 第2の金属層 124 障壁層 128 窒化物のカバー層
フロントページの続き Fターム(参考) 5F004 AA05 CA01 CA02 CA03 DA00 DA01 DA23 DA25 DA26 EA28 EA37 EA40 5F033 HH11 HH32 JJ11 JJ32 MM02 MM12 MM13 NN06 NN07 QQ04 QQ09 QQ11 QQ21 QQ25 QQ37 RR01 RR06 RR25 TT04

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】 集積回路を製造する方法であって、 上に層が形成される半導体ボディを用意するステップ
    と、 前記層に上にパターンを形成するステップと、さらに 少なくとも第1のステップと第2のステップを含む処理
    を使用して前記層をエッチングし、前記第1のステップ
    と第2のステップが少なくとも1回繰り返されるステッ
    プと、を含む方法。
JP2002044118A 2001-02-22 2002-02-21 エッチング中にガスを切り替えてエッチングの特性を調節する方法 Withdrawn JP2002329714A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US791050 2001-02-22
US09/791,050 US20020139771A1 (en) 2001-02-22 2001-02-22 Gas switching during an etch process to modulate the characteristics of the etch

Publications (1)

Publication Number Publication Date
JP2002329714A true JP2002329714A (ja) 2002-11-15

Family

ID=25152524

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002044118A Withdrawn JP2002329714A (ja) 2001-02-22 2002-02-21 エッチング中にガスを切り替えてエッチングの特性を調節する方法

Country Status (3)

Country Link
US (1) US20020139771A1 (ja)
EP (1) EP1235263A3 (ja)
JP (1) JP2002329714A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002373936A (ja) * 2001-06-14 2002-12-26 Nec Corp デュアルダマシン法による配線形成方法
US7238462B2 (en) 2002-11-27 2007-07-03 Tokyo Ohka Kogyo Co., Ltd. Undercoating material for wiring, embedded material, and wiring formation method
CN105336585A (zh) * 2014-06-13 2016-02-17 中芯国际集成电路制造(上海)有限公司 刻蚀方法和互连结构的形成方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6914004B2 (en) * 2001-09-28 2005-07-05 Texas Instruments Incorporated Method for via etching in organo-silica-glass
US7202177B2 (en) * 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
FI20031653A (fi) * 2003-11-13 2005-05-14 Modulight Inc Menetelmä ja puolijohdesubstraatti kuvion siirtämiseksi vaihemaskista substraatille
US7718543B2 (en) * 2006-12-08 2010-05-18 Applied Materials, Inc. Two step etching of a bottom anti-reflective coating layer in dual damascene application
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4698128A (en) * 1986-11-17 1987-10-06 Motorola, Inc. Sloped contact etch process
JPH053180A (ja) * 1990-11-16 1993-01-08 Nkk Corp AlまたはAl合金のエツチング方法
JPH07226397A (ja) * 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
EP0822582B1 (en) * 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
JPH10261713A (ja) * 1997-03-19 1998-09-29 Sony Corp 半導体装置の製造方法
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
US6042999A (en) * 1998-05-07 2000-03-28 Taiwan Semiconductor Manufacturing Company Robust dual damascene process
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
JP4698024B2 (ja) * 1998-07-23 2011-06-08 サーフィス テクノロジー システムズ ピーエルシー 異方性エッチングのための方法と装置
DE69942034D1 (de) * 1998-11-04 2010-04-01 Surface Technology Systems Plc Verfahren zur ätzung eines substrats
JP4221859B2 (ja) * 1999-02-12 2009-02-12 株式会社デンソー 半導体装置の製造方法
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6472231B1 (en) * 2001-01-29 2002-10-29 Advanced Micro Devices, Inc. Dielectric layer with treated top surface forming an etch stop layer and method of making the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002373936A (ja) * 2001-06-14 2002-12-26 Nec Corp デュアルダマシン法による配線形成方法
US7238462B2 (en) 2002-11-27 2007-07-03 Tokyo Ohka Kogyo Co., Ltd. Undercoating material for wiring, embedded material, and wiring formation method
CN105336585A (zh) * 2014-06-13 2016-02-17 中芯国际集成电路制造(上海)有限公司 刻蚀方法和互连结构的形成方法
CN105336585B (zh) * 2014-06-13 2020-10-09 中芯国际集成电路制造(上海)有限公司 刻蚀方法和互连结构的形成方法

Also Published As

Publication number Publication date
EP1235263A3 (en) 2004-05-19
EP1235263A2 (en) 2002-08-28
US20020139771A1 (en) 2002-10-03

Similar Documents

Publication Publication Date Title
US6909190B2 (en) Dual-damascene dielectric structures
US6455411B1 (en) Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6211092B1 (en) Counterbore dielectric plasma etch process particularly useful for dual damascene
US6187663B1 (en) Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
US6448185B1 (en) Method for making a semiconductor device that has a dual damascene interconnect
US20030134505A1 (en) Fine-pitch device lithography using a sacrificial hardmask
JP2002525840A (ja) 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
WO1999033102A1 (en) An etch stop layer for dual damascene process
US20060183346A1 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
JP2000340649A (ja) 酸化物充填によるデュアルダマシン製作の歩留まり改善
US6620727B2 (en) Aluminum hardmask for dielectric etch
JP2009111429A (ja) 相互接続を作製するための方法
US6329281B1 (en) Methods for fabricating a multilevel interconnection for an integrated circuit device utilizing a selective overlayer
JP2001358218A (ja) 有機膜のエッチング方法及び素子の製造方法
US20010030169A1 (en) Method of etching organic film and method of producing element
JP2000091422A (ja) 多層配線構造の製造方法
US9564362B2 (en) Interconnects based on subtractive etching of silver
US6313025B1 (en) Process for manufacturing an integrated circuit including a dual-damascene structure and an integrated circuit
US6900123B2 (en) BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
JP2002329714A (ja) エッチング中にガスを切り替えてエッチングの特性を調節する方法
US8053359B2 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
CN102034733A (zh) 互连结构及其形成方法
KR20040101008A (ko) 반도체 장치의 제조 방법
JP2005005697A (ja) 半導体装置の製造方法
KR101127240B1 (ko) 로우-k 유전체의 상부에 반사 방지 특성을 갖는 캡층의형성 방법

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050510