KR102279612B1 - 금속화 패턴 프로파일링을 위한 건식 에칭 방법 - Google Patents

금속화 패턴 프로파일링을 위한 건식 에칭 방법 Download PDF

Info

Publication number
KR102279612B1
KR102279612B1 KR1020140093901A KR20140093901A KR102279612B1 KR 102279612 B1 KR102279612 B1 KR 102279612B1 KR 1020140093901 A KR1020140093901 A KR 1020140093901A KR 20140093901 A KR20140093901 A KR 20140093901A KR 102279612 B1 KR102279612 B1 KR 102279612B1
Authority
KR
South Korea
Prior art keywords
hard mask
mask layer
layer
dielectric
dielectric hard
Prior art date
Application number
KR1020140093901A
Other languages
English (en)
Other versions
KR20150014387A (ko
Inventor
야닉 페르쁘리에
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150014387A publication Critical patent/KR20150014387A/ko
Application granted granted Critical
Publication of KR102279612B1 publication Critical patent/KR102279612B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers

Abstract

막 스택을 프로파일링하는 방법은, 절연층, 유전체 하드 마스크층, 및 패턴화된 금속 하드 마스크층을 갖는 막 스택을 수용하는 단계를 포함한다. 제 1 건식 에칭 공정을 이용하여 유전체 하드 마스크층에 패턴화된 금속 하드 마스크층의 패턴을 전달한다. 그런 다음, 하나 이상의 할로겐 함유 가스를 포함하는 제 2 건식 에칭 공정을 이용하여 절연층에 유전체 하드 마스크층의 패턴을 전달한다. 제 2 건식 에칭 공정은 절연층을 에칭하고, 패턴화된 금속 하드 마스크층의 일부를 제거하며, 이는 밑에 놓인 유전체 하드 마스크층의 코너를 노출한다. 절연층을 오버행하는 유전체 하드 마스크층의 일부가, 절연층에 비해 유전체 하드 마스크층에 더욱 선택적인 공정 조성물을 포함하는 제 3 건식 에칭 공정을 이용하여 제거된다.

Description

금속화 패턴 프로파일링을 위한 건식 에칭 방법{DRY ETCHING METHOD FOR METALLIZATION PATTERN PROFILING}
본 발명은 반도체 처리를 위한 BEOL(Back End of Line) 조작에 관한 것이다. 보다 구체적으로, 본 발명은 로우-k(low-k) 물질의 집적 회로 상호접속 구조물의 금속화를 개선시키기 위한 방법에 관한 것이다.
반도체 디바이스 제조에서 공지된 바와 같이, 집적 회로(IC)의 속도 및 성능을 개선시키는데 있어서 상호접속 지연은 드라이브의 제한 요인이다. 상호접속 지연을 최소화하기 위한 한가지 방법은 IC 생산을 위한 BEOL(Back End of Line) 조작 동안에 금속 상호접속ㅂ에 저 유전상수(로우-k) 물질 및 초저-k 유전체 물질을 이용함으로써 상호접속 커패시턴스를 감소시키는 것이다. 이와 같은 로우-k 물질은 현재 유기 규소 유리 또는 SiCOH-함유 뮬질과 같은 유기 실리케이트를 포함한다.
따라서, 최근에, 로우-k 물질은 실리콘 이산화물과 같은 비교적 큰 유전상수의 절연 물질을 대체하기 위해 개발되어왔다. 특히, 로우-k 물질은 반도체 디바이스의 금속층들 사이에 레벨간 유전체층 및 레벨내 유전체층에 이용되고 있다. 부가적으로, 절연 물질의 유전 상수를 더욱 감소시키기 위해서, 물질 막이 공극, 즉 다공성 로우-k 유전체 물질로 형성된다. 이와 같은 로우-k 물질은 포토레지스트의 도포와 유사한 스핀온 유전체(spin-on dielectric; SOD) 방법에 의해, 또는 화학적 기상 증착(chemical vapor deposition; CVD)에 의해 퇴적될 수 있다. 따라서, 로우-k 물질의 이용은 기존의 반도체 제조 공정에 용이하게 적응 가능하다.
반도체 기반 상에 새로운 상호접속 레벨을 준비하는 경우, 이전의 상호접속층에 위에 놓인 캡층이 통상적으로 형성되고, 로운-k 절연층 및 이러한 로우-k 절연층 위에 놓인 하드 마스크와 같은 하나 이상의 층들의 형성이 뒤따른다. 절연 스택의 형성 시에, 리소그래피 및 에칭 처리가 이용되어 후속의 금속화 공정을 위한 준비로 절연층들을 패턴화한다. 예를 들어, 하나의 상호접속층과 인접한 상호접속층 사이에 전기적 연속성을 제공하기 위해 금속 라인 및 콘택 플러그를 준비하는 경우, 절연층 스택은 이중 다마신 통합을 포함하는 다양한 통합 방식들에 따라 트렌치-비아 구조물로 패턴화될 수 있다.
그러나, 금속 상호접속을 위한 절연층 스택에서의 로우-k 물질의 실제 구현은 엄청난 도전 과제에 직면한다. 궁극적으로, 금속 상호접속부에 로우-k 유전체 물질을 통합하는 것이 바람직하고, 이는 감소된 유전 상수의 전체 이익을 달성하면서 최소한의 손상으로 구조적으로 견고하고 패턴화된 절연층을 생성한다.
Cu가 금속화 상호접속부로서 이용되는 경우, 주변 물질로의 구리의 확산(이는 이들의 속성을 저하시킴)을 방지하기 위해 물리적 기상 증착(physical vapor deposition; PVD) 장벽층이 반드시 이용되어야 한다. PVD 장벽층은 결국 공극 및 결함이 없는 Cu 전기 도금을 수용하기 위해 반드시 지속적으로 균등하게 도포되어야 한다. 유전체 하드 마스크층 및 하부의 로우-k 절연층 사이의 언더컷(높은 고도 층의 트렌치 폭이 낮은 레벨 층보다 더 좁음)은 Cu 금속화를 손상시킨다는 것이 관찰되었다.
습식 하드 마스크 제거가 허용 가능한 에칭 결과를 생성할 수 있지만, 그것은 다마신 및 이중 다마신 패턴 에칭에 이용되는 건식 반응성 이온 에칭(reactive ion etch; RIE) 툴에 더하여 별도의 툴을 필요로 한다. 따라서, 트렌치-비아를 형성하는 층들 사이에 높은 균일도를 유지하면서, 막 스택을 프로파일링하기 위한 개선된 건식 에칭 방법이 필요하다.
본 발명은 반도체 디바이스의 막 스택을 프로파일링하는 방법을 제공한다. 방법은 막 스택을 갖는 기판을 수용하는 단계를 포함한다. 이러한 막 스택은 절연층, 상기 절연층 위에 놓인 유전체 하드 마스크층, 및 상기 유전체 하드 마스크층 상에 형성된 패턴화된 금속 하드 마스크층을 포함한다. 패턴화된 금속 하드 마스크층은 밑에 있는 유전체 하드 마스크층의 적어도 일부를 노출하는 패턴을 이룬다. 방법은 제 1 건식 에칭 공정을 이용하여 유전체 하드 마스크층에 패턴화된 금속 하드 마스크층의 패턴을 전달하는 단계를 더 포함한다. 방법은 또한 제 2 건식 에칭 공정을 이용하여 절연층에 유전체 하드 마스크층의 패턴을 전달하는 단계를 포함한다. 제 2 건식 에칭 공정은 하나 이상의 할로겐 함유 가스를 포함하는 공정 조성물로부터 형성된 플라즈마를 이용한다. 제 2 건식 에칭 공정은 절연층을 에칭하고, 유전체 하드 마스크층에 비해 패턴화된 금속 하드 마스크층의 일부를 제거하여, 패턴화된 금속 하드 마스크층이 감소되어 밑에 있는 유전체 하드 마스크층의 코너를 노출하도록 한다. 방법은 마지막으로 제 3 건식 에칭 공정을 이용하여 절연층을 오버행하는 유전체 하드 마스크층의 일부를 제거하는 단계를 포함한다. 제 3 건식 에칭 공정은 절연층에 비해 유전체 하드 마스크층에 더욱 선택적인 공정 조성물로부터 형성된 플라즈마를 이용한다.
본 발명은 또한 트렌치 퍼스트 금속 하드 마스크(trench first metal hard mask; TFMHM) 방식으로 반도체 디바이스의 막 스택을 프로파일링하는 방법을 제공한다. 방법은 막 스택을 갖는 기판을 수용하는 단계로 시작한다. 이러한 막 스택은 SiCOH 함유층, 상기 SiCOH 함유층 위에 놓인 실리콘 산화물(SiOx) 함유층, 및 상기 SiOx 함유층 상에 형성된 패턴화된 TiN 하드 마스크층을 포함한다. 패턴화된 TiN 하드 마스크층은 밑에 있는 SiOx 함유층의 적어도 일부를 노출하는 트렌치 패턴을 이룬다. 방법은 또한 제 1 건식 에칭 공정을 이용하여 SiOx 함유층에 패턴화된 TiN 하드 마스크층의 트렌치 패턴을 전달하는 단계를 포함한다. 제 1 건식 에칭 공정은 CF4, 및 C4F6, C4F8, 또는 C5F8 중 하나를 포함하는 공정 조성물로부터 형성된 플라즈마를 이용한다. 방법은 제 2 건식 에칭 공정을 이용하여 SiCOH 함유층에 SiOx 함유층의 트렌치 패턴을 전달하는 단계를 더 포함한다. 제 2 건식 에칭 공정은 NF3, 및 C4F6, C4F8, 또는 C5F8 중 하나를 포함하는 공정 조성물로부터 형성된 플라즈마를 이용한다. 제 2 건식 에칭 공정은 SiCOH 함유층을 에칭하고, SiOx 함유층에 비해 패턴화된 TiN 하드 마스크층의 일부를 제거한다. 그렇게 하면, 패턴화된 TiN 하드 마스크층은 감소되므로, 밑에 있는 SiOx 함유층의 코너를 노출한다. 방법은 또한 SiCOH 함유층에 비해 SiOx 함유층에 더욱 선택적인 제 3 건식 에칭 공정을 이용하여 SiCOH 함유층을 오버행하는 SiOx 함유층의 일부를 제거하는 단계를 포함한다.
본 발명은 또한 트렌치 퍼스트 금속 하드 마스크(TFMHM) 방식으로 반도체 디바이스의 막 스택을 프로파일링하는 방법이다. 방법은 막 스택을 갖는 기판을 수용하는 단계를 포함한다. 이러한 막 스택은 절연층, 상기 절연층 위에 놓인 유전체 하드 마스크층, 및 상기 유전체 하드 마스크층 상에 형성된 패턴화된 금속 하드 마스크층을 포함한다. 패턴화된 금속 하드 마스크층은 밑에 있는 유전체 하드 마스크층의 적어도 일부를 노출하는 패턴을 이룬다. 이러한 방법은 제 1 에칭 공정을 이용하여 유전체 하드 마스크층에 패턴화된 금속 하드 마스크층의 패턴을 전달하는 단계를 더 포함한다. 방법은 또한 제 2 에칭 공정을 이용하여 절연층에 유전체 하드 마스크층의 패턴을 전달하는 단계를 포함한다. 제 2 에칭 공정은 하나 이상의 할로겐 함유 가스를 포함하는 공정 조성물로부터 형성된 플라즈마를 갖는다. 제 2 에칭 공정은 절연층을 에칭하고, 유전체 하드 마스크층에 비해 패턴화된 금속 하드 마스크층의 일부를 제거하여, 패턴화된 금속 하드 마스크층이 감소되어 밑에 있는 유전체 하드 마스크층의 코너를 노출하도록 한다. 방법은 마지막으로 제 3 에칭 공정을 이용하여 절연층을 오버행하는 유전체 하드 마스크층의 일부를 제거하는 단계를 포함한다. 제 3 에칭 공정은 절연층에 비해 유전체 하드 마스크층에 더욱 선택적인 공정 조성물로부터 형성된 플라즈마를 갖는다.
본 발명에 따르면, 금속화 패턴 프로파일링을 위한 건식 에칭 방법을 제공하는 것이 가능하다.
본 명세서의 일부를 구성하고 본 명세서에 통합된 첨부 도면은 본 발명의 실시예들을 나타내고, 상기 주어진 본 발명의 일반적인 설명 및 아래에 주어진 상세한 설명과 함께 본 발명을 설명하는 역할을 한다.
도 1은 본 발명의 실시예의 에칭 공정의 수행 이전의 층 스택의 횡단면도이다.
도 2는 본 발명의 실시예의 제 1 에칭 공정의 수행 이후의 층 스택의 횡단면도이다.
도 3은 본 발명의 실시예의 제 2 에칭 공정의 수행 이후의 층 스택의 횡단면도이다.
도 4는 본 발명의 실시예의 제 3 에칭 공정의 수행 이후의 층 스택의 횡단면도이다.
도 5는 본 발명의 실시예의 금속화가 수행된 이후의 층 스택의 횡단면도이다.
다음의 논의는 단일 반도체 처리 툴로 막 스택의 건식 에칭 프로파일링을 탐구하지만, 당업자라면 다양한 개시된 단계들이 복수의 툴들로 수행되거나 주어진 단계를 수행하도록 지정된 디바이스로 수행될 수 있다는 것을 인식할 것이다. 부가적으로, 기술된 단계들 각각은 반복적으로 수행될 수 있어서, 단계들은 (비교적 높은 전압, 공정 화학물질 농도, 지속 기간 등으로 단일 실행하는 대신에) 감소된 전압, 공정 화학물질 농도, 지속 기간 등으로 여러 번 실행될 수 있다. 반도체 디바이스의 막 스택을 프로파일링하는 방법에 대한 논의는 Cu 상호접속 인레이에 트렌치 퍼스트 금속 하드 마스크 전제조건으로서 프로파일을 이용하는 것에 집중한다. 그러나, 당업자라면 프로파일링 방법이 다른 반도체 처리 목표를 달성하도록 용이하게 구성될 수 있다는 것을 이해할 것이다.
도 1을 참조하면, 기판(10)[이 기판(10) 위에 적층된 막 스택(12)이 있음]이 반도체 처리 챔버(도시되지 않음) 내에 배치된다. 이러한 막 스택(12)은 절연층(14), 유전체 하드 마스크층(16), 패턴화된 금속 하드 마스크층(18), 및 상부 유전체층(20)을 포함한다. 이 처리 단계에서, 패턴화된 금속 하드 마스크층(18)(이전에 연속적인 층으로서 도포되었음)은 복수의 리소그래피 에칭 또는 측벽 이미지 전달(sidewall image transfer; SIT) 공정 중 어느 하나를 이용하여 한 무리의 포지티브 마스크 영역(22) 및 네거티브 마스크 영역(24)을 확립하도록 에칭되었다. 패턴화된 금속 하드 마스크층(18)을 정의하는데 이용되는 상부 유전체층은 실질적으로 패턴화된 금속 하드 마스크층(18)과 동일한 모양을 갖고, 패턴화된 금속 하드 마스크층(18)과 일치한다.
막 스택(12)의 일부 실시예들에서, 상부 유전체층(20)은 산화물이다. 보다 구체적으로, 막 스택(12)의 일부 실시예들은 테트라에틸 오소실리케이트(tetraethyl orthosilicate; TEOS) 전구체(TEOS 층으로서 언급됨)로부터 퇴적된 SiOx로 구성된 기억 패턴화층으로서 상부 유전체층(20)을 이용한다. TEOS 상부 유전체층을 이용하는 경우, 대략 40 nm의 작은 피치 피처들이 달성될 수 있다. 그러나, 피처 크기가 대략 80 nm 이하로 축소됨에 따라, 더블 패턴화가 요구될 수 있다. 그러므로, TEOS는 더블 패턴화 애플리케이션에서 이용하기 위한 제 1 리소그래피 에칭 패스 및 제 2 리소그래피 에칭 패스 양자 모두를 기억하는데 효과적이다.
패턴화된 금속 하드 마스크층(18)의 경우, 일부 실시예들은 (다른 금속성 물질들이 후속 처리 및 Cu 인레이와 충분히 호환되면 다른 금속성 물질들이 이용될 수 있긴 하지만) TiN을 이용한다. TiN 패턴화된 금속 하드 마스크층(18)은 절연층(14)으로 트렌치를 에칭하기 위한 마스크로서 이용될 수 있다. 트렌치 프로파일링과 동시에, 본 발명의 일부 실시예들에서, 존재하는 TiN의 양을 줄이기 위한 공정(따라서, 종횡비를 최소화함)을 구성하는 것이 바람직할 수 있다.
막 스택(12)의 층들은 원하는 전체 두께를 산출하는 복수의 막 층들의 도포에 의해 제조될 수 있다. 특히, 유전체 하드 마스크층(16)은 산화물(예컨대, SiOx)을 포함하는 복수의 층들의 도포에 의해 제조될 수 있다. 보다 구체적으로, 본 발명의 일부 실시예들은 절연층(14)보다 높은 밀도를 갖는 SiCOH의 캡층 위에 TEOS를 포함하는 복수의 층들을 이용한다. 마찬가지로, 절연층(14)은 기상 증착 공정을 이용하여 도포되는 하나 이상의 물질층들로 제조될 수 있다.
도 2는 다음의 공정 단계의 요소들이 수행된 이후의 막 스택(12)을 도시한다. 제 1 단계에서, 건식 에칭 공정(예컨대, 다수의 리소그래피 에칭 공정 또는 SIT 공정)이 상부 유전체층(20)을 제거하기 위해 이용된다. 건식 에칭 공정은 하나 이상의 비활성 가스(noble gase) 또는 하나 이상의 할로겐 가스를 포함하는 공정 화학물질 조성물을 이용할 수 있다. 보다 구체적으로, 공정 화학물질 조성물은 CFx 또는 CxFy를 포함할 수 있다. 일부 실시예들에서, CF4는 1차 에칭 화학물질이고, C4F8은 절연층(14)에 측벽 패시베이션을 부여하기 위해 중합 가능 가스의 역할을 한다. 또한, 다른 실시예들은 다양한 희석물 및 개선된 공정 균일성 제어를 제공하기 위해 Ar, CO, 또는 N2를 포함할 수 있다.
상부 유전체층(20)을 제거하면서, 이 제 1 단계는 동시에 패턴화된 금속 하드 마스크층(18)의 모양을 유전체 하드 마스크층(16)에 전달하고 [유전체 하드 마스크층(16)을 통해 에칭하여] 유전층(14)에 트렌치(30)를 확립하기 시작한다. 제 1 단계 동안에 막 스택(12)의 에칭 노출의 강도 또는 지속 기간을 조정하는 것은, 트렌치(30)의 예비 깊이를 결정할 것이다. 후속 단계에서 발생할 추가적인 트렌칭과 함께, 제 1 단계는 또한 트렌치(30)의 최종 깊이를 부분적으로 한정한다. 트렌치(30)를 개시하는 것에 더하여, 제 1 단계는 (상부 유전체층(20)의 제거에 의해) 패턴화된 금속 하드 마스크층(18)을 노출하고 후속 에칭을 준비하는데 중요하다.
이러한 제 1 단계에서 주의 깊게 처리하더라도, 에칭은 유전체 하드 마스크층(16)에 공격적인 것보다 절연층에 더욱 공격적일 수 있음을 유의해야 한다. 이것은 유전체 하드 마스크층(16)에 대해 절연층(14)의 언더컷(48) (즉, 반대로 기술하면, 절연층(14)에 대해 유전체 하드 마스크층(16)의 오버행)을 확립하기 시작한다.
도 3을 참조하면, 처리 단계의 다음 요소들의 완료 이후의 막 스택(12)의 구성이 도시된다. 제 2 단계는 막 스택(12)에 추가의 건식 에칭을 적용하고, 이 단계는 패턴화된 금속 하드 마스크층(18)을 부분적으로 제거한다. 이러한 제 2 단계는 NFx, CxFy, 또는 비활성 가스의 이용을 포함할 수 있다. 일부 실시예들에서, NF3는 1차 에칭 화학물질이고, C4F8은 절연층(14)에 측벽 패시베이션을 부여하기 위해 중합 가능 가스의 역할을 한다. 이 단계에서 CF4가 NF3로 대체될 수 있고, NF3의 이용은 패턴화된 금속 하드 마스크층(18)의 프로파일링에 이용될 수 있는 증가된 처리 온도와 함께 이용되는 경우 우수한 결과를 생성할 수 있다. 일부 실시예들은 다양한 희석물 및 개선된 공정 균일성 제어를 제공하기 위해 Ar를 포함할 수 있다.
특히, 제 2 단계의 추가적인 에칭은 패턴화된 금속 하드 마스크층(18)의 두께는 물론 각각의 포지티브 마스크 영역(22)의 폭을 감소시킨다는 점에서 부분적 제거이다. 그 결과, 패턴화된 금속 하드 마스크층(18)은 유전체 하드 마스크층(16)에 대해 감소되고, 이전에 마스킹되었던 유전체 하드 마스크층(16)의 일부가 이제 노출된다[노출된 영역(40) 참조]. 유전체 하드 마스크층(16)의 이러한 노출은 다음 단계에서 오버행의 감소를 용이하게 할 것이다. 패턴화된 금속 하드 마스크층(18)을 완전히 에칭하는 것이 가능하지만, 추가적인 에칭 시간은 절연층(14)과 유전체 하드 마스크층(16) 사이의 계면에서 문제가 있는 오버행 또는 언더컷을 확대시키는 경향이 있다(이는 대략 4 nm의 전체 오버행을 야기할 수 있음).
패턴화된 금속 하드 마스크층(18)을 부분적으로 에칭하는 것에 더하여, 유전체 하드 마스크층의 패턴은 절연층에 전달되고, 절연층(14)의 트렌치의 깊이가 증가된다. 기판(10)의 중심으로부터의 거리의 함수로서 발생할 수 있는 프로파일링 불균일은 기판(10)의 온도를 조작함으로써 보정될 수 있다. 본 발명의 일부 실시예들에서, 온도는 기판(10)에 걸쳐 방사상으로 변화된다. 다른 실시예들에서, 기판(10)은 대략 섭씨 70도 내지 대략 섭씨 80도 사이에서 유지된다. 기판(10)의 온도는 가변 온도 제어 능력을 갖는 척 상에 기판을 배치함으로써, 또는 당업자에게 공지된 다른 수단들에 의해 조정될 수 있다.
이러한 제 2 단계의 완료 시에, 패턴화된 금속 하드 마스크층(18) 및 트렌치(30)의 프로파일은 실질적으로 감소된 타겟 치수를 갖는다. 그러나, 2개의 원하지 않는 기하학적 구조가 여전히 남아 있다. 첫 번째로, 트렌치(30)의 바닥(42)이 측벽(44)에 대하여 충분히 사각형으로 만들어 지지 않는다. 이것은 바닥(42)과 측벽(44)의 교차 지점에 둥근 영역(46)을 초래한다. 두 번째로, 언더컷 영역(48)이 유전체 하드 마스크층(16)과 절연층(14) 사이의 계면에 형성된다. 이러한 언더컷 영역(48)은 유전체 하드 마스크층(16)에 대하여 절연층(14)의 가속된 에칭에 의해 형성되고, Cu가 트렌치(30)에 인레이되는 경우 결함을 야기할 수 있다. 본 발명은 추가적인 에칭 단계를 수행함으로써 이러한 2가지 불균일을 완화시킨다.
도 4는 제 3 처리 단계 이후의 막 스택(12)을 도시한다. 제 3 처리 단계에서, 유전체 하드 마스크층(16)의 노출된 영역(40)은 유전체 하드 마스크층(16)과 절연층(14) 사이의 계면이 매끄러운 프로파일(50)을 생성하도록 에칭된다. 이것은 비공식적으로 오버행 "절단"으로 언급될 수 있으므로, 언더컷을 제거할 수 있다. 다시 말해서, 유전체 하드 마스크층(16)의 하부면(52)의 치수는 절연층(14)의 상부면(54)의 치수와 실질적으로 동일하다. 유전체 하드 마스크층(16)이 대략 0.5 nm 미만으로 절연층(14)을 지나서 돌출되면 허용 가능한 Cu 인레이 결과가 유지된다. 유전체 하드 마스크층(16)의 최종 프로파일, 즉, 챔퍼(chamfer)는 막 스택(12)에 있는 유전체 하드 마스크층(16)의 시작 두께를 조정함으로써 제어될 수 있다. 대략 15 nm 내지 대략 30 nm의 두께를 갖는 유전체 하드 마스크층(16)은 허용 가능한 결과를 생성할 수 있다.
특히, 이러한 제 3 단계는 절연층(14) 및 패턴화된 금속 하드 마스크층(18)에 비해 유전체 하드 마스크층(16)에 더욱 선택적인 에칭 공정으로 달성된다. 유전체 하드 마스크층(16) 및 절연층(14)의 로우-k 물질 사이의 이와 같은 선택성은 C4F6, C4F8, 또는 C5F8, 또는 이들의 조합을 포함하는 공정 화학물질 조성물을 이용함으로써 달성될 수 있다. 특히, 이러한 제 3 단계는 제 1 단계 또는 제 2 단계에 이용되는 공격적인 에칭 조성물(예컨대, NF3 또는 CF4)의 부재시에 달성될 수 있다.
추가적인 공정 선택성이 상위 플라즈마 발생 전극에 네거티브 직류 바이어스를 추가함으로써 달성될 수 있다. 그러므로, 트렌치(30)의 총 치수가 변경되지 않고 유지된다(예컨대, 트렌치 상단 및 중간 임계 치수(CD)가 작은 피치의 상호접속부에서 유지될 수 있도록 함). 그렇기는 하지만, 일부 추가적인 프로파일링이 달성될 수 있고, 바닥(42) 및 측벽(44)의 교차 지점에서 추가적인 수직 정제가 가능하다. 다양한 희석물 및 개선된 공정 균일성 제어를 제공하기 위해 Ar 또는 N2가 이용될 수 있다.
일단 프로파일링이 완료되면, 절연층(14)에 형성된 트렌치(30)의 패턴은 장벽층 및 시드층(도시되지 않음)을 형성하도록 다루어지고, Cu 또는 다른 적합한 도체로 금속화될 수 있다. 이러한 공정은 일반적으로 트렌치(30)의 과충전 또는 과도한 부담을 초래한다. 그러므로, 화학적 기계적 평탄화(chemical mechanical planarization; CMP) 또는 당업자에게 공지된 다른 기술들이 과도하게 부담지어진, 패턴화된 금속 하드 마스크층(18) 및 유전체 하드 마스크층(16)을 제거하는데 이용될 수 있다. 본 발명의 일부 실시예들에서, CMP는 유전체 하드 마스크층(16) 아래에서 절연층(14)으로 대략 10 nm에 이르는 물질을 제거한다. 도 5는 금속화 및 CMP가 발행한 이후의 트렌치를 도시한다. 이것은 도시된 바와 같이 금속화된 상호접속부(60)의 완성된 네트워크를 산출한다.
본 발명의 일 실시예에서, 반도체 디바이스의 막 스택(12)을 프로파일링하는 방법은 막 스택(12)을 갖는 기판(10)을 수용하는 단계로 시작한다. 이러한 막 스택(12)은 절연층(14), 상기 절연층(14) 위에 놓인 유전체 하드 마스크층(16), 및 상기 유전체 하드 마스크층(16) 상에 형성된 패턴화된 금속 하드 마스크층(18)을 포함한다. 이 실시예에서, 패턴화된 금속 하드 마스크층(18)은 밑에 있는 유전체 하드 마스크층(16)의 적어도 일부를 노출하는 패턴을 이룬다. 제 1 건식 에칭 공정을 이용하여 유전체 하드 마스크층(16)에 패턴화된 금속 하드 마스크층의 패턴을 전달한다. 그런 다음, 제 2 건식 에칭 공정을 이용하여 절연층(14)에 유전체 하드 마스크층(16)의 패턴을 전달한다. 제 2 건식 에칭 공정은 하나 이상의 할로겐 함유 가스를 포함하는 공정 조성물로부터 형성된 플라즈마를 포함한다. 제 2 건식 에칭 공정은 절연층(14)을 에칭하고, 유전체 하드 마스크층(16)에 비해 패턴화된 금속 하드 마스크층(18)의 일부를 제거하여, 패턴화된 금속 하드 마스크층(18)이 감소되도록 한다. 이것은 밑에 있는 유전체 하드 마스크층(16)의 코너를 노출시킨다. 절연층(14)을 오버행하는 유전체 하드 마스크층(16)의 일부가 제 3 건식 에칭 공정을 이용하여 제거된다. 제 3 건식 에칭 공정은 절연층(14)에 비해 유전체 하드 마스크층(16)에 더욱 선택적인 공정 조성물로부터 형성된 플라즈마를 포함한다.
트렌치 퍼스트 금속 하드 마스크(TFMHM) 방식에서, 반도체 디바이스의 막 스택(12)을 프로파일링하는 방법은 막 스택(12)을 갖는 기판(10)을 수용하는 단계로 시작한다. 이러한 막 스택(12)은 SiCOH 함유층, 상기 SiCOH 함유층 위에 놓인 실리콘 산화물(SiOx) 함유층, 및 상기 SiOx 함유층 상에 형성된 패턴화된 TiN 하드 마스크층을 포함한다. 패턴화된 TiN 하드 마스크층은 밑에 있는 SiOx 함유층의 적어도 일부를 노출하는 트렌치 패턴을 이룬다. 방법은 또한 제 1 건식 에칭 공정을 이용하여 SiOx 함유층에 패턴화된 TiN 하드 마스크층의 트렌치 패턴을 전달하는 단계를 포함한다. 제 1 건식 에칭 공정은 CF4, 및 C4F6, C4F8, 또는 C5F8 중 하나를 포함하는 공정 조성물로부터 형성된 플라즈마를 이용한다. 방법은 제 2 건식 에칭 공정을 이용하여 SiCOH 함유층에 SiOx 함유층의 트렌치 패턴을 전달하는 단계를 더 포함한다. 제 2 에칭 공정은 NF3, 및 C4F6, C4F8, 또는 C5F8 중 하나를 포함하는 공정 조성물로부터 형성된 플라즈마를 이용한다. 제 2 건식 에칭 공정은 SiCOH 함유층을 에칭하고, SiOx 함유층에 비해 패턴화된 TiN 하드 마스크층의 일부를 제거한다. 그렇게 하면, 패턴화된 TiN 하드 마스크층은 감소되므로, 밑에 있는 SiOx 함유층의 코너를 노출한다. 방법은 또한 SiCOH 함유층에 비해 SiOx 함유층에 더욱 선택적인 제 3 건식 에칭 공정을 이용하여 SiCOH 함유층을 오버행하는 SiOx 함유층의 일부를 제거하는 단계를 포함한다.
본 발명이 본 발명의 하나 이상의 실시예들의 설명에 의해 예시되었고, 실시예들은 상당히 상세하게 기술되었지만, 이들은 어떠한 방식으로도 이와 같은 세부 사항으로 첨부된 특허청구 범위를 제한 또는 한정하려는 것은 아니다. 추가적인 장점 및 변형이 당업자에게 용이하게 나타날 것이다. 그러므로, 광범위한 양태들의 본 발명은 특정 세부 사항, 대표적인 장치 및 방법 및 도시되고 기술된 예시적인 예로 한정되지 않는다. 따라서, 일반적인 발명의 개념의 범위를 벗어나지 않고 이러한 세부 사항에서부터 출발이 이루어질 수 있다.

Claims (17)

  1. 반도체 디바이스의 막 스택을 프로파일링하는 방법에 있어서,
    막 스택을 갖는 기판을 수용하는 단계로서, 상기 막 스택은 절연층, 상기 절연층 위에 놓인 유전체 하드 마스크층, 및 상기 유전체 하드 마스크층 상에 형성된 패턴화된 금속 하드 마스크층을 포함하고, 상기 패턴화된 금속 하드 마스크층은 밑에 있는 유전체 하드 마스크층의 적어도 일부를 노출하는 패턴을 이루는 것인, 막 스택을 갖는 기판을 수용하는 단계;
    제 1 건식 에칭 공정을 이용하여 상기 유전체 하드 마스크층에 상기 패턴화된 금속 하드 마스크층의 상기 패턴을 전달하는 단계;
    제 2 건식 에칭 공정을 이용하여 상기 절연층에 상기 유전체 하드 마스크층의 상기 패턴을 전달하는 단계로서, 상기 제 2 건식 에칭 공정은 하나 이상의 할로겐 함유 가스를 포함하는 공정 조성물로부터 형성된 플라즈마를 이용하고, 상기 제 2 건식 에칭 공정은 상기 절연층을 에칭하고, 상기 유전체 하드 마스크층에 비해 상기 패턴화된 금속 하드 마스크층의 일부를 제거하여, 상기 패턴화된 금속 하드 마스크층이 감소되어 밑에 있는 유전체 하드 마스크층의 코너를 노출하도록 하는 것인, 제 2 건식 에칭 공정을 이용하여 상기 절연층에 상기 유전체 하드 마스크층의 상기 패턴을 전달하는 단계; 및
    제 3 건식 에칭 공정을 이용하여 상기 절연층을 오버행하는 상기 유전체 하드 마스크층의 일부를 제거하는 단계로서, 상기 제 3 건식 에칭 공정은 상기 절연층에 비해 상기 유전체 하드 마스크층에 더욱 선택적인 공정 조성물로부터 형성된 플라즈마를 이용하는 것인, 제 3 건식 에칭 공정을 이용하여 상기 절연층을 오버행하는 상기 유전체 하드 마스크층의 일부를 제거하는 단계
    를 포함하는 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  2. 제 1 항에 있어서, 상기 패턴화된 금속 하드 마스크층은 복수의 리소그래피-에칭 공정 또는 측벽 이미지 전달(sidewall image transfer; SIT) 공정 중 어느 하나를 이용하여 형성되는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  3. 제 1 항에 있어서, 상기 패턴화된 금속 하드 마스크층은 티타늄 질화물(TiN)을 포함하는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  4. 제 1 항에 있어서, 상기 절연층을 오버행하는 상기 유전체 하드 마스크층의 일부를 제거하는 단계는, 상기 유전체 하드 마스크층과 상기 절연층 사이의 계면에 매끄러운 프로파일 표면 전이를 야기하여, 상기 계면에서 상기 절연층에 대한 상기 유전체 하드 마스크층의 돌출은 0.5 nm보다 작게 되는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  5. 제 1 항에 있어서, 상기 유전체 하드 마스크층은 실리콘 산화물(SiOx)을 포함하는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  6. 제 5 항에 있어서, 상기 유전체 하드 마스크층은 적어도 2개의 막 층들을 포함하고, 상기 적어도 2개의 막 층들 중 적어도 1개는 상기 절연층보다 높은 밀도를 갖는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  7. 제 1 항에 있어서, 상기 제 2 건식 에칭 공정을 이용하여 상기 절연층에 상기 유전체 하드 마스크층의 상기 패턴을 전달하는 단계는, 섭씨 70도 내지 섭씨 80도 사이로 상기 기판의 온도를 제어하는 단계를 포함하는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  8. 제 1 항에 있어서, 상기 제 3 건식 에칭 공정은 C4F6, C4F8, 또는 C5F8 또는 이들의 조합을 포함하는 공정 조성물로부터 형성된 플라즈마를 이용하는 것을 포함하는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  9. 제 1 항에 있어서, 상기 공정 조성물은 비활성 가스를 더 포함하는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  10. 제 1 항에 있어서,
    상기 절연층의 상기 패턴을 금속화하는 단계; 및
    상기 패턴화된 금속 하드 마스크층 및 상기 유전체 하드 마스크층을 제거하는 단계
    를 더 포함하는 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  11. 제 1 항에 있어서, 상기 제 1 건식 에칭 공정은 상기 패턴화된 금속 하드 마스크층 상에 형성된 기억 패턴화층을 동시에 제거하는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  12. 제 11 항에 있어서, 상기 기억 패턴화층은 테트라에틸 오소실리케이트 전구체로부터 퇴적된 SiOx를 포함하는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  13. 제 1 항에 있어서, 상기 할로겐 함유 가스는 NFx 및 CxFy를 포함하는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  14. 제 13 항에 있어서, 상기 할로겐 함유 가스는 NF3을 포함하는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  15. 제 13 항에 있어서, 상기 할로겐 함유 가스는 CF4를 포함하는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  16. 제 1 항에 있어서, 상기 유전체 하드 마스크층의 챔퍼(chamfer)는 상기 유전체 하드 마스크층의 시작 두께를 15 nm 내지 30 nm에서 선택함으로써 조정되는 것인, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
  17. 트렌치 퍼스트 금속 하드 마스크(trench first metal hard mask; TFMHM) 방식으로, 반도체 디바이스의 막 스택을 프로파일링하는 방법에 있어서,
    막 스택을 갖는 기판을 수용하는 단계로서, 상기 막 스택은 SiCOH 함유층, 상기 SiCOH 함유층 위에 놓인 실리콘 산화물(SiOx) 함유층, 및 상기 SiOx 함유층 상에 형성된 패턴화된 TiN 하드 마스크층을 포함하고, 상기 패턴화된 TiN 하드 마스크층은 밑에 있는 SiOx 함유층의 적어도 일부를 노출하는 트렌치 패턴을 이루는 것인, 막 스택을 갖는 기판을 수용하는 단계;
    제 1 건식 에칭 공정을 이용하여 상기 SiOx 함유층에 상기 패턴화된 TiN 하드 마스크층의 상기 트렌치 패턴을 전달하는 단계로서, 상기 제 1 건식 에칭 공정은 CF4, 및 C4F6, C4F8, 또는 C5F8 중 하나를 포함하는 공정 조성물로부터 형성된 플라즈마를 이용하는 것인, 제 1 건식 에칭 공정을 이용하여 상기 SiOx 함유층에 상기 패턴화된 TiN 하드 마스크층의 상기 트렌치 패턴을 전달하는 단계;
    제 2 건식 에칭 공정을 이용하여 상기 SiCOH 함유층에 상기 SiOx 함유층의 상기 트렌치 패턴을 전달하는 단계로서, 상기 제 2 건식 에칭 공정은 NF3, 및 C4F6, C4F8, 또는 C5F8 중 하나를 포함하는 공정 조성물로부터 형성된 플라즈마를 이용하고, 상기 제 2 건식 에칭 공정은 상기 SiCOH 함유층을 에칭하고, 상기 SiOx 함유층에 비해 상기 패턴화된 TiN 하드 마스크층의 일부를 제거하여, 상기 패턴화된 TiN 하드 마스크층은 감소되어, 밑에 있는 SiOx 함유층의 코너를 노출하도록 하는 것인, 제 2 건식 에칭 공정을 이용하여 상기 SiCOH 함유층에 상기 SiOx 함유층의 상기 트렌치 패턴을 전달하는 단계; 및
    제 3 건식 에칭 공정을 이용하여 상기 SiCOH 함유층을 오버행하는 상기 SiOx 함유층의 일부를 제거하는 단계로서, 상기 제 3 건식 에칭 공정은 상기 SiCOH 함유층에 비해 상기 SiOx 함유층에 더욱 선택적인 공정 조성물로부터 형성된 플라즈마를 갖는 것인, TFMHM 방식으로, 반도체 디바이스의 막 스택을 프로파일링하는 방법.
KR1020140093901A 2013-07-29 2014-07-24 금속화 패턴 프로파일링을 위한 건식 에칭 방법 KR102279612B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/953,144 US8809185B1 (en) 2013-07-29 2013-07-29 Dry etching method for metallization pattern profiling
US13/953,144 2013-07-29

Publications (2)

Publication Number Publication Date
KR20150014387A KR20150014387A (ko) 2015-02-06
KR102279612B1 true KR102279612B1 (ko) 2021-07-19

Family

ID=51301635

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140093901A KR102279612B1 (ko) 2013-07-29 2014-07-24 금속화 패턴 프로파일링을 위한 건식 에칭 방법

Country Status (3)

Country Link
US (1) US8809185B1 (ko)
KR (1) KR102279612B1 (ko)
TW (1) TWI559396B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11031279B2 (en) * 2016-12-14 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced trench loading effect
US10475700B2 (en) * 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Etching to reduce line wiggling
US10861705B2 (en) * 2017-08-31 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of line wiggling
DE102017128070B4 (de) 2017-08-31 2023-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Ätzen zum Verringern von Bahnunregelmässigkeiten
US10964587B2 (en) * 2018-05-21 2021-03-30 Tokyo Electron Limited Atomic layer deposition for low-K trench protection during etch
KR20210086898A (ko) 2019-12-31 2021-07-09 삼성디스플레이 주식회사 표시 장치 및 그 제조방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100694380B1 (ko) 1999-05-19 2007-03-12 인피니언 테크놀로지스 노쓰 아메리카 코포레이션 트렌치 형성 방법
KR100778259B1 (ko) 1999-05-05 2007-11-22 램 리써치 코포레이션 저-커패시턴스 유전층 에칭 기술
JP2013529838A (ja) 2010-06-11 2013-07-22 東京エレクトロン株式会社 金属インターコネクトのために絶縁積層体を選択的にエッチングする方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6103619A (en) * 1999-10-08 2000-08-15 United Microelectronics Corp. Method of forming a dual damascene structure on a semiconductor wafer
US6551915B2 (en) * 2001-07-03 2003-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal annealing/hydrogen containing plasma method for forming structurally stable low contact resistance damascene conductor structure
US7199046B2 (en) * 2003-11-14 2007-04-03 Tokyo Electron Ltd. Structure comprising tunable anti-reflective coating and method of forming thereof
US7078350B2 (en) * 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
KR100640662B1 (ko) 2005-08-06 2006-11-01 삼성전자주식회사 장벽금속 스페이서를 구비하는 반도체 소자 및 그 제조방법
US8080473B2 (en) 2007-08-29 2011-12-20 Tokyo Electron Limited Method for metallizing a pattern in a dielectric film
JP5488603B2 (ja) * 2009-08-14 2014-05-14 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5498808B2 (ja) 2010-01-28 2014-05-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101774298B1 (ko) 2011-05-30 2017-09-20 삼성전자 주식회사 반도체 장치의 제조방법
US8551877B2 (en) * 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100778259B1 (ko) 1999-05-05 2007-11-22 램 리써치 코포레이션 저-커패시턴스 유전층 에칭 기술
KR100694380B1 (ko) 1999-05-19 2007-03-12 인피니언 테크놀로지스 노쓰 아메리카 코포레이션 트렌치 형성 방법
JP2013529838A (ja) 2010-06-11 2013-07-22 東京エレクトロン株式会社 金属インターコネクトのために絶縁積層体を選択的にエッチングする方法

Also Published As

Publication number Publication date
KR20150014387A (ko) 2015-02-06
TWI559396B (zh) 2016-11-21
US8809185B1 (en) 2014-08-19
TW201515093A (zh) 2015-04-16

Similar Documents

Publication Publication Date Title
US10276381B2 (en) Semiconductor methods and devices
KR102279612B1 (ko) 금속화 패턴 프로파일링을 위한 건식 에칭 방법
US9997401B2 (en) Method for forming a via profile of interconnect structure of semiconductor device structure
US8450212B2 (en) Method of reducing critical dimension process bias differences between narrow and wide damascene wires
TWI610343B (zh) 具有楔形鑲嵌孔洞之半導體結構及其製造方法
US9607883B2 (en) Trench formation using rounded hard mask
US6387798B1 (en) Method of etching trenches for metallization of integrated circuit devices with a narrower width than the design mask profile
KR20200044978A (ko) 선택적으로 에칭되는 자기-정렬된 비아 프로세스들
KR102111418B1 (ko) 자기 정렬 스페이서 패터닝을 사용하여 형성되는 플렉서블 스페이스를 가진 메탈 라우팅
JP2008135758A (ja) 電子構造の製造方法
JP2002525840A (ja) 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
US7615494B2 (en) Method for fabricating semiconductor device including plug
US10388602B2 (en) Local interconnect structure including non-eroded contact via trenches
KR20190100072A (ko) 코발트 플러그를 보호하는 방법
KR20020025237A (ko) 적어도 하나의 금속화 평면을 구비한 집적회로의 생산 방법
WO2007043634A1 (ja) 多層配線の製造方法
KR100673196B1 (ko) 플래쉬 메모리 소자의 금속배선 및 콘택플러그 형성방법
CN107978515B (zh) 一种半导体器件及其制造方法
JP2005005697A (ja) 半導体装置の製造方法
US20110097899A1 (en) Method of forming funnel-shaped opening
US9349606B2 (en) Metal hardmask all in one integrated etch
US20020119618A1 (en) Method for forming contacts of memory devices using an etch stop layer
KR100597090B1 (ko) 반도체 소자의 게이트 전극 형성방법
CN106971973B (zh) 一种半导体器件及其制造方法、电子装置
KR20010025972A (ko) 반도체 장치의 배선 형성방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant