JP5488603B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP5488603B2
JP5488603B2 JP2011526673A JP2011526673A JP5488603B2 JP 5488603 B2 JP5488603 B2 JP 5488603B2 JP 2011526673 A JP2011526673 A JP 2011526673A JP 2011526673 A JP2011526673 A JP 2011526673A JP 5488603 B2 JP5488603 B2 JP 5488603B2
Authority
JP
Japan
Prior art keywords
insulating film
mask
interlayer insulating
mask layer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011526673A
Other languages
English (en)
Other versions
JPWO2011018857A1 (ja
Inventor
知行 桐村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Publication of JPWO2011018857A1 publication Critical patent/JPWO2011018857A1/ja
Application granted granted Critical
Publication of JP5488603B2 publication Critical patent/JP5488603B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、半導体装置の製造方法に関し、特に配線構造を形成する場合に適用して好適である。
近年における半導体装置の大規模高集積化に伴い、配線の設計ルールも世代と共に縮小化されている。従来、配線は、絶縁膜上に導電材料を堆積した後、リソグラフィー及びドライエッチングを用いて導電材料をパターニングすることにより形成されてきたが、世代が進むにつれて技術的な限界が生じ始めている。そのため、従来の配線の形成プロセスに代わる新たな形成プロセスとして、絶縁膜に配線溝及び接続孔を形成した後、この配線溝及び接続孔に配線材料を埋め込んで配線構造を形成する、いわゆるダマシンプロセスと呼ばれる手法が利用されている。ダマシンプロセスは、ドライエッチングが困難な銅等の低抵抗導電材料を用いて配線層を形成することが容易であり、微細な低抵抗の配線構造を形成するうえで極めて有効である。
ダマシンプロセスには、配線溝と接続孔とを導電材料で別々に埋め込むシングルダマシン法と、配線溝(配線トレンチ)と接続孔(ビア孔)とを導電材料で同時に埋め込むデュアルダマシン法とがある。これらのうち、デュアルダマシン法は、配線トレンチ及びビア孔への導電材料の埋め込みを1回のプロセスにより行うため、シングルダマシン法と比較して製造方法が簡略化されるという利点がある。
デュアルダマシン法には、ビア孔を先に形成する先ビア方式(特許文献1を参照)と、配線トレンチを先に形成する先トレンチ方式(特許文献2を参照)とがある。
特開平11−274299号公報 特開平11−186274号公報
デュアルダマシン法においてビア孔および配線トレンチを形成する際に、ビア孔と配線トレンチとの位置づれが生じた際に、ビア孔が小径化したり、隣接する配線間でのリーク電流が生じたりするという問題が生じる。
本発明は、配線トレンチとビア孔の開口パターンの位置ずれが生じた場合であっても、ビア孔の小径化や配線間リークが抑制され、所期の径が確保されたビア孔を容易且つ確実に形成することを可能とし、信頼性の高い配線構造を有する半導体装置を実現する半導体装置の製造方法を提供することを目的とする。
半導体装置の製造方法の一態様は、半導体基板上に第1層間絶縁膜を形成する工程と、前記第1層間絶縁膜中に配線層を形成する工程と、前記第1層間絶縁膜及び前記配線層上に第2層間絶縁膜を形成する工程と、前記第2層間絶縁膜上に、第1マスク層を形成し、前記第1マスク層上に第2マスク層を形成する工程と、前記第2マスク層に第1開口部を形成する工程と、前記第1開口部の少なくとも一部と重なる位置に第2開口部を有するレジスト層を、前記第2マスク上に形成する工程と、前記レジスト層をマスクとして、又は前記レジスト層及び前記第2マスク層をマスクとして、前記第1マスク層をエッチングし、第3開口部を前記第1マスク層に形成する第1のエッチング工程と、前記第1のエッチング工程の後、前記レジスト層をマスクとして、又は前記レジスト層及び前記第2マスク層をマスクとして、前記第1マスク層のエッチングレートが前記第2マスク層及び前記第2層間絶縁膜のエッチングレートよりも大きい条件で前記第1マスク層を前記半導体基板の表面と平行な方向にエッチングし、前記第3開口部を拡張する第2のエッチング工程と、前記第2のエッチング工程の後、前記レジスト層を除去する工程と、前記レジスト層を除去する工程の後、前記第1マスク層及び前記第2マスク層をマスクとして前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に接続孔を形成する工程と、前記接続孔を形成する工程の後、前記第2マスク層をマスクとして前記第1マスク層及び前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に配線溝を形成する工程と、前記接続孔及び前記配線溝を埋め込むように、導電膜を堆積する工程とを含む。
半導体装置の製造方法の他態様は、半導体基板上に第1層間絶縁膜を形成する工程と、前記第1層間絶縁膜中に配線層を形成する工程と、前記第1層間絶縁膜および前記配線層上に第2層間絶縁膜を形成する工程と、前記第2層間絶縁膜上に、第1マスク層を形成し、前記第1マスク層上に第2マスク層を形成し、前記第2マスク層上に第3マスク層を形成する工程と、前記第3マスク層に第1開口部を形成する工程と、前記第1開口部の少なくとも一部と重なる位置に第2開口部を有するレジスト層を、前記第3マスク上に形成する工程と、前記レジスト層をマスクとして、又は前記レジスト層及び前記第3マスク層をマスクとして、前記第2マスク層をエッチングし、第3開口部を前記第2マスク層に形成する第1のエッチング工程と、前記第1のエッチング工程の後、前記レジスト層をマスクとして、又は前記レジスト層及び前記第3マスク層をマスクとして、前記第2マスク層のエッチングレートが前記第1マスク層及び前記第3マスク層のエッチングレートよりも大きい条件で前記第2マスク層を前記半導体基板の表面と平行な方向にエッチングし、前記第3開口部を拡張する第2のエッチング工程と、第2のエッチング工程の後、前記レジスト層を除去する工程と、前記レジスト層を除去する工程の後、前記第3マスク層及び前記第2マスク層をマスクとして、前記第1マスク層及び前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に接続孔を形成する工程と、前記接続孔を形成する工程の後、前記第3マスク層をマスクとして前記第2マスク層、前記第1マスク層、及び前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に配線溝を形成する工程と、前記接続孔及び前記配線溝を埋め込むように導電膜を堆積する工程と、前記導電膜を堆積する工程の後、前記第2層間絶縁膜上の前記第1マスク層、前記第2マスク層、及び前記導電膜を研磨により除去する工程とを含む。
半導体装置の製造方法の他態様は、半導体基板上に第1層間絶縁膜を形成する工程と、前記第1層間絶縁膜中に配線層を形成する工程と、前記第1層間絶縁膜及び前記配線層上に第2層間絶縁膜を形成する工程と、前記第2層間絶縁膜上に、第1マスク層を形成し、前記第1マスク層上に第2マスク層を形成する工程と、前記第2マスク層に第1開口部を形成する工程と、前記第2マスク層上に第3絶縁膜を形成する工程と、前記第1開口部の少なくとも一部と重なる位置に第2開口部を有するレジスト層を、前記第3絶縁膜上に形成する工程と、前記レジスト層をマスクとして、又は前記レジスト層及び前記第2マスク層をマスクとして、前記第3絶縁膜と共に前記第1マスク層をエッチングし、前記第3絶縁膜を開口すると共に第3開口部を前記第1マスク層に形成する第1のエッチング工程と、前記第1のエッチング工程の後、前記レジスト層をマスクとして、又は前記レジスト層及び前記第2マスク層をマスクとして、前記第1マスクのエッチングレートが、前記第2マスク、前記第3絶縁膜、及び前記第2層間絶縁膜のエッチングレートよりも大きい条件で、前記第1マスク層を前記半導体基板の表面と平行な方向にエッチングし、前記第3開口部を拡張する第2のエッチング工程と、前記第2のエッチング工程の後、前記レジスト膜及び前記第3絶縁膜を除去する工程と、前記レジスト膜及び前記第3絶縁膜を除去する工程の後、前記第1マスク層及び前記第2マスク層をマスクとして前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に接続孔を形成する工程と、前記接続孔を形成する工程の後、前記第2マスク層をマスクとして前記第1マスク層及び前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に配線溝を形成する工程と、前記接続孔及び配線溝を埋め込むように、導電膜を堆積する工程とを含む。
上記した各態様によれば、先トレンチ方式を採用するも、配線トレンチとビア孔の開口パターンの位置ずれによるビア孔の小径化が抑制され、所期の径が確保されたビア孔を容易且つ確実に形成することが可能となり、信頼性の高い配線構造を有する半導体装置が実現する。
図1Aは、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図1Bは、図1Aに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図1Cは、図1Bに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図2Aは、図1Cに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図2Bは、図2Aに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図2Cは、図2Bに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図3Aは、図2Cに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図3Bは、図3Aに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図3Cは、図3Bに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図4Aは、図3Cに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図4Bは、図4Aに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図4Cは、図4Bに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図5Aは、図4Cに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図5Bは、図5Aに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図5Cは、図5Bに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図6Aは、図5Cに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図6Bは、図6Aに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図6Cは、図6Bに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図7Aは、図6Cに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図7Bは、図7Aに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図8Aは、図7Bに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図8Bは、図8Aに引き続き、第1の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図9Aは、第1の実施形態の比較例によるMOSトランジスタの製造方法を示す概略断面図である。 図9Bは、図9Aに引き続き、第1の実施形態の比較例によるMOSトランジスタの製造方法を示す概略断面図である。 図9Cは、図9Bに引き続き、第1の実施形態の比較例によるMOSトランジスタの製造方法を示す概略断面図である。 図9Dは、図9Cに引き続き、第1の実施形態の比較例によるMOSトランジスタの製造方法を示す概略断面図である。 図10Aは、第1の実施形態において、ファセットが発生する倍を例示する概略断面図である。 図10Bは、第1の実施形態において、ファセットが発生する倍を例示する概略断面図である。 図11Aは、第1の実施形態によるMOSトランジスタの製造方法の変形例の主要工程を示す概略断面図である。 図11Bは、図11Aに引き続き、第1の実施形態によるMOSトランジスタの製造方法の変形例の主要工程を示す概略断面図である。 図11Cは、図11Bに引き続き、第1の実施形態によるMOSトランジスタの製造方法の変形例の主要工程を示す概略断面図である。 図12Aは、第2の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図12Bは、図12Aに引き続き、第2の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図12Cは、図12Bに引き続き、第2の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図13Aは、図12Cに引き続き、第2の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図13Bは、図13Aに引き続き、第2の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図13Cは、図13Bに引き続き、第2の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図14Aは、図14Cに引き続き、第2の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図14Bは、図14Aに引き続き、第2の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図14Cは、図14Bに引き続き、第2の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図15Aは、図14Cに引き続き、第2の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図15Bは、図15Aに引き続き、第2の実施形態によるMOSトランジスタの製造方法を示す概略断面図である。 図16Aは、図12Cに対応した概略斜視図である。 図16Bは、図13Aに対応した概略斜視図である。 図16Cは、図13Bに対応した概略斜視図である。 図17Aは、図13Cに対応した概略斜視図である。 図17Bは、図14Bに対応した概略斜視図である。
まず、本発明者が考察した先ビア方式と先トレンチ方式の課題を説明する。先ビア方式では、下層配線に対して直接的に位置合わせされたビア孔の開口パターンを有するレジストマスクを層間絶縁膜上に形成し、このレジストマスクを用いてエッチングを行って、層間絶縁膜にビア孔を形成する。そのため、下層配線との位置ずれが小さいビア孔を形成することができる。
しかしながら、先ビア方式には、以下のような問題点がある。
先ビア方式では、層間絶縁膜にビア孔を形成した後に、このビア孔の上方に配線トレンチの開口パターンを有するレジストマスクを形成し、このレジストマスクを用いてエッチングを行って、層間絶縁膜に配線トレンチを形成する。そのため、配線トレンチの開口パターンがビア孔に対して位置ずれすると、層間絶縁膜に形成された配線トレンチ及びビア孔を導電材料で埋め込んだときに隣の配線との距離が短くなり、配線間で電流リークが生じる原因となる。
一方、先トレンチ方式では、配線トレンチを、層間絶縁膜とその上方に設けられた層間絶縁膜と異なる種類の絶縁膜もしくは金属膜に形成し、この配線トレンチの上方にビア孔の開口パターンを有するレジストマスクを形成する。層間絶縁膜上に設けられた、層間絶縁膜と異なる種類の絶縁膜もしくは金属膜は、ビア孔の開口パターンを有するレジストマスクをマスクとしてエッチングでビア孔を層間絶縁膜に形成する際に、エッチングストッパ膜として機能する。
ビア孔の開口パターンが配線トレンチに対して位置ずれした状態でエッチングを行っても、ビア孔の開口パターンとエッチングストッパ膜が一部重なる部分の下の層間絶縁膜には、ビア孔が形成されない。そのため、層間絶縁膜に形成された配線トレンチ及びビア孔を導電材料で埋め込んだときに隣の配線との距離が短くなることは防止され、配線間で電流リークを抑制することができる。しかしながら、ビア孔の開口パターンとエッチングストッパ膜が一部重なる部分の下の層間絶縁膜にはビア孔が形成されないため、ビア孔が当初予定された所望径よりも小さい径に形成される。そのため、形成された配線構造のビア部の幅も狭くなり、抵抗値の増加を招く虞がある。
以下、本発明の実施形態について説明する。半導体装置の製造方法の諸実施形態について説明する。以下の諸実施形態では、半導体装置としてMOSトランジスタを例示し、その配線構造を先トレンチ方式のデュアルダマシン法により形成する。なお、諸実施形態を適用する半導体装置はMOSトランジスタに限定されず、その他の各種トランジスタ及び各種半導体メモリ等の半導体装置にも適用可能である。
(第1の実施形態)
図1A〜図8Bは、第1の実施形態によるMOSトランジスタの製造方法を工程順に示す概略断面図である。
図1Aに示すように、シリコン(Si)半導体基板1を用意し、半導体基板1上の素子分離領域に素子間分離構造、例えばSTI(Shallow Trench Isolation)素子間分離構造2を形成し、活性領域を画定する。
詳細には、先ず、半導体基板1上の素子分離領域をリソグラフィー及びドライエッチングし、分離用溝1aを形成する。
次に、化学気相成長(CVD)法等により、素子間分離用溝を埋め込む絶縁膜(例えばシリコン酸化膜等)を堆積し、化学機械研磨(Chemical Mechanical Polishing:CMP)法等により平坦化する。これにより、分離用溝1a内が絶縁物により充填されたSTI素子間分離構造2を形成する。
続いて、図1Bに示すように、STI素子間分離構造2により画定された活性領域に不純物を導入し、ウェル領域3を形成する。
詳細には、リソグラフィーにより活性領域の所定部分を開口するレジストパターン(不図示)を形成し、このレジストパターンをマスクとして用いて半導体基板1に所定の不純物を導入する。形成するMOSトランジスタがN型であれば、ホウ素(B)等のP型不純物をイオン注入する。形成するMOSトランジスタがP型であれば、リン(P)又は砒素(As)等のN型不純物をイオン注入する。これにより、所定の活性領域にウェル領域3が形成される。レジストパターンは、灰化処理又は所定の薬液を用いた処理等により除去される。
続いて、図1Cに示すように、ゲート絶縁層4及びその上にゲート電極5を形成する。
詳細には、先ず、熱酸化法等により、活性領域の表面に、例えばシリコン酸化膜からなる絶縁膜を形成した後、当該絶縁膜上にCVD法等により多結晶シリコン膜を堆積する。
次に、リソグラフィー及びドライエッチングにより多結晶シリコン膜及び絶縁膜を一括して所定の電極形状に加工する。これにより、活性領域上でゲート絶縁膜4を介したゲート電極5が形成される。
続いて、図2Aに示すように、活性領域のゲート電極5の両側部位にエクステンション領域6を形成する。
詳細には、ゲート電極5をマスクとして、活性領域のゲート電極5の両側部位に不純物を導入する。形成するMOSトランジスタがN型であれば、リン(P)又は砒素(As)等のN型不純物をイオン注入する。形成するMOSトランジスタがP型であれば、ホウ素(B)等のP型不純物をイオン注入する。これにより、活性領域のゲート電極5の両側部位にエクステンション領域6が形成される。
続いて、図2Bに示すように、ゲート電極5及びゲート絶縁膜4の両側面にサイドウォール絶縁膜7を形成する。
詳細には、先ず、CVD法等により、ゲート電極5を含む半導体基板1の全面に絶縁膜、例えばシリコン酸化膜を堆積する。
次に、当該絶縁膜に対して全面に異方性ドライエッチング(エッチバック)処理を施す。これにより、ゲート電極5及びゲート絶縁膜4の両側面にのみ絶縁膜が残り、サイドウォール絶縁膜7が形成される。
続いて、図2Cに示すように、ゲート電極5の両側部位にソース/ドレイン領域8を形成する。
詳細には、ゲート電極5及びサイドウォール絶縁膜7をマスクとして、活性領域のゲート電極5及びサイドウォール絶縁膜7の両側部位に不純物を導入する。形成するMOSトランジスタがN型であれば、リン(P)又は砒素(As)等のN型不純物をイオン注入する。形成するMOSトランジスタがP型であれば、ホウ素(B)等のP型不純物をイオン注入する。これにより、活性領域のゲート電極及びサイドウォール絶縁膜7の両側部位に、エクステンション領域6と一部重畳するようにソース/ドレイン領域8が形成される。
続いて、図3Aに示すように、CVD法等により、半導体基板1上の全面に、ゲート電極5を埋め込む膜厚に絶縁膜を堆積して、層間絶縁膜9を形成する。層間絶縁膜9を形成する絶縁物としては、例えば酸化シリコンが適用される。
続いて、図3Bに示すように、コンタクトプラグ12を形成する。
詳細には、先ず、層間絶縁膜9にリソグラフィー及びドライエッチングにより選択的に開孔処理を施して、ソース/ドレイン領域8の表面の一部を露出させるコンタクト孔9aを形成する。
次に、コンタクト孔9aの内壁面を覆うように、層間絶縁膜9上にTi,TiN又はこれらの積層膜等をスパッタ法等により堆積し、所定の下地膜11を形成する。
次に、下地膜11を介してコンタクト孔9a内を埋め込むように、例えばタングステン(W)からなる導電材料を、CVD法等により層間絶縁膜9上に堆積する。
次に、層間絶縁膜9上の当該導電材料及び下地膜11をCMP法等により平坦化する。これにより、コンタクト孔9a内が下地膜11を介して導電材料により充填されたコンタクトプラグ12が形成される。
続いて、図3Cに示すように、シングルダマシン法により、層間絶縁膜9上にコンタクトプラグ12と接続される下層配線15を形成する。
先ず、コンタクトプラグ12の上面を覆うように、CVD法等により層間絶縁膜9上に保護膜10を例えば膜厚30nm程度に形成する。保護膜10を形成する絶縁物としては、例えばSiCが適用される。
次に、CVD法等により保護膜10上に層間絶縁膜13を例えば膜厚150nm程度に形成する。層間絶縁膜13を形成する絶縁物としては、例えばSiOCが適用される。
次に、コンタクトプラグ12の上面の少なくとも一部を露出するように、リソグラフィー及びドライエッチングにより層間絶縁膜13及び保護膜10に配線溝13aを形成する。
次に、配線溝13aの内壁面を覆うように、層間絶縁膜13上に例えばTa及びTaNの積層膜をスパッタ法等により堆積し、バリアメタルとして下地膜14を形成する。
次に、電解メッキ法等により、下地膜14を介して配線溝13a内を埋め込むように、例えばCu又はCu合金からなる導電材料を層間絶縁膜13上に形成する。
次に、層間絶縁膜13上の当該導電材料及び下地膜14をCMP法等により除去して平坦化する。これにより、配線溝13a内が下地膜14を介して導電材料により充填された下層配線15が形成される。ここで、下地膜14は、層間絶縁膜13内に導電材料が拡散することを抑制する機能を有する。
続いて、図4A〜図8Aに示すように、先トレンチ方式のデュアルダマシン法により、下層配線15と接続される配線構造28を形成する。図示の便宜上、図4A〜図8Bの各図では、下層配線15から上方の部位のみ示し、コンタクトプラグ12から下方の部位の図示を省略する。
詳細には、先ず、図4Aに示すように、下層配線15の上面を覆うように、CVD法等により層間絶縁膜13上に保護膜16を例えば膜厚30nm程度に形成する。保護膜16を形成する絶縁物としては、例えばSiCが適用される。
次に、図4Bに示すように、CVD法等により保護膜16上に層間絶縁膜17を例えば膜厚250nm程度に形成する。層間絶縁膜17を形成する絶縁物としては、例えばSiOCが適用される。
次に、図4Cに示すように、層間絶縁膜17上に2層のハードマスクを構成する第1ハードマスク18及び第2ハードマスク19を積層形成する。
先ず、層間絶縁膜17上に第1ハードマスク18を膜厚60nm程度に、第1ハードマスク18上に第2ハードマスク19を膜厚30nm程度に順次形成する。そして、第2ハードマスク19をリソグラフィー及びドライエッチングにより加工し、配線構造の構成要素である配線部の配線溝を形成するための開口19aを第2ハードマスク19に形成する。
第1ハードマスク18を形成する材料としては、例えばSiO,SiNから選ばれた1種が適用され、第2ハードマスク19を形成する材料としては、例えばTiN,TaN,SiCから選ばれた1種が適用される。第1ハードマスク18の材料及び第2ハードマスク19の材料は、上記のうちから任意に組み合わせて用いることができる。ここでは、第1ハードマスク18の材料にSiNを、第2ハードマスク19の材料にTiN又はTaN(以下、TiN等と言う)を用いる場合を例示する。
次に、図5Aに示すように、樹脂膜21、TEOS膜22及びレジストパターン23を順次形成する。
先ず、第2ハードマスク19上に例えば所定の有機樹脂材料を膜厚280nm程度に塗布し、樹脂膜21を形成する。
次に、樹脂膜21上にTEOS膜22を膜厚30nm程度に形成した後、TEOS膜22上にレジストを塗布する。このとき、レジスト上に反射防止膜(BARC)を形成するようにしても良い。
次に、レジスト(及び反射防止膜)をリソグラフィーにより加工し、配線構造の構成要素であるビア部のビア孔を形成するための開口23aを有するレジストパターン23を形成する。なお、図5Aでは、レジストパターン23の開口23aが当初予定した位置(下層に存する第2ハードマスク19の開口19a内の所定部位に整合した位置)から若干ずれて形成された場合を例示する。
次に、図5Bに示すように、第1ハードマスク18をドライエッチングで加工する。
詳細には、レジストパターン23をマスクとして、TEOS膜22、樹脂膜21及び第1ハードマスク18をドライエッチングで加工する。
先ず、エッチングガスとして酸素を含有するガスを用い、TEOS膜22及び樹脂膜21をエッチングする。樹脂膜21は有機材料であるため、酸素を含有するガスのプラズマでエッチングされる。一方、酸素を含有するガスのプラズマでは第1ハードマスク18のSiN及び第2ハードマスク19のTiN等はエッチングされ難い。従って、第1ハードマスク18上で当該ドライエッチングを適宜停止させることができる。
TEOS膜22及び樹脂膜21のエッチングに引き続き、第1ハードマスク18をドライエッチングする。このとき、第2ハードマスク19及び層間絶縁膜17がエッチングされないように、第1ハードマスク18のSiNが第2ハードマスク19のTiN等及び層間絶縁膜17のSiOCよりもエッチングレートが高い条件を選択する。具体的には、例えば、平行平板型の反応性イオンエッチング装置の真空チャンバ内で、流量50sccmのCHFガスと、流量30sccmのOガスと、流量500sccmのArガスとの混合ガスをエッチングとしてチャンバ内に導入しながら、50mTの圧力で500Wの高周波電力(13.56MHz)を平板電極に印加し、基板温度25℃の条件で当該ドライエッチングを行う。このエッチング条件では、SiNとSiOCとのエッチング選択比が高いので、層間絶縁膜17上で当該ドライエッチングを適宜停止させることができる。
第1ハードマスク18のエッチングでは、上記したようにレジストパターン23の開口23aが第2ハードマスク19の開口19aに対して位置ずれして形成されている。そのため第1ハードマスク18には、樹脂膜21に形成された配線溝形状の開口と第2ハードマスク19のビア孔形状の開口19aとで規定された幅狭の孔様形状(例えば略半円状)の開口18aが形成される。
次に、図5Cに示すように、等方性エッチングを行い、第1ハードマスク18を半導体基板1の表面と平行な方向にサイドエッチングして開口18aを拡張する。この等方性エッチングにより、開口18aの径が拡がって略孔状の開口18bとなる。
レジストパターン23及びTEOS膜22は、前記第1ハードマスク18の等方性エッチングの完了までに除去される。
等方性エッチングとして、ケミカルドライエッチング又はウェットエッチングが考えられる。
ケミカルドライエッチングは、例えば、ダウンフロー型のケミカルドライエッチング装置により、流量100sccmのCFガスと、流量150sccmのOガスとの混合ガスをエッチングガスとして用い、400Wの高周波電力で励起してリモートでプラズマを発生させ、プラズマを真空チャンバ内に導入し、300mTorrの圧力下で基板温度25℃の条件で行う。
ウェットエッチングは、例えば、フッ化水素酸の水溶液をエッチング液として用いる。フッ化水素酸とフッ化アンモニウムの混合液をエッチング液として用いて、pHを調整した水溶液で行っても良い。エッチング液の構成は上記に限定されるものではないが、樹脂膜21、第2ハードマスク19、及び層間絶縁膜17のエッチングレートよりも第1ハードマスク18のエッチングレートの方が大きくなるような薬液を選択することが好適である。
次に、図6Aに示すように、樹脂膜21を灰化処理により除去する。
次に、図6Bに示すように、層間絶縁膜17にビア孔形状の開口17aを形成する。
詳細には、第1ハードマスク18及び第2ハードマスク19をマスクとして、層間絶縁膜17をドライエッチングする。このドライエッチングは、層間絶縁膜17のSiOCがエッチングされ、第1ハードマスク18のSiN及び第2ハードマスク19のTiN等はエッチングされないように、SiOCとSiN及びTiN等とのエッチング選択比が高いエッチング条件で行う必要がある。このとき、第1ハードマスク18及び第2ハードマスク19がマスクとなり、第1ハードマスク18の開口18bと第2ハードマスク19の開口19aが重なる部分に倣った形状に層間絶縁膜17がエッチングされ、層間絶縁膜17にビア孔形状の開口17aが形成される。
層間絶縁膜17のエッチングに引き続き、図6Cに示すように、第2ハードマスク19をマスクとして、第1ハードマスク18及び層間絶縁膜17の上方部分、並びに保護膜16をドライエッチングする。このドライエッチングは、第1ハードマスク18のSiN、層間絶縁膜17のSiOC、及び保護膜16のSiCがエッチングされ、第2ハードマスク19のTiN等はエッチングされないように、SiN、SiOC及びSiCと、TiN等とのエッチング選択比が高いエッチング条件で行う必要がある。このとき、第2ハードマスク19がマスクとなり、第2ハードマスク19の開口19aに倣った形状に第1ハードマスク18及び層間絶縁膜17の上方部分がエッチングされる。同時に、保護膜16には、層間絶縁膜17の開口17aに倣ったビア孔形状の開口16aが形成され、下層配線15の表面の一部が露出する。このとき、層間絶縁膜17の上方部分には配線溝17bが、層間絶縁膜17の下方部分及び保護膜16には開口17a及び開口16aからなるビア孔24が形成される。配線溝17bとビア孔24とは連通して配線構造溝25を構成する。
図7Aに示すように、配線構造溝25が形成された後、第2ハードマスク19はエッチングにより除去されていることが好適である。第2ハードマスク19が残存した状態であると、第2ハードマスク19下であって、第1ハードマスク18が部分的に存在しない空隙が形成され、次工程において下地膜がこの空隙に付着し難くなるという問題がある。更にその後、導電材料の堆積を行えば、下地膜が付着していない空隙付近では導電材料が充填されず、ボイドが発生してしまう。
そこで、配線構造溝25が形成された後、第2ハードマスク19が残存している場合には、ドライエッチングにより第2ハードマスク19を除去する。
次に、図7Bに示すように、下地膜26及び導電材料27を形成する。
詳細には、先ず、配線構造溝25の内壁面を覆うように、第1ハードマスク18上に例えばTa及びTaNの積層膜をスパッタ法等により膜厚10nm程度に堆積し、バリアメタルとして下地膜26を形成する。
次に、電解メッキ法等により、下地膜26を介して配線構造溝25内を埋め込むように、例えばCu又はCu合金からなる導電材料27を第1ハードマスク18上に形成する。
次に、図8Aに示すように、配線構造28を形成する。
詳細には、導電材料27及び第1ハードマスク18をCMP法等により平坦化して除去する。このとき、層間絶縁膜17がCMPの研磨ストッパーとして機能する。この平坦化により、配線構造溝25内が下地膜26を介して導電材料27により充填され、下層配線15と接続された配線構造28が形成される。ここで、下地膜26は、層間絶縁膜17内に導電材料27が拡散することを抑制する機能を有する。
続いて、図8Bに示すように、配線構造28の上面を覆うように、CVD法等により層間絶縁膜17上に保護膜29を例えば膜厚30nm程度に形成する。保護膜29を形成する絶縁物としては、例えばSiCが適用される。
しかる後、更なる層間絶縁膜、配線構造、上層配線の形成等の各工程を経て、MOSトランジスタが形成される。
ここで、本実施形態の比較例として、従来の先トレンチ方式のデュアルダマシン法の問題点について図面を参照して詳述する。説明の便宜上、MOSトランジスタの各構成部材等において、本実施形態と同様のものについては同符号を付す。
図9A〜図9Dは、従来の先トレンチ方式の問題点を説明するための比較例における概略断面図である。図示の便宜上、下層配線から上方の部位のみ示す。
先ず、本実施形態の図1A〜図5Aと同様の諸工程を経て、図9Aの状態となる。図9Aでは、レジストパターン23の開口23aが当初予定した位置(下層に存する第2ハードマスク19の開口19a内の所定部位に整合した位置)から若干ずれて形成された場合を例示する。
続いて、図9Bに示すように、層間絶縁膜17にビア孔101を形成する。
層間絶縁膜17のエッチングにおいて、上記したようにレジストパターン23の開口23aが第2ハードマスク19の開口19aに対して位置ずれして形成されているので、開口23aと部分的に重なる第二ハードマスク19上では、エッチングが停止する。そのため層間絶縁膜17には、樹脂膜21に形成された配線溝形状の開口と第2ハードマスク19のビア孔形状の開口19aとで規定された幅狭の孔様形状(例えば略半円状)のビア孔101が形成される。このように、ビア孔101は当初予定された所望径よりも小さい径で層間絶縁膜17に形成されてしまう。
続いて、図9Cに示すように、層間絶縁膜17にビア孔101と連通する配線溝102を形成する。
第2ハードマスク19をマスクとして用いて、第1ハードマスク18及び層間絶縁膜17、並びに保護膜16をドライエッチングする。これにより、ビア孔101が保護膜16を貫通するまで延長して形成されると共に、層間絶縁膜17にはビア孔101と連通する配線溝102が形成される。
そして、本実施形態の図7A及び図7Bと同様の各工程を経て、図9Dに示すような配線構造103が形成される。
このように比較例では、配線構造103のビア部103aは当初予定された所望径よりも小さい径に形成される。そのため、ビア部103aの下層配線15との接続部位の面積が小さくなり、抵抗値の増加を招く。
上記した比較例の状況に対して、本実施形態では、第2ハードマスク19を用いた第1ハードマスク18のドライエッチングの後に、第1ハードマスク18の幅狭な開口18aを等方性エッチングして横方向に拡張する工程を実行する。これにより、所期の径が確保されたビア孔24が形成される。
以上説明したように、本実施形態によれば、先トレンチ方式を採用するも、所期の径が確保されたビア孔24を容易且つ確実に形成することが可能となり、信頼性の高い配線構造25を有する半導体装置が実現する。
(変形例)
ここで、第1の実施形態の変形例について説明する。
図10Aに示すように、第1の実施形態において、図5Cの工程では、第1ハードマスク18を等方性エッチングすることにより、第2ハードマスク19下で第1ハードマスク18の存在しない空間である空隙31が形成される。
この場合、図10Bに示すように、空隙31に起因して、図6A及び図6Bのエッチングにおいて、層間絶縁膜17の材質又はエッチング条件等によっては、配線溝17bの上面の一端に所謂ファセット(配線溝17bの肩落ち)32が発生する可能性がある。ファセット32が残存した状態で配線構造が形成されると、隣接する配線構造との距離が短くなり、配線間リークが生じる虞がある。
本例では、このように配線溝17bにファセット32が発生した場合に、配線構造の工程中にファセット32を効率良く除去する。
図11A〜図11Cは、第1の実施形態によるMOSトランジスタの製造方法の変形例の主要工程を示す概略断面図である。説明の便宜上、MOSトランジスタの各構成部材等において、本実施形態と同様のものについては同符号を付す。図示の便宜上、下層配線から上方の部位のみ示す。
先ず、本実施形態の図1A〜図6Cと同様の諸工程を実行する。ここでは、図10Bのように配線溝17bにファセット32が発生している。
続いて、本実施形態の図7Aと同様に第2ハードマスク19が残存していればこれを除去した後、図11Aに示すように、本実施形態の図7Bと同様に、下地膜26及び導電材料27を形成する。
続いて、図11Bに示すように、配線構造33を形成する。
詳細には、導電材料27及び第1ハードマスク18、並びに層間絶縁膜17のファセット32を含む表層部位を、CMP法等により平坦化して除去する。このとき例えば、先ず研磨が層間絶縁膜17に達した、即ち第1ハードマスク18が除去されたことを認識した後、当該表層部位の除去に対応する予め規定された時間だけ層間絶縁膜17を研磨する。これにより、当該表層部位が除去された状態で層間絶縁膜17と下地膜26及び導電材料27とが連続して平坦化される。このとき、ファセットのない配線構造溝25内が下地膜26を介して導電材料27により充填され、下層配線15と接続された配線構造33が形成される。
続いて、図11Cに示すように、本実施形態の図7Cと同様に、配線構造33の上面を覆うように、層間絶縁膜17上に保護膜29を形成する。
しかる後、更なる層間絶縁膜、配線構造、上層配線の形成等の各工程を経て、MOSトランジスタが形成される。
以上説明したように、本例によれば、配線溝17bにファセット32が発生した場合でも、所期の径が確保されたビア孔24を容易且つ確実に形成することが可能となり、信頼性の高い配線構造33を有する半導体装置が実現する。
(第2の実施形態)
本実施形態では、第1の実施形態と同様に先トレンチ方式のデュアルダマシン法を用いたMOSトランジスタの製造方法を開示するが、配線構造を形成する際に記載の用いるハードマスクが3層構成である点で第1の実施形態と相違する。
図12A〜図15Bは、第2の実施形態によるMOSトランジスタの製造方法の主要工程を示す概略断面図である。また、図16A〜図17Bは、図12C〜図13C,図14Bに対応した概略斜視図である。説明の便宜上、MOSトランジスタの各構成部材等において、第1の実施形態と同様のものについては同符号を付す。また、図示の便宜上、図12A〜図15Bでは下層配線から上方の部位のみを、図16A〜図17Bでは保護膜16から上方の部位のみを、それぞれ示す。
先ず、第1の実施形態の図1A〜図4Bと同様の諸工程を実行する。
続いて、図12Aに示すように、層間絶縁膜17上に3層のハードマスクを構成する第1ハードマスク41、第2ハードマスク42、及び第3ハードマスク43を積層形成する。
詳細には、層間絶縁膜17上に第1ハードマスク41を膜厚30nm程度に、第1ハードマスク41上に第2ハードマスク42を膜厚60nm程度に、第2ハードマスク42上に第3ハードマスク43を膜厚30nm程度に順次形成する。そして、第3ハードマスク43をリソグラフィー及びドライエッチングにより加工し、配線構造の構成要素である配線部の配線溝を形成するための開口43aを第3ハードマスク43に形成する。
第1ハードマスク41、第2ハードマスク42、及び第3ハードマスク43はそれぞれ異なる材料からなる。
第1ハードマスク41を形成する材料としては、例えばSiO,SiCから選ばれた1種が適用され、第2ハードマスク42を形成する材料としては、例えばSiO,SiNから選ばれた1種が適用され、第3ハードマスク43を形成する材料としては、例えばTiN,TaN,SiCから選ばれた1種が適用される。第1ハードマスク41の材料、第2ハードマスク42の材料、及び第3ハードマスク43の材料は、上記のうちから任意に組み合わせて用いることができる。ここでは、第1ハードマスク41の材料にSiOを、第2ハードマスク42の材料にSiNを、第3ハードマスク43の材料にTiN又はTaN(以下、TiN等と言う)を用いる場合を例示する。第1ハードマスク41の材料にSiCを、第2ハードマスク42の材料にSiOを、第3ハードマスク43の材料にTiN等を用いて良い。第1ハードマスク41の材料にSiOを、第2ハードマスク42の材料にSiNを、第3ハードマスク43の材料にSiCを用いて良い。
続いて、図12Bに示すように、第3ハードマスク43上に、図5Aと同様に樹脂膜21、TEOS膜22及びレジストパターン23を順次形成する。なお、図12Bでは、レジストパターン23の開口23aが当初予定した位置(下層に存する第3ハードマスク43の開口43a内の所定部位に整合した位置)から若干ずれて形成された場合を例示する。
続いて、図12C及び図16Aに示すように、第2ハードマスク42をドライエッチングで加工する。
詳細には、レジストパターン23をマスクとして、TEOS膜22、樹脂膜21及び第2ハードマスク42をドライエッチングで加工する。
先ず、エッチングガスとして酸素を含有するガスを用い、TEOS膜22及び樹脂膜21をエッチングする。樹脂膜21は有機材料であるため、酸素を含有するガスのプラズマでエッチングされる。一方、酸素を含有するガスのプラズマでは第2ハードマスク42のSiN及び第3ハードマスク43のTiN等はエッチングされ難い。従って、第2ハードマスク42上及び第3ハードマスク43上で当該ドライエッチングを適宜停止させることができる。
TEOS膜22及び樹脂膜21のエッチングに引き続き、第2ハードマスク42をドライエッチングする。このとき、第3ハードマスク43及び第1ハードマスク41がエッチングされないように、第2ハードマスク42のSiNが第3ハードマスク43のTiN等及び第1ハードマスク41のSiOよりもエッチングレートが高い条件を選択する。具体的には、例えば、平行平板型の反応性イオンエッチング装置の真空チャンバ内で、流量50sccmのCHFガスと、流量30sccmのOガスと、流量500sccmのArガスとの混合ガスをエッチングとしてチャンバ内に導入しながら、50mTの圧力で500Wの高周波電力(13.56MHz)を平板電極に印加し、基板温度25℃の条件で当該ドライエッチングを行う。このエッチング条件では、SiNとSiOとのエッチング選択比が高いので、第1ハードマスク41上で当該ドライエッチングを適宜停止させることができる。
第2ハードマスク42のエッチングでは、上記したようにレジストパターン23の開口23aが第3ハードマスク43の開口43aに対して位置ずれして形成されている。そのため第2ハードマスク42には、樹脂膜21に形成されたビア孔形状の開口と第3ハードマスク43の配線溝形状の開口43aとで規定された幅狭の孔様形状(例えば略半円状)の開口42aが形成される。
レジストパターン23及びTEOS膜22は、前記第2ハードマスク42の等方性エッチングの終了までに除去される。
続いて、図13A及び図16Bに示すように、等方性エッチングを行い、第2ハードマスク42を半導体基板1の表面と平行な方向にサイドエッチングして開口42aを拡張する。この等方性エッチングにより、開口42aの径が拡がって略孔状の開口42bとなる。
等方性エッチングとして、ケミカルドライエッチング又はウェットエッチングが考えられる。
ケミカルドライエッチングは、例えば、ダウンフロー型のケミカルドライエッチング装置により、流量100sccmのCFガスと、流量150sccmのOガスとの混合ガスをエッチングガスとして用い、400Wの高周波電力で励起してリモートでプラズマを発生させ、プラズマを真空チャンバ内に導入し、300mTorrの圧力下で基板温度25℃の条件で行う。
ウェットエッチングは、例えば、フッ化水素酸の水溶液をエッチング液として用いる。フッ化水素酸とフッ化アンモニウムの混合液をエッチング液として用いて、pHを調整した水溶液で行っても良い。エッチング液の構成は上記に限定されるものではないが、樹脂膜21、第3ハードマスク43、及び第1ハードマスク41のエッチングレートよりも第2ハードマスク42のエッチングレートの方が大きくなるような薬液を選択することが好適である。
続いて、図13B及び図16Cに示すように、樹脂膜21を灰化処理により除去する。
続いて、図13C及び図17Aに示すように、第1ハードマスク41及び層間絶縁膜17にビア孔形状の開口41a及び開口17aを形成する。なお、図17Aでは、層間絶縁膜17のドライエッチングを途中まで図示する。
詳細には、第2ハードマスク42及び第3ハードマスク43をマスクとして、第1ハードマスク41及び層間絶縁膜17をドライエッチングする。このドライエッチングは、第1ハードマスク41のSiO及び層間絶縁膜17のSiOCがエッチングされ、第2ハードマスク42のSiN及び第3ハードマスク43のTiN等はエッチングされないように、SiO及びSiOCとSiN及びTiN等とのエッチング選択比が高いエッチング条件で行う必要がある。このとき、第2ハードマスク42及び第3ハードマスク43がマスクとなり、第2ハードマスク42の開口42bと第3ハードマスク43の開口43aが重なる部分に倣った形状に第1ハードマスク41及び層間絶縁膜17がエッチングされ、第1ハードマスク41にはビア孔形状の開口41aが、層間絶縁膜17にはビア孔形状の開口17aが連通して形成される。
第1ハードマスク41及び層間絶縁膜17のエッチングに引き続き、図14Aに示すように、第3ハードマスク43をマスクとして、第2ハードマスク42、第1ハードマスク41、及び層間絶縁膜17の上方部分、並びに保護膜16をドライエッチングする。このドライエッチングは、第2ハードマスク42のSiN、第1ハードマスク41のSiO、層間絶縁膜17のSiOC、及び保護膜16のSiCがエッチングされ、第3ハードマスク43のTiN等はエッチングされないように、SiN、SiO、SiOC及びSiCと、TiN等とのエッチング選択比が高いエッチング条件で行う必要がある。このとき、第3ハードマスク43がマスクとなり、第3ハードマスク43の開口43aに倣った形状に第2ハードマスク42、第1ハードマスク41、及び層間絶縁膜17の上方部分がエッチングされる。同時に、保護膜16には、層間絶縁膜17の開口17aに倣ったビア孔形状の開口16aが形成され、下層配線15の表面の一部が露出する。このとき、層間絶縁膜17の上方部分には配線溝17bが、層間絶縁膜17の下方部分及び保護膜16には開口17a及び開口16aからなるビア孔24が形成される。配線溝17bとビア孔24とは連通して配線構造溝25を構成する。
本実施形態では、第1の実施形態の変形例の場合と同様に、第2ハードマスク42の等方性エッチングにより、第3ハードマスク43下で第2ハードマスク42の存しない空間である空隙44が形成されたことに起因したファセットが発生する場合を例示する。本実施形態では、図14Aのように、層間絶縁膜17と等方性エッチングされる第2ハードマスク42との間に第1ハードマスク41が設けられている。そのため、第1ハードマスク41が層間絶縁膜17の緩衝層として機能し、ファセットが発生するとしても、それは第1ハードマスク41のみに局在する。このファセットを45とする。
図14B及び図17Bに示すように、配線構造溝25が形成された後、第3ハードマスク43はエッチングにより除去されていることが好適である。第3ハードマスク43が残存した状態であると、第3ハードマスク43下であって、第2ハードマスク42が部分的に存在しない空隙が残り、次工程において下地膜がこの空隙に付着し難くなるという問題がある。更にその後、導電材料の堆積を行えば、下地膜が付着していない空隙付近では導電材料が充填されず、ボイドが発生してしまう。
そこで、配線構造溝25が形成された後、第3ハードマスク43が残存している場合には、ドライエッチングにより第3ハードマスク43を除去する。
続いて、図14Cに示すように、下地膜26及び導電材料27を形成する。
詳細には、先ず、配線構造溝25の内壁面を覆うように、第2ハードマスク42上に例えばTa及びTaNの積層膜をスパッタ法等により膜厚10nm程度に堆積し、バリアメタルとして下地膜26を形成する。
次に、電解メッキ法等により、下地膜26を介して配線構造溝25内を埋め込むように、例えばCu又はCu合金からなる導電材料27を第2ハードマスク42上に形成する。
続いて、図15Aに示すように、配線構造46を形成する。
詳細には、導電材料27、第2ハードマスク42、及び第1ハードマスク41をCMP法等により平坦化して除去する。このとき、層間絶縁膜17がCMPの研磨ストッパーとして機能する。この平坦化により、配線構造溝25内が下地膜26を介して導電材料27により充填され、下層配線15と接続された配線構造46が形成される。ここで、下地膜26は、層間絶縁膜17内に導電材料27が拡散することを抑制する機能を有する。
更に本実施形態では、ファセット45が第1ハードマスク41のみに局在して発生し、層間絶縁膜17にはファセットは発生しない。配線構造46を形成する際の平坦化工程において、導電材料27、第2ハードマスク42と共に第1ハードマスク41を除去することにより、同時にファセットを確実に除去することができる。
続いて、図15Bに示すように、第1の実施形態の図8Bと同様に、配線構造28の上面を覆うように、層間絶縁膜17上に保護膜29を形成する。
しかる後、更なる層間絶縁膜、配線構造、上層配線の形成等の各工程を経て、MOSトランジスタが形成される。
以上説明したように、本実施形態によれば、ファセットが発生する場合でも工程を増加させることなく、所期の径が確保されたビア孔24を容易且つ確実に形成することが可能となり、信頼性の高い配線構造46を有する半導体装置が実現する。
以下、各態様を付記として記載する。
(付記1)半導体基板上に第1層間絶縁膜を形成する工程と、
前記第1層間絶縁膜中に配線層を形成する工程と、
前記第1層間絶縁膜及び前記配線層上に第2層間絶縁膜を形成する工程と、
前記第2絶縁膜上に、第1マスク層を形成し、前記第1マスク層上に第2マスク層を形成する工程と、
前記第2マスク層に第1開口部を形成する工程と、
前記第1開口部の少なくとも一部と重なる位置に第2開口部を有するレジスト層を、前記第2マスク上に形成する工程と、
前記レジスト層をマスクとして、又は前記レジスト層及び前記第2マスク層をマスクとして、前記第1マスク層をエッチングする第1のエッチング工程と、
前記第1のエッチング工程の後、前記第1マスク層を、前記半導体基板の表面と平行な方向にエッチングする第2のエッチング工程と、
前記第2のエッチング工程の後、前記第1マスク層及び前記第2マスク層をマスクとして前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に接続孔を形成する工程と、
前記接続孔を形成する工程の後、前記第2マスク層をマスクとして前記第1マスク層及び前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に配線溝を形成する工程と、
前記接続孔及び配線溝を埋め込むように、導電膜を堆積する工程と
を含むことを特徴とする半導体装置の製造方法。
(付記2)前記導電膜を堆積する工程の後、前記第2層間絶縁膜上の前記導電膜及び前記第1マスク層を研磨により除去する研磨工程を更に含むことを特徴とする付記1に記載の半導体装置の製造方法。
(付記3)前記研磨工程では、前記第2層間絶縁膜上の前記導電膜及び前記第1マスク層が除去された後に、引き続き前記第2層間絶縁膜及び前記導電膜の表層部分を除去することを特徴とする付記2に記載の半導体装置の製造方法。
(付記4)前記第1マスク層は、前記第2マスク層よりも前記第2のエッチング工程におけるエッチングレートが高いことを特徴とする付記1に記載の半導体装置の製造方法。
(付記5)前記第1マスク層は、SiO2,SiNから選ばれた1種の材料からなり、
前記第2マスク層は、TiN,TaN,SiCから選ばれた1種の材料からなることを特徴とする付記4に記載の半導体装置の製造方法。
(付記6)前記第2のエッチング工程では、ケミカルドライエッチング又はウェットエッチングを行うことを特徴とする付記1に記載の半導体装置の製造方法。
(付記7)前記第2層間絶縁膜に配線溝を形成する工程の後、前記導電膜を堆積する工程の前に、前記第2マスク層を除去する工程を更に含むことを特徴とする付記1に記載の半導体装置の製造方法。
(付記8)半導体基板上に第1層間絶縁膜を形成する工程と、
前記第1層間絶縁膜中に配線層を形成する工程と、
前記第1層間絶縁膜および前記配線層上に第2層間絶縁膜を形成する工程と、
前記第2絶縁膜上に、第1マスク層を形成し、前記第1マスク層上に第2マスク層を形成し、前記第2マスク層上に第3マスク層を形成する工程と、
前記第3マスク層に第1開口部を形成する工程と、
前記第1開口部の少なくとも一部と重なる位置に第2開口部を有するレジスト層を、前記第3マスク上に形成する工程と、
前記レジスト層及び前記第3マスク層をマスクとして、前記第2マスク層をエッチングする第1のエッチング工程と、
前記第1のエッチング工程の後、前記第2マスク層を、前記半導体基板の表面と平行な方向にエッチングする第2のエッチング工程と、
第2のエッチング工程の後、前記第3マスク層及び前記第2マスク層をマスクとして、前記第1マスク層及び前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に接続孔を形成する工程と、
前記接続孔を形成する工程の後、前記第3マスク層をマスクとして前記第2マスク層、前記第1マスク層、及び前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に配線溝を形成する工程と、
前記接続孔及び前記配線溝を埋め込むように導電膜を堆積する工程と、
前記導電膜を堆積する工程の後、前記第2層間絶縁膜上の前記第1マスク層、前記第2マスク層、及び前記導電膜を研磨により除去する工程と
を含むことを特徴とする半導体装置の製造方法。
(付記9)前記第2マスク層は、前記第1マスク層及び前記第3マスク層よりも前記第2のエッチング工程におけるエッチングレートが高いことを特徴とする付記8に記載の半導体装置の製造方法。
(付記10)前記第1マスク層、前記第2マスク層、及び前記第3マスク層はそれぞれ異なる材料からなるとともに、
前記第1マスク層は、SiO2,SiCから選ばれた1種の材料からなり、
前記第2マスク層は、SiO2,SiNから選ばれた1種の材料からなり、
前記第3マスク層は、TiN,TaN,SiCから選ばれた1種の材料からなることを特徴とする付記9に記載の半導体装置の製造方法。
(付記11)前記第2のエッチング工程では、ケミカルドライエッチング又はウェットエッチングを行うことを特徴とする付記8に記載の半導体装置の製造方法。
(付記12)前記第2層間絶縁膜に配線溝を形成する工程の後、前記導電膜を堆積する工程の前に、前記第3マスク層を除去する工程を更に含むことを特徴とする付記8に記載の半導体装置の製造方法。
本件によれば、所期の径が確保されたビア孔を容易且つ確実に形成することが可能となり、信頼性の高い配線構造を有する半導体装置が実現する。

Claims (9)

  1. 半導体基板上に第1層間絶縁膜を形成する工程と、
    前記第1層間絶縁膜中に配線層を形成する工程と、
    前記第1層間絶縁膜及び前記配線層上に第2層間絶縁膜を形成する工程と、
    前記第2層間絶縁膜上に、第1マスク層を形成し、前記第1マスク層上に第2マスク層を形成する工程と、
    前記第2マスク層に第1開口部を形成する工程と、
    前記第1開口部の少なくとも一部と重なる位置に第2開口部を有するレジスト層を、前記第2マスク上に形成する工程と、
    前記レジスト層をマスクとして、又は前記レジスト層及び前記第2マスク層をマスクとして、前記第1マスク層をエッチングし、第3開口部を前記第1マスク層に形成する第1のエッチング工程と、
    前記第1のエッチング工程の後、前記レジスト層をマスクとして、又は前記レジスト層及び前記第2マスク層をマスクとして、前記第1マスク層のエッチングレートが前記第2マスク層及び前記第2層間絶縁膜のエッチングレートよりも大きい条件で前記第1マスク層を前記半導体基板の表面と平行な方向にエッチングし、前記第3開口部を拡張する第2のエッチング工程と、
    前記第2のエッチング工程の後、前記レジスト層を除去する工程と、
    前記レジスト層を除去する工程の後、前記第1マスク層及び前記第2マスク層をマスクとして前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に接続孔を形成する工程と、
    前記接続孔を形成する工程の後、前記第2マスク層をマスクとして前記第1マスク層及び前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に配線溝を形成する工程と、
    前記接続孔及び前記配線溝を埋め込むように、導電膜を堆積する工程と
    を含むことを特徴とする半導体装置の製造方法。
  2. 前記導電膜を堆積する工程の後、前記第2層間絶縁膜上の前記導電膜及び前記第1マスク層を研磨により除去する研磨工程を更に含むことを特徴とする請求項1に記載の半導体装置の製造方法。
  3. 前記第1マスク層は、SiO2,SiNから選ばれた1種の材料からなり、
    前記第2マスク層は、TiN,TaN,SiCから選ばれた1種の材料からなることを特徴とする請求項に記載の半導体装置の製造方法。
  4. 前記第2層間絶縁膜に配線溝を形成する工程の後、前記導電膜を堆積する工程の前に、前記第2マスク層を除去する工程を更に含むことを特徴とする請求項1に記載の半導体装置の製造方法。
  5. 半導体基板上に第1層間絶縁膜を形成する工程と、
    前記第1層間絶縁膜中に配線層を形成する工程と、
    前記第1層間絶縁膜および前記配線層上に第2層間絶縁膜を形成する工程と、
    前記第2層間絶縁膜上に、第1マスク層を形成し、前記第1マスク層上に第2マスク層を形成し、前記第2マスク層上に第3マスク層を形成する工程と、
    前記第3マスク層に第1開口部を形成する工程と、
    前記第1開口部の少なくとも一部と重なる位置に第2開口部を有するレジスト層を、前記第3マスク上に形成する工程と、
    前記レジスト層をマスクとして、又は前記レジスト層及び前記第3マスク層をマスクとして、前記第2マスク層をエッチングし、第3開口部を前記第2マスク層に形成する第1のエッチング工程と、
    前記第1のエッチング工程の後、前記レジスト層をマスクとして、又は前記レジスト層及び前記第3マスク層をマスクとして、前記第2マスク層のエッチングレートが前記第1マスク層及び前記第3マスク層のエッチングレートよりも大きい条件で前記第2マスク層を前記半導体基板の表面と平行な方向にエッチングし、前記第3開口部を拡張する第2のエッチング工程と、
    第2のエッチング工程の後、前記レジスト層を除去する工程と、
    前記レジスト層を除去する工程の後、前記第3マスク層及び前記第2マスク層をマスクとして、前記第1マスク層及び前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に接続孔を形成する工程と、
    前記接続孔を形成する工程の後、前記第3マスク層をマスクとして前記第2マスク層、前記第1マスク層、及び前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に配線溝を形成する工程と、
    前記接続孔及び前記配線溝を埋め込むように導電膜を堆積する工程と、
    前記導電膜を堆積する工程の後、前記第2層間絶縁膜上の前記第1マスク層、前記第2マスク層、及び前記導電膜を研磨により除去する工程と
    を含むことを特徴とする半導体装置の製造方法。
  6. 前記第1マスク層、前記第2マスク層、及び前記第3マスク層はそれぞれ異なる材料からなるとともに、
    前記第1マスク層は、SiO2,SiCから選ばれた1種の材料からなり、
    前記第2マスク層は、SiO2,SiNから選ばれた1種の材料からなり、
    前記第3マスク層は、TiN,TaN,SiCから選ばれた1種の材料からなることを特徴とする請求項に記載の半導体装置の製造方法。
  7. 前記第2層間絶縁膜に配線溝を形成する工程の後、前記導電膜を堆積する工程の前に、前記第3マスク層を除去する工程を更に含むことを特徴とする請求項に記載の半導体装置の製造方法。
  8. 半導体基板上に第1層間絶縁膜を形成する工程と、
    前記第1層間絶縁膜中に配線層を形成する工程と、
    前記第1層間絶縁膜及び前記配線層上に第2層間絶縁膜を形成する工程と、
    前記第2層間絶縁膜上に、第1マスク層を形成し、前記第1マスク層上に第2マスク層を形成する工程と、
    前記第2マスク層に第1開口部を形成する工程と、
    前記第2マスク層上に第3絶縁膜を形成する工程と、
    前記第1開口部の少なくとも一部と重なる位置に第2開口部を有するレジスト層を、前記第3絶縁膜上に形成する工程と、
    前記レジスト層をマスクとして、又は前記レジスト層及び前記第2マスク層をマスクとして、前記第3絶縁膜と共に前記第1マスク層をエッチングし、前記第3絶縁膜を開口すると共に第3開口部を前記第1マスク層に形成する第1のエッチング工程と、
    前記第1のエッチング工程の後、前記レジスト層をマスクとして、又は前記レジスト層及び前記第2マスク層をマスクとして、前記第1マスクのエッチングレートが、前記第2マスク、前記第3絶縁膜、及び前記第2層間絶縁膜のエッチングレートよりも大きい条件で、前記第1マスク層を前記半導体基板の表面と平行な方向にエッチングし、前記第3開口部を拡張する第2のエッチング工程と、
    前記第2のエッチング工程の後、前記レジスト膜及び前記第3絶縁膜を除去する工程と、
    前記レジスト膜及び前記第3絶縁膜を除去する工程の後、前記第1マスク層及び前記第2マスク層をマスクとして前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に接続孔を形成する工程と、
    前記接続孔を形成する工程の後、前記第2マスク層をマスクとして前記第1マスク層及び前記第2層間絶縁膜をエッチングし、前記第2層間絶縁膜に配線溝を形成する工程と、
    前記接続孔及び配線溝を埋め込むように、導電膜を堆積する工程と
    を含むことを特徴とする半導体装置の製造方法。
  9. 前記第2のエッチング工程では、等方性のドライエッチング又は等方性のウェットエッチングを行うことを特徴とする請求項1,のいずれか1項に記載の半導体装置の製造方法。
JP2011526673A 2009-08-14 2009-08-14 半導体装置の製造方法 Expired - Fee Related JP5488603B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2009/064364 WO2011018857A1 (ja) 2009-08-14 2009-08-14 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPWO2011018857A1 JPWO2011018857A1 (ja) 2013-01-17
JP5488603B2 true JP5488603B2 (ja) 2014-05-14

Family

ID=43586043

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011526673A Expired - Fee Related JP5488603B2 (ja) 2009-08-14 2009-08-14 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US8703606B2 (ja)
JP (1) JP5488603B2 (ja)
WO (1) WO2011018857A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8932911B2 (en) * 2013-02-27 2015-01-13 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with capping layers between metal contacts and interconnects
TWI511293B (zh) * 2013-06-24 2015-12-01 Chip Integration Tech Co Ltd 雙溝渠式mos電晶體結構及其製造方法
US8809185B1 (en) * 2013-07-29 2014-08-19 Tokyo Electron Limited Dry etching method for metallization pattern profiling
US9385000B2 (en) * 2014-01-24 2016-07-05 United Microelectronics Corp. Method of performing etching process
JP2016213404A (ja) * 2015-05-13 2016-12-15 株式会社日立ハイテクノロジーズ プラズマエッチング方法
DE102017128070B4 (de) * 2017-08-31 2023-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Ätzen zum Verringern von Bahnunregelmässigkeiten
US10522394B2 (en) * 2017-09-25 2019-12-31 Marvell World Trade Ltd. Method of creating aligned vias in ultra-high density integrated circuits
US11011636B2 (en) * 2018-09-27 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1184903A1 (en) * 2000-08-30 2002-03-06 Motorola, Inc. Method for forming a self-aligned dual damascene interconnection, and formed structure
JP2003297920A (ja) * 2002-04-03 2003-10-17 Nec Corp 半導体装置の製造方法
JP2005203672A (ja) * 2004-01-19 2005-07-28 Sony Corp 半導体装置の製造方法
JP2006294771A (ja) * 2005-04-08 2006-10-26 Sony Corp 半導体装置の製造方法
JP2008171922A (ja) * 2007-01-10 2008-07-24 Renesas Technology Corp 半導体装置およびその製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW360949B (en) 1997-12-19 1999-06-11 United Microelectronics Corp Dual damascene process
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
JP3403058B2 (ja) 1998-03-26 2003-05-06 株式会社東芝 配線形成方法
JP2004133384A (ja) * 2002-08-14 2004-04-30 Sony Corp レジスト用剥離剤組成物及び半導体装置の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1184903A1 (en) * 2000-08-30 2002-03-06 Motorola, Inc. Method for forming a self-aligned dual damascene interconnection, and formed structure
JP2003297920A (ja) * 2002-04-03 2003-10-17 Nec Corp 半導体装置の製造方法
JP2005203672A (ja) * 2004-01-19 2005-07-28 Sony Corp 半導体装置の製造方法
JP2006294771A (ja) * 2005-04-08 2006-10-26 Sony Corp 半導体装置の製造方法
JP2008171922A (ja) * 2007-01-10 2008-07-24 Renesas Technology Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
WO2011018857A1 (ja) 2011-02-17
US20120129338A1 (en) 2012-05-24
US8703606B2 (en) 2014-04-22
JPWO2011018857A1 (ja) 2013-01-17

Similar Documents

Publication Publication Date Title
JP5488603B2 (ja) 半導体装置の製造方法
US6924228B2 (en) Method of forming a via contact structure using a dual damascene technique
EP1560264B1 (en) Method of forming an interconnection line structure
TWI497591B (zh) 製造具有自動對準介電帽之互連結構的結構及方法
US20020006715A1 (en) Method for forming an extended metal gate using a damascene process
CN102760693B (zh) 形成半导体器件的方法
US7701004B2 (en) Semiconductor device and method of manufacturing thereof
US7436009B2 (en) Via structures and trench structures and dual damascene structures
US7064044B2 (en) Contact etching utilizing multi-layer hard mask
US10170423B2 (en) Metal cap integration by local alloying
JP3463038B2 (ja) 半導体装置の製造方法
US6849536B2 (en) Inter-metal dielectric patterns and method of forming the same
JP4443465B2 (ja) 半導体素子の金属配線形成方法
JPH10107140A (ja) 多層配線半導体装置とその製造方法
US6236091B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US7332427B2 (en) Method of forming an interconnection line in a semiconductor device
US20210280430A1 (en) Semiconductor memory structure and method for forming the same
JP4232215B2 (ja) 半導体装置の製造方法
KR101103550B1 (ko) 반도체 소자의 금속배선 형성방법
US20080029899A1 (en) Method of fabricating a semiconductor device and semiconductor device fabricated thereby
JPH11135623A (ja) 多層配線装置及びその製造方法
JP2012156356A (ja) 半導体装置の製造方法
KR100832018B1 (ko) 반도체 소자 및 그 제조 방법
KR100677990B1 (ko) 반도체 소자의 제조 방법
KR100668961B1 (ko) 금속-절연체-금속 커패시터의 제조 방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130806

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131004

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131029

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140128

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140210

R150 Certificate of patent or registration of utility model

Ref document number: 5488603

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees