TW202004902A - 基板處理方法及基板處理裝置 - Google Patents

基板處理方法及基板處理裝置 Download PDF

Info

Publication number
TW202004902A
TW202004902A TW108116165A TW108116165A TW202004902A TW 202004902 A TW202004902 A TW 202004902A TW 108116165 A TW108116165 A TW 108116165A TW 108116165 A TW108116165 A TW 108116165A TW 202004902 A TW202004902 A TW 202004902A
Authority
TW
Taiwan
Prior art keywords
film
silicon
organic film
substrate processing
gas
Prior art date
Application number
TW108116165A
Other languages
English (en)
Inventor
蒂莫西 启昂雄 杨
森北信也
伊藤清仁
中谷理子
本田昌伸
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202004902A publication Critical patent/TW202004902A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本發明提供一種防止蝕刻形狀產生奇偶差之技術。 本發明提供一種基板處理方法,其具有如下步驟:於被蝕刻對象膜上之光阻劑之圖案之側壁所形成之含矽膜之間之凹部嵌入有機膜;及於選擇比大致為1:1之條件下對上述有機膜與上述含矽膜進行蝕刻或濺鍍。

Description

基板處理方法及基板處理裝置
本發明係關於一種基板處理方法及基板處理裝置。
於光微影步驟中,為了應對形成之圖案之微細化,而開發有各種技術。作為其中之一,提出有將抗蝕圖案之間距縮小至1/2之SADP(Self-Aligned Double Patterning,自對準雙圖案化)、或縮小至1/4之SAQP(self-aligned quadruple patterning,自對準四重圖案化)之自對準雙圖案化(例如,參照專利文獻1、2)。
又,亦提出有使用例如SiO2 膜或Si3 N4 膜等作為犧牲膜,並使用於一個抗蝕圖案之兩側側壁形成遮罩而使用之SWT(Side Wall Transfer,側壁轉移法),以較最初使光阻劑曝光、顯影而獲得之抗蝕圖案更微細之間距進行圖案化。 [先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2009-099983號公報 [專利文獻2]日本專利特開2012-178378號公報
[發明所欲解決之問題]
然而,殘留於抗蝕圖案之側壁之SiO2 膜之圖案係所謂蟹爪狀(上端部分之一側彎曲之形狀),且成為上表面不平坦之形狀。因此,若將SiO2 膜之圖案作為遮罩對被蝕刻對象膜進行蝕刻,則其蝕刻形狀會產生所謂奇偶差。此處之奇偶差係指蝕刻形狀中寬部分與窄部分每隔一個交替地產生。
本發明提供一種能夠防止蝕刻形狀產生奇偶差之技術。 [解決問題之技術手段]
根據本發明之一態樣,提供一種基板處理方法,其具有如下步驟:於被蝕刻對象膜上之光阻劑之圖案之側壁所形成之含矽膜之間之凹部嵌入有機膜;及於選擇比大致為1:1之條件下對上述有機膜與上述含矽膜進行蝕刻或濺鍍。 [發明之效果]
根據一態樣,能夠防止蝕刻形狀產生奇偶差。
以下,參照圖式對用以實施本發明之形態進行說明。再者,於本說明書及圖式中,關於實質上相同之構成,藉由標付相同符號而省略重複之說明。
[基板處理裝置] 首先,一面參照圖1一面對一實施形態之基板處理裝置10進行說明。圖1(a)及(b)中,作為一實施形態之基板處理裝置10之一例,表示平行平板型之電容耦合(CCP:Capacitively Coupled Plasma,電容耦合電漿)電漿處理裝置之簡略圖。
首先,對圖1(a)所示之基板處理裝置10之構成進行說明。基板處理裝置10具有處理容器11、及配置於其內部之載置台12。處理容器11係例如表面經耐酸鋁處理(陽極氧化處理)過之含有鋁之圓筒形真空容器,並且接地。載置台12具有基台27、及配置於基台27上之靜電吸盤13。載置台12經由絕緣性之保持部14而配置於處理容器11之底部。
載置台12具有基台27及靜電吸盤13。基台27由鋁等形成。靜電吸盤13由氧化鋁等介電體形成,且具有用以利用靜電吸附力保持晶圓W之機構。於靜電吸盤13之外周側上部且晶圓W之近傍,設置有呈環狀包圍晶圓W之周圍之邊緣環15。
於處理容器11之側壁與載置台12之側壁之間,形成有環狀之排氣通路23,並連接於排氣裝置22。排氣裝置22由渦輪分子泵或乾式真空泵等真空泵構成,將處理容器11內之處理空間減壓至特定之真空度,並且將處理容器11內之氣體引導至排氣通路23及排氣口24並排氣。於排氣通路23安裝有隔板21,該隔板21於排氣通路之上部或入口劃分處理空間與排氣空間,用以控制氣體之流動。
於載置台12連接有第1高頻電源17與第2高頻電源18。第1高頻電源17將例如100 MHz之電漿生成用高頻HF之功率施加至載置台12。第2高頻電源18將例如40 MHz之偏壓引入用高頻LF之功率施加至載置台12。藉此,載置台12亦作為下部電極發揮功能。
於處理容器11之頂壁設置有氣體簇射頭16。氣體簇射頭16亦作為接地電位之上部電極發揮功能。藉此,來自第1高頻電源17之電漿生成用高頻HF之功率被電容性地施加至載置台12與氣體簇射頭16之間。
氣體供給源19供給與蝕刻步驟、成膜步驟、灰化步驟等各步驟之製程條件對應之氣體。氣體經由氣體配管20自氣體導入口進入氣體簇射頭16內,經過氣體擴散室25自多個氣體通氣孔26呈簇射狀導入至處理容器11內。
圖1(b)所示之一實施形態之基板處理裝置10具有與圖1(a)所示之一實施形態之基板處理裝置10大致相同之構成,僅第1高頻電源17之配置不同。亦即,於圖1(b)之基板處理裝置10中,第1高頻電源17連接於氣體簇射頭16,該方面不同。第1高頻電源17施加例如60 MHz之電漿生成用高頻HF之功率。
控制部30具有未圖示之CPU(Central Processing Unit,中央處理單元)、ROM(Read Only Memory,唯讀記憶體)、RAM(Random Access Memory,隨機存取記憶體)。控制部30按照記憶於RAM等中之配方所設定之順序,進行蝕刻或成膜等製程之控制或裝置整體之控制。
於在該構成之基板處理裝置10中進行電漿製程時,首先,將晶圓W以保持於搬送臂上之狀態自未圖示之閘閥搬入至處理容器11內。晶圓W被載置於靜電吸盤13上。閘閥於搬入晶圓W後關閉。藉由對靜電吸盤13之電極施加直流電壓,晶圓W藉由庫侖力而吸附並保持於靜電吸盤13上。
處理容器11內之壓力係藉由排氣裝置22被減壓至設定值,從而處理容器11之內部被控制為真空狀態。特定氣體自氣體簇射頭16呈簇射狀被導入至處理容器11內。高頻HF、LF之功率被施加至載置台12。
主要利用高頻HF之功率,由所導入之氣體生成電漿,藉由電漿之作用對晶圓W執行蝕刻或成膜等處理。所有處理步驟結束後,晶圓W保持於搬送臂上,被搬出至處理容器11之外部。藉由反覆進行該處理而連續地處理晶圓W。
[先前之基板處理方法] 其次,參照圖2對先前之作為基板處理方法之一例之側壁轉移法(SWT)之步驟進行說明。圖2係用以說明先前之側壁轉移法之一例之圖。
如圖2(a)中表示之積層構造之一例般,於矽基板100上成膜有作為被蝕刻對象膜之一例之多晶矽膜101。於多晶矽膜101之上,藉由光微影技術而形成有光阻劑102之圖案。於以下之處理中,以較光阻劑102之圖案更微細之間距進行圖案化。
於該積層構造之光阻劑102之上,如圖2(b)所示,成膜氧化矽膜(SiO2 )105。其次,如圖2(c)所示,對氧化矽膜105進行蝕刻,成為氧化矽膜105僅殘留於光阻劑102之圖案之側壁之狀態。
其次,如圖2(d)所示,藉由使用由O2 氣體所生成之氧電漿之灰化等,將光阻劑102之圖案去除,藉此形成殘留於側壁之氧化矽膜105之圖案。然後,如圖2(e)及(f)所示,將上述氧化矽膜105之圖案作為遮罩,對下層之多晶矽膜101進行蝕刻。藉由上述方法能夠形成被蝕刻對象膜之微細圖案。
然而,如圖2(d)所示,氧化矽膜105之圖案係所謂蟹爪狀(上端部分之一側彎曲之形狀),成為上表面不平坦之形狀。由於該上表面之形狀之不同,故離子能夠向以T表示之氧化矽膜105之間之凹部入射之角度θt較離子能夠向以M表示之氧化矽膜105之間之凹部入射之角度θm大。
其結果為,如圖2(e)所示,入射角度θt大於入射角度θm之T之凹部之蝕刻較M之凹部之蝕刻進展更快。由此,於圖2(f)所示之多晶矽膜101之蝕刻形狀中,窄部分(CD1)與寬部分(CD2)每隔一個交替地產生。亦即,被蝕刻對象膜之蝕刻形狀產生所謂奇偶差。
因此,於一實施形態之基板處理方法中,提供一種能夠防止被蝕刻對象膜之蝕刻形狀產生奇偶差之圖案化技術。以下,對使用Gap Fill(間隙嵌埋)之嵌入技術之第1實施形態之基板處理方法進行說明,其次,對使用SOC(Spin On Court,旋轉塗佈法)之嵌入技術之第2實施形態之基板處理方法進行說明。
[第1實施形態之基板處理方法] 首先,參照圖3及圖4對第1實施形態之基板處理方法進行說明。圖3係表示第1實施形態之基板處理方法之一例之流程圖。圖4係表示第1實施形態之基板處理方法之一例之圖。圖3之處理由控制部30控制。
於第1實施形態及第2實施形態中,作為前提之膜之積層構造與圖2(a)所示之積層構造相同。亦即,於矽基板100上成膜有作為被蝕刻對象膜之一例之多晶矽膜101。於多晶矽膜101上形成有光阻劑102。
(1)第1步驟(氧化矽膜之成膜) 於第1實施形態中,針對該積層構造之膜,於圖3之步驟S10中,於經圖案化之光阻劑102之圖案上成膜氧化矽膜105(第1步驟)。於圖4(a)中示出在多晶矽膜101上之光阻劑102之圖案上成膜有氧化矽膜105之狀態。
於氧化矽膜105之成膜時,可使用ALD(Atomic Layer Deposition,原子層沈積)裝置、CVD(Chemical Vapor Deposition,化學氣相沈積)裝置中之任一者,但較理想為於光阻劑102之圖案上共形地成膜。例如,以下表示使用ALD裝置成膜氧化矽膜105之例。於利用ALD裝置之成膜中,藉由反覆進行生成第1氣體之電漿→沖洗→生成第2氣體之電漿→沖洗之4個步驟,而成膜氧化矽膜105。
第1氣體作為鹵化矽氣體,例如包含SiCl4 氣體。又,第1氣體可進而包含Ar氣體或He氣體等稀有氣體。再者,第1氣體作為鹵化矽氣體,亦可包含SiBr4 氣體、SiF4 氣體、或SiH2 Cl4 氣體。
若自第1高頻電源17供給高頻HF之功率而生成第1氣體之電漿,則生成第1氣體所包含之鹵化矽之解離種等反應前驅物(前驅體)。所生成之前驅物附著於光阻劑102及多晶矽膜101上。
作為第1氣體,亦可使用胺基矽烷系氣體或矽烷氧化物系氣體代替鹵化矽。胺基矽烷系氣體包含例如BTBAS(Bis tertiary butylamino silane,雙(叔丁基氨基)矽烷)、BDMAS(Bis dimethylamino silane,雙二甲基矽烷)等單胺基矽烷,矽烷氧化物系氣體包含例如TEOS(Tetra ethoxy silan,四乙氧基矽烷)。
再者,於使用反應性較高之胺基矽烷系氣體作為第1氣體之情形時,即便保持氣體狀態不變,亦會於光阻劑102及多晶矽膜101之表面解離而生成反應前驅物(前驅體),故無需自第1高頻電源17供給高頻HF之功率而生成第1氣體之電漿。
繼而,對處理容器11內之空間進行沖洗,排出第1氣體。作為沖洗氣體,亦可將氮氣等惰性氣體供給至處理容器11內。即,可為使惰性氣體於處理容器11內流動之氣體沖洗或藉由抽真空之沖洗之任一種。
其次,供給包含氧氣之第2氣體。若自第1高頻電源17供給高頻HF之功率而生成第2氣體之電漿,則生成氧氣之活性種、例如氧自由基,使前驅物所含之矽氧化。藉此,於晶圓W上形成氧化矽膜105。
繼而,對處理容器11內之空間進行沖洗,排出第2氣體。藉由反覆進行上述4個步驟,而如圖4(a)所示般氧化矽膜105成膜於光阻劑102上。
以上,對使用ALD裝置之成膜步驟進行了說明,但並不限定於此。第1步驟亦可使用基板處理裝置10來代替使用ALD裝置,利用CVD成膜氧化矽膜105。
再者,氧化矽膜105係成膜於光阻劑102上之含矽膜之一例。作為含矽膜,亦可代替氧化矽膜而成膜氮化矽(SiN)膜、SiON膜等。
又,被蝕刻對象膜並不限於多晶矽膜101,亦可為單晶矽膜、氮化矽膜、金屬膜。於在光阻劑102上成膜有氮化矽膜之情形時,能夠蝕刻氧化矽膜來代替多晶矽膜101作為被蝕刻對象膜。
(2)第2步驟(氧化矽膜之蝕刻) 返回至圖3,其次,於步驟S12中,以氧化矽膜105殘留於光阻劑102之圖案之側壁之方式對氧化矽膜105進行蝕刻(第2步驟)。第2步驟係使用基板處理裝置10,藉由以下之製程條件而執行。 ・第2步驟之製程條件 處理容器內之壓力 20 mT(約2.66 Pa) 高頻HF之功率 200 W 高頻LF之功率 100 W 載置台之溫度 80℃ 氣體(氣體流量) C4 F8 、O2 、Ar 藉此,如圖4(b)所示,成為氧化矽膜105殘留於光阻劑102之側壁且於氧化矽膜105之間形成有凹部之狀態。
(3)第3步驟(嵌入) 返回至圖3,其次,於步驟S14中,以氧化矽膜105之間之凹部被有機膜106嵌入之方式成膜有機膜106(第3步驟)。第3步驟係使用基板處理裝置10,藉由以下之製程條件而執行。 ・第3步驟之製程條件 處理容器內之壓力 50 mT(約6.65 Pa) 高頻HF之功率 300 W 高頻LF之功率 0 W 氣體(氣體流量) C4 F8 載置台之溫度 -60℃ 藉此,如圖4(c)所示,於氧化矽膜105之間之凹部嵌入有機膜106。
於利用該製程條件之Gap fill進行之有機膜106之嵌入中,由C4 F8 氣體所生成之電漿中之前驅體堆積於氧化矽膜105之間之凹部而自下而上地成膜,故難以產生嵌入中之空間即孔隙。將藉由本實施形態之成膜方法而成膜之有機膜106亦稱為流動性有機膜。亦即,於上述製程條件下,可將流動性有機膜作為有機膜106不產生孔隙地嵌入至氧化矽膜105之間之凹部。
再者,有機膜106之嵌入並非有機膜106完全嵌入至氧化矽膜105之間之凹部而氧化矽膜105之上表面全部露出,而是較佳為氧化矽膜105之上表面被較薄之有機膜106覆蓋之程度或氧化矽膜105之上表面之一部分露出之程度。
參照圖5對第3步驟中之溫度依存進行說明。圖5係表示進行第1實施形態之有機膜106之嵌入之溫度依存實驗所得之結果之一例的圖。於以下之製程條件下進行本實驗。 ・圖5之實驗之製程條件 處理容器內之壓力 50 mT(約6.65 Pa)或100 mT(約13.3 Pa) 高頻HF之功率 300 W 高頻LF之功率 0 W 氣體(氣體流量) C4 F6 或IPA(異丙醇:C3 H8 O) 載置台之溫度 -10℃、-20℃、-30℃、-40℃、-50℃ 具體而言,圖5(a)表示將處理容器10內維持於100 mT之壓力且供給300 sccm之C4 F6 氣體時之各溫度下之有機膜之狀態。據此,於-10℃及-20℃之情形時產生了孔隙V。另一方面,於-30℃及-50℃之情形時,以自膜1之凹部之底部堆積之方式成膜,未產生孔隙V,且凹部之內部被流動性有機膜R嵌埋。再者,於-40℃之情形時無法得出結果。
圖5(b)表示將處理容器10內維持於50 mT之壓力且供給75 sccm之IPA(異丙醇)氣體時之各溫度下之膜之狀態。IPA係二級醇之1種。據此,於-10℃及-30℃之情形時產生孔隙V,進行了等方向之成膜。另一方面,於-40℃及-50℃之情形時,未產生孔隙V,凹部被流動性有機膜R嵌埋。再者,於-20℃之情形時無法得出結果。
圖5(c)表示將處理容器10內維持於50 mT之壓力且供給300 sccm之C4 F6 氣體時之各溫度下之膜之狀態。據此,於-10℃之情形時產生孔隙V,於-20℃、-30℃及-50℃之情形時,未產生孔隙V,且凹部被流動性有機膜R嵌埋。再者,於-40℃之情形時無法得出結果。
圖5(d)表示將處理容器10內維持於50 mT之壓力且供給125 sccm之C4 F6 氣體時之各溫度下之膜之狀態。據此,於-10℃及-20℃之情形時產生孔隙V,於-30℃之情形時,未產生孔隙V,且凹部被流動性有機膜R嵌埋。再者,於-40℃及-50℃之情形時無法得出結果。
由以上可知:根據氣體種類、壓力及氣體流量,能夠利用流動性有機膜R嵌埋凹部之溫度不同。於至少將腔室內維持於50 mT之壓力且供給300 sccm之C4 F6 氣體時,可將載置台12於-20℃以下之極低溫下利用流動性有機膜R嵌埋凹部。
若參照供給C4 F8 氣體而成膜有機膜106之上述第3步驟之製程條件,則較佳為於第3步驟中供給包含C4 F6 氣體、C3 H8 O或C4 F8 氣體中之至少任一者之氣體,載置台12冷卻至-20℃~-60℃之極低溫。
又,C4 F6 氣體、C3 H8 O、C4 F8 氣體均係低蒸氣壓材料。其中,由於C4 F8 氣體係於同一壓力下於較低溫度下成為蒸氣壓之氣體,故作為第3步驟中使用之氣體係與C4 F8 之蒸氣壓曲線所示之溫度相同之溫度或其以上之溫度下成為蒸氣壓之氣體,且,由於使有機膜106堆積,故較理想為含碳氣體。
(4)第4步驟(平坦化) 返回至圖3,其次,於步驟S16中,於選擇比大致為1:1之條件下對有機膜106與氧化矽膜105進行蝕刻(第4步驟)。第4步驟係使用基板處理裝置10,藉由以下之製程條件而執行。 ・第4步驟之製程條件 處理容器內之壓力 10 mT(約1.33 Pa) 高頻HF之功率 0 W 高頻LF之功率 500 W 氣體(氣體流量) CF4 、O2 、Ar或CF4 、O2 載置台之溫度 -60℃ 藉此,如圖4(d)所示,有機膜106與氧化矽膜105於選擇比大致為1:1之條件下被蝕刻,從而使有機膜106與氧化矽膜105之上表面平坦化。
於第4步驟中,利用Ar、CF4 及O2 氣體對有機膜106與氧化矽膜105進行蝕刻,或者利用CF4 及O2 氣體對有機膜106與氧化矽膜105進行蝕刻。藉此,能夠將有機膜106與氧化矽膜105之蝕刻速率控制為大致相同,從而將有機膜106與氧化矽膜105之選擇比設為1:1。上述氣體之條件包含於將有機膜106與氧化矽膜105之選擇比設為1:1之條件。
又,於第4步驟中,亦可利用稀有氣體、例如Ar氣體對有機膜106與氧化矽膜105進行濺鍍。於此情形時,第4步驟係使用基板處理裝置10,藉由以下之製程條件而執行。 ・另一形態之第4步驟之製程條件 處理容器內之壓力 10 mT(約1.33 Pa) 高頻HF之功率 0 W 高頻LF之功率 500 W 氣體(氣體流量) Ar 載置台之溫度 -60℃ 藉此,可將有機膜106與氧化矽膜105之濺鍍速率控制為大致相同,從而將有機膜106與氧化矽膜105之選擇比設為1:1。上述氣體之條件包含於將有機膜106與氧化矽膜105之選擇比設為1:1之條件。
再者,亦可於第4步驟之後且接下來之第5步驟之前,插入將殘留於有機膜106與氧化矽膜105之表面之殘渣去除之步驟。於此情形時,去除殘渣之步驟係於有機膜106與氧化矽膜105之選擇比大致為1:1以下之製程條件下進行。
處理容器內之壓力 50 mT(約6.65 Pa) 高頻HF之功率 300 W 高頻LF之功率 300 W 氣體(氣體流量) CF4 、O2 載置台之溫度 -60℃ 藉此,能夠將殘留於有機膜106與氧化矽膜105之表面之殘渣去除。但是,本處理可省略。
(5)第5步驟(灰化) 返回至圖3,其次,於步驟S18中,將有機膜106灰化並去除(第5步驟)。第5步驟係使用基板處理裝置10,切換至有機膜106與氧化矽膜105之選擇比足夠大之製程條而執行。 ・第5步驟之製程條件 處理容器內之壓力 50 mT(約6.65 Pa) 高頻HF之功率 500 W 高頻LF之功率 50 W 氣體(氣體流量) O2 載置台之溫度 -60℃ 藉此,如圖4(e)所示,有機膜106被去除。
(6)第6步驟(被蝕刻對象膜之蝕刻) 返回至圖3,其次,於步驟S20中,將氧化矽膜105作為遮罩對多晶矽膜101進行蝕刻(第6步驟),結束本處理。第6步驟係使用基板處理裝置10執行。藉此,如圖4(f)所示,可於多晶矽膜101形成微細且均勻之蝕刻形狀之圖案。
如以上所說明般,於第1實施形態之基板處理方法中,藉由第1~第6步驟,形成間距較光阻劑102之圖案更微細化之氧化矽膜105之圖案。藉此,藉由將氧化矽膜105作為遮罩對多晶矽膜101進行蝕刻,可對多晶矽膜101進行微細加工。
又,於第1實施形態之基板處理方法中,於第4步驟中使有機膜106與氧化矽膜105之上表面平坦化。藉此,於第5步驟中,去除有機膜106後之氧化矽膜105之上表面變得平坦而不彎曲。其結果為,可防止將氧化矽膜105作為遮罩對多晶矽膜101進行蝕刻時之蝕刻形狀產生奇偶差,從而可於多晶矽膜101形成微細且均勻之蝕刻形狀之圖案。
但是,關於第1實施形態之有機膜106之嵌入,即便氧化矽膜105之間之凹部為20 nm以下,亦能夠嵌入。由此,於氧化矽膜105之間之凹部為20 nm以下之情形時,較佳為使用第1實施形態之有機膜106之嵌入技術。
[第2實施形態之基板處理方法] 其次,參照圖6及圖7對第2實施形態之基板處理方法進行說明。圖6係表示第2實施形態之基板處理方法之一例之流程圖。圖7係表示第2實施形態之基板處理方法之一例之圖。圖6之處理由控制部30控制。
於第2實施形態之基板處理方法中,圖6(步驟S10)及圖7(a)之第1步驟(氧化矽膜之成膜)與圖3(步驟S10)及圖4(a)之第1步驟相同。
又,圖6(步驟S12)及圖7(b)之第2步驟(氧化矽膜之蝕刻)與圖3(步驟S12)及圖4(b)之第2步驟相同。又,圖6(步驟S16)及圖7(e)之第4步驟(平坦化)與圖3(步驟S16)及圖4(d)之第4步驟相同。
又,圖6(步驟S18)及圖7(f)之第5步驟(灰化)與圖3(步驟S18)及圖4(e)之第5步驟相同。又,圖6(步驟S20)之第6步驟(被蝕刻對象膜之蝕刻)與圖3(步驟S20)及圖4(f)之第6步驟相同。
由此,省略該等步驟之說明,以下對圖6(步驟S30)及圖7(c)之第3步驟(嵌入)、以及接下來之步驟即圖6(步驟S32)及圖7(d)之第3-1步驟(回蝕)進行說明。
(3)第3步驟(嵌入) 如圖6(步驟S30)及圖7(c)所示,第2實施形態之第3步驟之有機膜106之嵌入係藉由使用塗敷顯影機等成膜裝置利用SOC(Spin On Court,旋轉塗佈法)塗佈有機材料而實現。於SOC中,自設置於塗敷顯影機之噴嘴供給包含有機酸之藥液作為有機材料。供給至晶圓W上之藥液藉由伴隨晶圓W之旋轉之離心力而塗佈擴散於晶圓W之主面。然後,藉由晶圓W之旋轉及其後之藉由烘烤進行之加熱處理使藥液之揮發成分揮發,藉此藥液固化或硬化。藉此,如圖7(c)所示,於氧化矽膜105之間之凹部或氧化矽膜105與光阻劑102上形成有機膜106。
(4)第3-1步驟(回蝕) 其次,如圖6(步驟S32)及圖7(d)所示,於第3步驟之後進行第3-1步驟即有機膜106之回蝕。即,於第2實施形態之基板處理方法中,如圖7(d)所示,對有機膜106進行回蝕直至氧化矽膜105之上表面即將露出之前或氧化矽膜105之上表面之一部分露出為止。
再者,於第1實施形態之基板處理裝置中,視需要可於藉由Gap fill之第3步驟(嵌入)執行後進行第3-1步驟(回蝕)。第3-1步驟係使用基板處理裝置10,藉由以下之製程條件而執行。 ・第3-1步驟之製程條件 處理容器內之壓力 70 mT(約9.33 Pa) 高頻HF之功率 100 W 高頻LF之功率 100 W 載置台之溫度 80℃ 氣體(氣體流量) C4 F8 、O2 、Ar 如此,於第2實施形態之基板處理方法中,依序執行第1步驟→第2步驟→第3步驟→第3-1步驟→第4步驟→第5步驟→第6步驟。
利用第2實施形態之基板處理方法,藉由該7個步驟,亦形成間距較光阻劑102之圖案更微細化之氧化矽膜105之圖案。藉此,藉由將氧化矽膜105作為遮罩對多晶矽膜101進行蝕刻,可對多晶矽膜101進行微細加工。
又,於本實施形態中,於第4步驟中使有機膜106與氧化矽膜105之上表面平坦化。其結果為,可防止將氧化矽膜105作為遮罩對多晶矽膜101進行蝕刻時之蝕刻形狀產生奇偶差,從而可於多晶矽膜101形成微細且均勻之蝕刻形狀之圖案。
又,第1及第2實施形態之基板處理方法可應用於SADP、SAQP、SWT中之任一技術。
[於同一處理容器中之處理] 於第1實施形態之基板處理方法中,至少於光阻劑102之側壁之氧化矽膜105之間形成凹部之第2步驟、與利用有機膜106嵌入上述凹部之第3步驟能夠於同一處理容器10內執行。由此,較佳為至少上述第2步驟與上述第3步驟於處於真空環境下之同一處理容器或同一搬送系統中搬送並處理晶圓W。其原因在於:若將第2步驟執行後且第3步驟執行前之晶圓W曝露於大氣,則於第2步驟中附著於晶圓W之鹵素系(氟、氯)之反應生成物會與大氣中之水分或氧反應而生長,容易產生微粒。
又,第1實施形態之基板處理方法可於基板處理裝置10之同一處理容器11內進行第1步驟~第6步驟(亦可包含第3-1步驟)之所有步驟。藉此,可消除向其他處理容器搬送晶圓W之時間,從而提高生產性。
又,可藉由在處於真空環境下之同一處理容器或同一搬送系統中搬送晶圓W而減少微粒之產生。又,由於藉由在處於真空環境下之同一處理容器或同一搬送系統中搬送晶圓W而減少微粒之產生,故可無需進行先前所進行之對一步驟後之下一步驟之放置時間所設定之限制時間(Q-time)之管理、或者附著於晶圓W之表面之F或C之濕式清洗。
再者,於第2實施形態之基板處理方法中,藉由上述第2步驟與SOC嵌入有機膜106之第3步驟係於不同之處理容器內進行。
應當認為本次所揭示之一實施形態之基板處理方法及基板處理裝置於所有方面係例示而非限制性者。上述實施形態能夠於不脫離隨附之申請專利範圍及其主旨之情況下以各種形態實施變化及改良。上述複數個實施形態所記載之事項可於不矛盾之範圍內取其他構成,又,可於不矛盾之範圍內進行組合。
本發明之基板處理裝置能夠應用於電容耦合電漿(CCP,Capacitively Coupled Plasma)、感應耦合電漿(ICP,Inductively Coupled Plasma)、Radial Line Slot Antenna(徑向線縫隙天線)、電子回旋共振電漿(ECR,Electron Cyclotron Resonance Plasma)、螺旋波(HWP,Helicon Wave Plasma)中之任一類型。
於本說明書中,列舉晶圓W作為基板之一例進行了說明。然而,基板並不限於此,亦可為用於LCD(Liquid Crystal Display,液晶顯示器)、FPD(Flat Panel Display,平板顯示器)之各種基板、CD(Compact Disc,光碟)基板、印刷基板等。
10‧‧‧基板處理裝置 11‧‧‧處理容器 12‧‧‧載置台 13‧‧‧靜電吸盤 14‧‧‧保持部 15‧‧‧邊緣環 16‧‧‧氣體簇射頭 17‧‧‧第1高頻電源 18‧‧‧第2高頻電源 19‧‧‧氣體供給源 20‧‧‧氣體配管 21‧‧‧隔板 22‧‧‧排氣裝置 23‧‧‧排氣通路 24‧‧‧排氣口 25‧‧‧氣體擴散室 26‧‧‧氣體通氣孔 27‧‧‧基台 30‧‧‧控制部 100‧‧‧矽基板 101‧‧‧多晶矽膜 102‧‧‧光阻劑 105‧‧‧氧化矽膜 106‧‧‧有機膜 CD1‧‧‧窄部分 CD2‧‧‧寬部分 S10‧‧‧步驟 S12‧‧‧步驟 S14‧‧‧步驟 S16‧‧‧步驟 S18‧‧‧步驟 S20‧‧‧步驟 S30‧‧‧步驟 S32‧‧‧步驟 W‧‧‧晶圓 θm‧‧‧角度 θt‧‧‧角度
圖1(a)、(b)係表示一實施形態之基板處理裝置之一例之圖。 圖2(a)~(f)係用以說明先前之側壁轉移法之步驟之圖。 圖3係表示第1實施形態之基板處理方法之一例之流程圖。 圖4(a)~(f)係表示第1實施形態之基板處理方法之一例之圖。 圖5(a)~(d)係表示第1實施形態之有機膜之嵌入之溫度依存實驗之一例的圖。 圖6係表示第2實施形態之基板處理方法之一例之流程圖。 圖7(a)~(f)係表示第2實施形態之基板處理方法之一例之圖。
100‧‧‧矽基板
101‧‧‧多晶矽膜
102‧‧‧光阻劑
105‧‧‧氧化矽膜
106‧‧‧有機膜

Claims (11)

  1. 一種基板處理方法,其具有如下步驟: 於被蝕刻對象膜上之光阻劑之圖案之側壁所形成之含矽膜之間之凹部嵌入有機膜;及 於選擇比大致為1:1之條件下對上述有機膜與上述含矽膜進行蝕刻或濺鍍。
  2. 如請求項1之基板處理方法,其中 上述嵌入有機膜之步驟具有如下步驟: 將形成有上述被蝕刻對象膜之基板設置於真空處理容器內之冷卻至-20℃以下之極低溫之載置台上; 向上述處理容器內部供給含有低蒸氣壓材料之氣體的氣體;及 由所供給之含有上述低蒸氣壓材料之氣體的氣體生成電漿,藉由該電漿將由上述低蒸氣壓材料所生成之前驅體嵌入至上述凹部。
  3. 如請求項2之基板處理方法,其中 將基板設置於上述載置台上之步驟係設置於冷卻至-20℃以下-60℃以上之極低溫之載置台上。
  4. 如請求項1之基板處理方法,其中 上述嵌入有機膜之步驟係藉由旋轉塗佈法塗佈有機材料,藉此將上述有機膜嵌入至上述凹部。
  5. 如請求項1至4中任一項之基板處理方法,其 具有對上述有機膜進行蝕刻直至上述含矽膜之上表面即將露出之前或上述含矽膜之上表面之一部分露出為止之步驟;且 對上述有機膜進行蝕刻之步驟係於嵌入上述有機膜之步驟和對上述有機膜與上述含矽膜進行蝕刻之步驟之間執行。
  6. 如請求項1至5中任一項之基板處理方法,其中 上述選擇比大致為1:1之條件包含利用Ar、CF4 及O2 之氣體對上述有機膜與上述含矽膜進行蝕刻或者利用CF4 及O2 之氣體對上述有機膜與上述含矽膜進行蝕刻之條件。
  7. 如請求項1至5中任一項之基板處理方法,其中 上述選擇比約為1:1之條件包含利用稀有氣體對上述有機膜與上述含矽膜進行濺鍍之條件。
  8. 如請求項1至7中任一項之基板處理方法,其中 對上述含矽膜進行蝕刻而於上述光阻劑之側壁之上述含矽膜之間形成凹部之步驟、以及於上述含矽膜之間於凹部嵌入上述有機膜之步驟係於同一處理容器內進行。
  9. 如請求項1至7中任一項之基板處理方法,其中 對上述含矽膜進行蝕刻而於上述光阻劑之側壁之上述含矽膜之間形成凹部之步驟、以及於上述含矽膜之間於凹部嵌入上述有機膜之步驟係於不同之處理容器內進行。
  10. 一種基板處理方法,其具有如下步驟: 於被蝕刻對象膜上之光阻劑之圖案上成膜含矽膜; 對上述含矽膜進行蝕刻而於上述光阻劑之側壁之上述含矽膜之間形成凹部; 於上述含矽膜之間之凹部嵌入有機膜; 於選擇比大致為1:1之條件下對上述有機膜與上述含矽膜進行蝕刻;以及 去除上述有機膜;且 上述步驟全部於同一處理容器內進行。
  11. 一種基板處理裝置,其具有: 處理容器; 載置台,其於上述處理容器之內部載置基板;及 控制部;且 上述控制部控制如下步驟: 於被蝕刻對象膜上之光阻劑之圖案之側壁所形成之含矽膜之間之凹部嵌入有機膜;及 於選擇比大致為1:1之條件下對上述有機膜與上述含矽膜進行蝕刻。
TW108116165A 2018-05-21 2019-05-10 基板處理方法及基板處理裝置 TW202004902A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-096952 2018-05-21
JP2018096952A JP2019204815A (ja) 2018-05-21 2018-05-21 基板処理方法及び基板処理装置

Publications (1)

Publication Number Publication Date
TW202004902A true TW202004902A (zh) 2020-01-16

Family

ID=68533392

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108116165A TW202004902A (zh) 2018-05-21 2019-05-10 基板處理方法及基板處理裝置

Country Status (5)

Country Link
US (1) US10886138B2 (zh)
JP (1) JP2019204815A (zh)
KR (1) KR20190132948A (zh)
CN (1) CN110517953A (zh)
TW (1) TW202004902A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821885B (zh) * 2021-04-19 2023-11-11 日商國際電氣股份有限公司 基板處理方法、半導體裝置之製造方法、基板處理裝置及程式

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200023196A (ko) * 2018-08-23 2020-03-04 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 방법
JP7228989B2 (ja) * 2018-11-05 2023-02-27 東京エレクトロン株式会社 載置台、エッジリングの位置決め方法及び基板処理装置
TWI808692B (zh) * 2022-03-22 2023-07-11 華邦電子股份有限公司 自對準多重圖案化標記

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61113236A (ja) * 1984-11-08 1986-05-31 Matsushita Electronics Corp 半導体装置の製造方法
JPH01110727A (ja) * 1987-10-23 1989-04-27 Nec Corp 半導体装置の製造方法
JP2748864B2 (ja) * 1994-09-12 1998-05-13 日本電気株式会社 半導体装置及びその製造方法及び非晶質炭素膜の製造方法及びプラズマcvd装置
JPH11186230A (ja) * 1997-12-19 1999-07-09 Nec Corp 半導体装置の製造方法
JPH11204638A (ja) * 1998-01-12 1999-07-30 Toshiba Corp 平坦化方法、パターン形成方法およびパターン加工方法
JP3803516B2 (ja) * 1999-09-22 2006-08-02 株式会社東芝 ドライエッチング方法及び半導体装置の製造方法
JP3974295B2 (ja) * 1999-09-24 2007-09-12 株式会社東芝 パターン形成方法
JP2001135630A (ja) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp 半導体装置の製造方法
JP2004198706A (ja) * 2002-12-18 2004-07-15 Seiko Epson Corp フォトマスク、光反射基板、光反射基板の製造方法、電気光学装置、電気光学装置の製造方法、及び、電子機器
JP2004335873A (ja) * 2003-05-09 2004-11-25 Toshiba Corp パターン形成方法
KR100768692B1 (ko) * 2005-12-19 2007-10-19 주식회사 대우일렉트로닉스 유기 발광 소자 및 그의 제조 방법
KR101001875B1 (ko) * 2006-09-30 2010-12-17 엘지이노텍 주식회사 등방성 에칭을 이용한 미세 패턴 형성방법 및 이를 이용하여 제조된 미세패턴이 형성된 반도체 기판 면상 부재
KR20080060424A (ko) * 2006-12-27 2008-07-02 삼성전자주식회사 반도체 소자의 형성 방법
JP5067068B2 (ja) * 2007-08-17 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法及び記憶媒体
US20090087990A1 (en) * 2007-09-28 2009-04-02 Tokyo Electron Limited Manufacturing method, manufacturing apparatus, control program and program recording medium of semiconductor device
JP5236983B2 (ja) * 2007-09-28 2013-07-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
TW200919165A (en) 2007-10-17 2009-05-01 liang-he Chen Turbo-guiding type cooling apparatus
CN101469415B (zh) * 2007-12-25 2010-08-25 财团法人工业技术研究院 等离子体辅助有机薄膜沉积装置
JP5264374B2 (ja) * 2008-09-02 2013-08-14 東京エレクトロン株式会社 パターン形状検査方法及び半導体装置の製造方法
JP5238556B2 (ja) * 2009-03-10 2013-07-17 東京エレクトロン株式会社 基板処理方法
JP4815519B2 (ja) * 2009-09-14 2011-11-16 東京エレクトロン株式会社 マスクパターンの形成方法及び半導体装置の製造方法
JP2012178378A (ja) 2011-02-25 2012-09-13 Tokyo Electron Ltd 半導体装置の製造方法
JP2018531506A (ja) * 2015-09-24 2018-10-25 東京エレクトロン株式会社 サブ解像度基板パターニングのためのエッチングマスクを形成する方法
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US20180138078A1 (en) * 2016-11-16 2018-05-17 Tokyo Electron Limited Method for Regulating Hardmask Over-Etch for Multi-Patterning Processes

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821885B (zh) * 2021-04-19 2023-11-11 日商國際電氣股份有限公司 基板處理方法、半導體裝置之製造方法、基板處理裝置及程式

Also Published As

Publication number Publication date
JP2019204815A (ja) 2019-11-28
US20190355588A1 (en) 2019-11-21
CN110517953A (zh) 2019-11-29
KR20190132948A (ko) 2019-11-29
US10886138B2 (en) 2021-01-05

Similar Documents

Publication Publication Date Title
TWI627724B (zh) 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
TWI618145B (zh) 電漿蝕刻方法及電漿蝕刻裝置
TW202004902A (zh) 基板處理方法及基板處理裝置
US20060172546A1 (en) Dry-etching method
US8592327B2 (en) Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US6432832B1 (en) Method of improving the profile angle between narrow and wide features
WO2006057236A1 (ja) 基板処理方法および半導体装置の製造方法
WO2002073674A1 (fr) Procede permettant de graver un film isolant organique et procede double damascene
TWI445080B (zh) Manufacturing method of semiconductor device
US11342195B1 (en) Methods for anisotropic etch of silicon-based materials with selectivity to organic materials
JP5089871B2 (ja) 半導体装置の製造方法
KR20070044374A (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 장치, 제어프로그램 및 컴퓨터 기억 매체
TW202121504A (zh) 用於自對準多重圖案化的芯材覆蓋的方法和系統
JP5171091B2 (ja) プラズマ処理方法
WO2023233673A1 (ja) エッチング方法及びプラズマ処理装置
JP7202489B2 (ja) プラズマ処理方法
US11201063B2 (en) Substrate processing method and substrate processing apparatus
CN112585728B (zh) 处理方法
US20210358763A1 (en) Method for dry etching silicon carbide films for resist underlayer applications
US11658040B2 (en) Plasma processing method
WO2023234214A1 (ja) エッチング方法及びプラズマ処理装置
CN116457919A (zh) 用于半导体图案化应用的氧化锡及碳化锡材料