JP2012178378A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2012178378A
JP2012178378A JP2011039163A JP2011039163A JP2012178378A JP 2012178378 A JP2012178378 A JP 2012178378A JP 2011039163 A JP2011039163 A JP 2011039163A JP 2011039163 A JP2011039163 A JP 2011039163A JP 2012178378 A JP2012178378 A JP 2012178378A
Authority
JP
Japan
Prior art keywords
film
layer
semiconductor device
etching
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2011039163A
Other languages
English (en)
Inventor
Kenichi Koyama
賢一 小山
Shohei Yamauchi
祥平 山内
Hidetami Yaegashi
英民 八重樫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2011039163A priority Critical patent/JP2012178378A/ja
Priority to TW101104770A priority patent/TW201236054A/zh
Priority to US13/403,604 priority patent/US20120220132A1/en
Priority to KR1020120018997A priority patent/KR20120098487A/ko
Publication of JP2012178378A publication Critical patent/JP2012178378A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】所望の微細化パターンを高精度かつ効率良く形成することができ、生産効率を向上させることのできる半導体装置の製造方法を提供する。
【解決手段】トリミングされたフォトレジスト層104のラインパターンの上に第1の膜105を成膜する工程と、前記ラインパターンの側壁部以外にある第1の膜105およびフォトレジスト層104を除去する工程と、該除去後に残った部分の第1の膜105をマスクとして反射防止膜103とコア層102をエッチングし、コア層102をラインパターンとする工程と、ラインパターン化コア層102の上に第2の膜106を成膜する工程と、ラインパターン化コア層102の側壁部以外にある第2の膜106およびラインパターン化コア層102を除去する工程と、該除去後に残った部分の第2の膜106をマスクとして被エッチング層101をエッチングし、ラインパターンとする工程とを備えた製造方法とする。
【選択図】図1

Description

本発明は、半導体装置の製造方法に関する。
従来から、半導体装置の製造工程では、フォトレジストを用いたフォトリソグラフィー技術により、微細な回路パターンの形成が行われている。また、回路パターンのさらなる微細化を行うために、サイドウォールトランスファー(SWT(side wall transfer))プロセスや、その他のダブルパターニング(DP)プロセスが検討されている。
上記のようなフォトリソグラフィーにおける微細化技術では、例えば、初めに形成したフォトレジストのパターンをハードマスクに転写し、ハードマスクとレジストマスクを用いる技術が知られている。
また、フォトレジストのラインパターンをトリミングした後、第1スペーサー層として二酸化シリコン膜を成膜し、フォトレジストのラインパターンの側壁部にのみ二酸化シリコン膜が残るようにエッチングして1/2ピッチのパターンを形成し、この後、二酸化シリコン膜の上に第2スペーサー層として窒化シリコン膜を形成し、同様にして窒化シリコン膜からなる1/4ピッチのパターンを形成する技術が知られている(例えば、特許文献1参照。)。
特表2009−543378号公報
上記のようにして1/4ピッチのパターンを形成する技術では、フォトレジストパターン上に成膜し、フォトレジストパターンの側壁部に残った二酸化シリコン膜をコアとして、この二酸化シリコン膜の上に第2スペーサー層として窒化シリコン膜を形成している。しかしながら、フォトレジストパターンの側壁部に残った二酸化シリコン膜のパターンは、所謂カニヅメ状(上端部分の一方が湾曲した形状)となっており、上面が平坦で無い形状となっている。このため、このパターンをコアとして用いると線幅制御性が悪くなるという問題がある。また、このような問題を避けるため、マスクを介してエッチングすることを予定したコア層を予め被エッチング層の上に多層に積層しておくと、工数が多くなるとともに、製造コストが増大するという問題が発生する。
上記のように、フォトリソグラフィーにおける微細化技術では、効率良く所望の微細化パターンを精度良く形成できるようにする技術の開発が求められている。
本発明は、上記従来の事情に対処してなされたもので、従来に比べて効率良く所望の微細化パターンを精度良く形成することができ、半導体装置の生産効率を向上させることのできる半導体装置の製造方法を提供しようとするものである。
本発明の半導体装置の製造方法の一態様は、基板の被エッチング層上に、下側から順に、コア層と、反射防止膜と、所定間隔を隔てて整列されたラインパターンにパターニングされたフォトレジスト層とを形成する工程と、前記フォトレジスト層の前記ラインパターンをトリミングする工程と、トリミングされた前記フォトレジスト層の前記ラインパターンの上に第1の膜を成膜する工程と、前記フォトレジスト層の前記ラインパターンの側壁部の前記第1の膜を残して他の部位の前記第1の膜を除去する工程と、前記フォトレジスト層を除去する工程と、前記第1の膜をマスクとして、前記反射防止膜と前記コア層をエッチングし、前記コア層をラインパターンとする工程と、前記ラインパターンとされた前記コア層の上に第2の膜を成膜する工程と、前記コア層の前記ラインパターンの側壁部の前記第2の膜を残して他の部位の前記第2の膜を除去する工程と、前記第2の膜をマスクとして、前記被エッチング層をエッチングし、被エッチング層をラインパターンとする工程と、を備えたことを特徴とする。
本発明によれば、従来に比べて効率良く所望の微細化パターンを精度良く形成することができ、半導体装置の生産効率を向上させることのできる半導体装置の製造方法を提供することができる。
本発明の半導体装置の製造方法の一実施形態の工程を説明するための図。 図1の半導体装置の製造方法の工程を示すフローチャート。
以下、本発明の詳細を、図面を参照して実施形態について説明する。
図1は、本発明の一実施形態に係る基板としての半導体ウエハの一部を拡大して模式的に示し、一実施形態にかかる半導体装置の製造方法の工程を示すものである。また、図2は、一実施形態にかかる半導体装置の製造方法の工程を示すフローチャートである。
図1(a)に示すように、半導体ウエハWの上には、被エッチング膜としてのポリシリコン膜101が形成されている。まず、このポリシリコン膜101の上に、コア層となるカーボン層として本実施形態ではアモルファスカーボン層102を形成し、アモルファスカーボン層102の上に、SiARC層(反射防止膜)103を形成し、SiARC層103の上に、所定のラインアンドスペースパターンに形成されたフォトレジスト層104を形成する(図2の工程200)。
このフォトレジスト層104は、フォトレジストの塗布、露光、現像等の工程によって形成する。露光工程は、例えばArF液浸露光等によって行うことができる。なお、アモルファスカーボン層102の替わりに、スピンコートによって形成することのできる塗布膜(スピンオンカーボン膜)を用いてもよい。塗布膜(スピンオンカーボン膜)は、アモルファスカーボン層102に比べて強度的には劣るが、塗布膜(スピンオンカーボン膜)を用いれば、フォトレジスト層104を塗布する塗布装置において、フォトレジスト層104を塗布する前に塗布膜を塗布して形成することができる。したがって、上記の塗布膜の形成から、フォトレジスト層の形成までは、同一の装置内で連続的に行うことができ、プロセスの簡素化が図れる。
図1(a)に示す状態から、まず、フォトレジスト層104のトリミングを行ってフォトレジスト層104の線幅を減少させ(図2の工程201)、次に、フォトレジスト層104の上に第1の膜として二酸化シリコン(SiO)膜105を形成し、図1(b)に示す状態とする(図2の工程202)。この第1の膜としての二酸化シリコン(SiO)膜105の膜厚は、例えば20nm程度とする。
フォトレジスト層104のトリミング工程は、例えば、二酸化シリコン膜105を形成するためのプラズマCVD装置内において、酸素プラズマを作用させることによって行うことができる。また、二酸化シリコン(SiO)膜105の成膜は、低温(140℃以下)で二酸化シリコン膜105を形成することのできるMLD(Molecular Layer Deposition)法を用いることが好ましい。また、二酸化シリコン膜105に限らず、成膜時にフォトレジストにダメージを与えないようなレジストのガラス転移温度以下の温度で形成可能な膜であれば他の材質の膜を用いてもよい。例えば、酸化アルミニウム(Al)、窒化アルミニウム(AlN)、酸化チタン(TiO)、窒化シリコン(SiN)、アモルファスシリコン、ポリシリコンなどの材質の膜を用いてもよい。
次に、二酸化シリコン膜105をエッチングし、フォトレジスト層104の側壁部にのみ二酸化シリコン膜105が残った状態とし(図2の工程203)、この後、フォトレジスト層104をアッシングにより除去し、図1(c)に示す状態(所謂カニヅメ形状の状態)とする(図2の工程204)。
上記の二酸化シリコン膜105のエッチングは、例えば、平行平板型のプラズマエッチング装置を用い、CF/Ar等のエッチングガスを使用したプラズマエッチング等によって行うことができる。また、フォトレジスト層104のアッシングも、同様なエッチング装置を用い、酸素ガスのプラズマを用いたアッシング等によって行うことができる。
次に、二酸化シリコン膜105をマスクとして、SiARC層103をエッチングし、引き続いてアモルファスカーボン層102をアッシングして、図1(d)に示す状態とする(図2の工程205)。
上記のSiARC層103のエッチングは、例えば、平行平板型のプラズマエッチング装置を用い、CF/Ar等のエッチングガスを使用したプラズマエッチング等によって行うことができる。また、アモルファスカーボン層102のアッシングも、同様なエッチング装置を用い、酸素ガスのプラズマを用いたアッシング等によって行うことができる。したがって、上記の二酸化シリコン膜105のエッチングからアモルファスカーボン層102のアッシングまでは、同一のエッチング装置を用い、処理チャンバーの中から搬出することなく連続的に行うことができる。
次に、アモルファスカーボン層102の上に残ったSiARC層103及び二酸化シリコン膜105を除去して図1(e)に示す状態とする(図2の工程206)。
上記のSiARC層103の除去及び二酸化シリコン膜105の除去は、例えば、HF/NH/Ar等の処理ガスを用いたガスケミカルエッチング装置等を用いて行うことができる。
次に、アモルファスカーボン層102の上に、第2の膜として二酸化シリコン(SiO)膜106を形成し、図1(f)に示す状態とする(図2の工程207)。この第2の膜としての二酸化シリコン(SiO)膜106の膜厚は、例えば12nm程度とする。
二酸化シリコン(SiO)膜106の成膜は、低温(140℃以下)で二酸化シリコン膜106を形成することのできるMLD(Molecular Layer Deposition)法を用いることが好ましい。
次に、二酸化シリコン膜106をエッチングし、アモルファスカーボン層102の側壁部にのみ二酸化シリコン膜106が残った状態とし(図2の工程208)、この後、アモルファスカーボン層102をアッシングにより除去し、図1(g)に示す状態(所謂カニヅメ形状の状態)とする(図2の工程209)。
上記の二酸化シリコン膜106のエッチングは、例えば、平行平板型のプラズマエッチング装置を用い、CF/Ar等のエッチングガスを使用したプラズマエッチング等によって行うことができる。また、アモルファスカーボン層102のアッシングも、同様なエッチング装置を用い、酸素ガスのプラズマを用いたアッシング等によって行うことができる。
次に、二酸化シリコン膜106をマスクとして、ポリシリコン層101をエッチングしてポリシリコン層101をラインアンドスペースのパターンとし、この後、二酸化シリコン膜106を除去し図1(h)に示す状態とする(図2の工程210)。このポリシリコン層101のラインアンドスペースのパターンは、図1(a)に示されるフォトレジスト104のラインアンドスペースのパターンの1/4のピッチとなっている。したがって、フォトレジスト104のラインアンドスペースのパターンが例えば40nmハーフピッチの場合、ポリシリコン層101のラインアンドスペースのパターンは、10nmハーフピッチとなる。
上記の二酸化シリコン膜106のエッチングは、例えば、平行平板型のプラズマエッチング装置を用い、Cl/Ar/N等のエッチングガスを使用したプラズマエッチング等によって行うことができる。また、二酸化シリコン膜106のエッチングは、例えば、平行平板型のプラズマエッチング装置を用い、CF/Ar等のエッチングガスを使用したプラズマエッチング等によって行うことができる。
上記のとおり、本実施形態では、ラインアンドスペースのパターンの側壁に形成された二酸化シリコン等の所謂カニヅメ状のパターンをコアとしてその上に成膜することがないので、良好な線幅制御性を確保することができる。また、被エッチング層としてのポリシリコン層101の上に、アモルファスカーボン層102と、SiARC層103と、フォトレジスト層104の3層のみを堆積させた積層構造からスタートして、2回のダブルパターニングを行って1/4ピッチのパターンを得ることができるので、工数の増加を抑制することができるとともに、製造コストを抑制することができる。
実施例として、以下の処理条件によって、2回のダブルパターニングを行い、最初のフォトレジストのパターンの1/4ピッチのポリシリコンのラインアンドスペースのパターンを形成した。
(フォトレジストのトリミング)
フォトレジストのトリミングは、バッチ処理式の成膜装置において酸素プラズマを作用させて実施した。処理条件は、以下のとおりである。
圧力:20Pa(150mTorr)
高周波電力:50W
処理ガス:O=1000sccm
回転速度:2.0rpm
時間:15.0分
(二酸化シリコン膜(第1の膜)の成膜)
二酸化シリコン膜(第1の膜)の成膜は、上記のフォトレジストのトリミングを実施したバッチ処理式の成膜装置によって、140℃以下でMLD(Molecular Layer Deposition)法を用いて行った。二酸化シリコン膜の膜厚は20nmとした。
(二酸化シリコン膜(第1の膜)のエッチング)
二酸化シリコン膜(第1の膜)のエッチングは、上部電極と下部電極との間に高周波電力を印加してプラズマを発生させる枚葉式のCCPエッチング装置を用いて実施した。処理条件は、以下のとおりである。
圧力:2.66Pa(20mTorr)
高周波電力(上部/下部):600W/100W
処理ガス:CF/Ar=100/200sccm
時間:30.0秒
(フォトレジストのアッシング)
フォトレジストのアッシングは、二酸化シリコン膜(第1の膜)のエッチングを実施した枚葉式のCCPエッチング装置を用いて引き続き実施した。処理条件は、以下のとおりである。
圧力:2.66Pa(20mTorr)
高周波電力(上部/下部):600W/100W
処理ガス:O=350sccm
時間:15.0秒
(SiARCのエッチング)
SiARCのエッチングは、二酸化シリコン膜(第1の膜)のエッチング、フォトレジストのアッシングを実施した枚葉式のCCPエッチング装置を用いて引き続き実施した。処理条件は、以下のとおりである。
圧力:1.33Pa(10mTorr)
高周波電力(上部/下部):600W/100W
処理ガス:CF/Ar=100/200sccm
時間:45.0秒
(アモルファスカーボンのアッシング)
アモルファスカーボンのアッシングは、二酸化シリコン膜(第1の膜)のエッチング、フォトレジストのアッシング、SiARCのエッチングを実施した枚葉式のCCPエッチング装置を用いて引き続き実施した。処理条件は、以下のとおりである。
圧力:3.99Pa(30mTorr)
高周波電力(上部/下部):600W/300W
処理ガス:O=300sccm
時間:60.0秒
(二酸化シリコン膜(第1の膜)とSiARCの除去)
二酸化シリコン膜(第1の膜)とSiARCの除去は、ガスケミカルエッチング装置を用いて実施した。処理条件は、以下のとおりであり、COR(Chemical Oxide Removal)処理+PHT(Post Heat Treatment)処理を5回行った。
(COR(Chemical Oxide Removal)処理)
圧力:2.66Pa(20mTorr)
処理ガス:HF/NF/Ar=40/40/34sccm
温度(上部/側壁部/下部):60/60/35℃
時間:60.0秒
(PHT(Post Heat Treatment)処理)
圧力:89.8Pa(675mTorr)
処理ガス:N=500sccm
温度:150℃
時間:60.0秒
(二酸化シリコン膜(第2の膜)の成膜)
二酸化シリコン膜(第2の膜)の成膜は、上記のバッチ処理式の成膜装置によって、140℃以下でMLD(Molecular Layer Deposition)法を用いて行った。二酸化シリコン膜の膜厚は12nmとした。
(二酸化シリコン膜(第2の膜)のエッチング)
二酸化シリコン膜(第2の膜)のエッチングは、上部電極と下部電極との間に高周波電力を印加してプラズマを発生させる枚葉式のCCPエッチング装置を用いて実施した。処理条件は、以下のとおりである。
圧力:2.66Pa(20mTorr)
高周波電力(上部/下部):600W/100W
処理ガス:CF/Ar=100/200sccm
時間:20.0秒
(アモルファスカーボン膜のアッシング)
アモルファスカーボン膜のアッシングは、二酸化シリコン膜(第2の膜)のエッチングを実施した枚葉式のCCPエッチング装置を用いて引き続き実施した。処理条件は、以下のとおりである。
圧力:2.66Pa(20mTorr)
高周波電力(上部/下部):600W/30W
処理ガス:O=350sccm
時間:90.0秒
(ブレイクスルー処理)
アモルファスカーボン膜のアッシング処理に引き続き枚葉式のCCPエッチング装置によってブレイクスルー処理を行い、アッシング処理により半導体ウエハ表面に付着した酸化膜及び自然酸化膜の除去を行った。処理条件は、以下のとおりである。
圧力:2.66Pa(20mTorr)
高周波電力(上部/下部):600W/100W
処理ガス:CF/Ar=100/200sccm
時間:5.0秒
(ポリシリコン膜のエッチング)
ポリシリコン膜のエッチングは、二酸化シリコン膜(第2の膜)のエッチング、アモルファスカーボン膜のアッシング、ブレイクスルー処理を実施した枚葉式のCCPエッチング装置を用いて引き続き実施した。処理条件は、以下のとおりである。
(メインエッチング)
圧力:1.33Pa(10mTorr)
高周波電力(上部/下部):300W/200W
処理ガス:Cl/Ar/N=100/200/200sccm
時間:30.0秒
(オーバーエッチング)
圧力:3.99Pa(30mTorr)
高周波電力(上部/下部):600W/30W
処理ガス:Cl/O/N=160/5/80sccm
時間:60.0秒
上記の処理条件によって形成した実施例のポリシリコン膜のパターンを、電子顕微鏡で観察したところ、最初のフォトレジストパターンの1/4ピッチのラインアンドスペースのパターンが形成されていることを確認することができた。
このようなラインアンドスペースのパターンの上に、パターンを切断するためのマスク(カットマスク)をフォトレジストにより形成し、必要に応じて絶縁膜によるシュリンクを行い、このマスクを用いてラインアンドスペースのパターンを所望のパターンにパターニングすることができる。
以上のように、本実施形態によれば、従来に比べて効率良く所望の微細化パターンを精度良く形成することができ、半導体装置の生産効率を向上させることのできる半導体装置の製造方法を提供することができる。なお、本発明は、上記実施形態及び実施例に限定されるものではなく、各種の変形が可能であることは勿論である。
W……半導体ウエハ、101……ポリシリコン層、102……アモルファスカーボン層、103……SiARC層(反射防止膜)、104……フォトレジスト層、105……二酸化シリコン膜(第1の膜)、106……二酸化シリコン膜(第2の膜)。

Claims (6)

  1. 基板の被エッチング層上に、下側から順に、コア層と、反射防止膜と、所定間隔を隔てて整列されたラインパターンにパターニングされたフォトレジスト層とを形成する工程と、
    前記フォトレジスト層の前記ラインパターンをトリミングする工程と、
    トリミングされた前記フォトレジスト層の前記ラインパターンの上に第1の膜を成膜する工程と、
    前記フォトレジスト層の前記ラインパターンの側壁部の前記第1の膜を残して他の部位の前記第1の膜を除去する工程と、
    前記フォトレジスト層を除去する工程と、
    前記第1の膜をマスクとして、前記反射防止膜と前記コア層をエッチングし、前記コア層をラインパターンとする工程と、
    前記ラインパターンとされた前記コア層の上に第2の膜を成膜する工程と、
    前記コア層の前記ラインパターンの側壁部の前記第2の膜を残して他の部位の前記第2の膜を除去する工程と、
    前記第2の膜をマスクとして、前記被エッチング層をエッチングし、被エッチング層をラインパターンとする工程と、
    を備えたことを特徴とする半導体装置の製造方法。
  2. 請求項1記載の半導体装置の製造方法であって、
    前記コア層がカーボン膜によって形成されていることを特徴とする半導体装置の製造方法。
  3. 請求項2記載の半導体装置の製造方法であって、
    前記カーボン膜がアモルファスカーボンからなることを特徴とする半導体装置の製造方法。
  4. 請求項2記載の半導体装置の製造方法であって、
    前記カーボン膜が塗布膜からなることを特徴とする半導体装置の製造方法。
  5. 請求項1〜4いずれか1項記載の半導体装置の製造方法であって、
    前記第1の膜及び前記第2の膜は、140℃以下の温度で形成されることを特徴とする半導体装置の製造方法。
  6. 請求項1〜5いずれか1項記載の半導体装置の製造方法であって、
    前記被エッチング層のラインパターンの上に、さらにパターニングされたフォトレジストマスクを形成し、当該フォトレジストマスクを介して前記被エッチング層をエッチングし、前記被エッチング層のラインパターンをパターニングすることを特徴とする半導体装置の製造方法。
JP2011039163A 2011-02-25 2011-02-25 半導体装置の製造方法 Withdrawn JP2012178378A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2011039163A JP2012178378A (ja) 2011-02-25 2011-02-25 半導体装置の製造方法
TW101104770A TW201236054A (en) 2011-02-25 2012-02-14 Semiconductor device manufacturing method
US13/403,604 US20120220132A1 (en) 2011-02-25 2012-02-23 Semiconductor device manufacturing method
KR1020120018997A KR20120098487A (ko) 2011-02-25 2012-02-24 반도체 장치의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011039163A JP2012178378A (ja) 2011-02-25 2011-02-25 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2012178378A true JP2012178378A (ja) 2012-09-13

Family

ID=46719284

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011039163A Withdrawn JP2012178378A (ja) 2011-02-25 2011-02-25 半導体装置の製造方法

Country Status (4)

Country Link
US (1) US20120220132A1 (ja)
JP (1) JP2012178378A (ja)
KR (1) KR20120098487A (ja)
TW (1) TW201236054A (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014170912A (ja) * 2013-03-01 2014-09-18 Huabang Electronic Co Ltd パターニング方法およびメモリ素子の形成方法
KR20160003624A (ko) 2013-04-26 2016-01-11 도쿄엘렉트론가부시키가이샤 에칭 방법
KR20160041778A (ko) * 2014-10-07 2016-04-18 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
WO2016093087A1 (ja) * 2014-12-09 2016-06-16 東京エレクトロン株式会社 パターン形成方法、ガスクラスターイオンビーム照射装置及びパターン形成装置
KR20190132948A (ko) 2018-05-21 2019-11-29 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
KR20210033442A (ko) 2018-07-23 2021-03-26 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
US11361973B2 (en) 2019-12-06 2022-06-14 Tokyo Electron Limited Etching method and etching apparatus

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9431267B2 (en) 2012-12-03 2016-08-30 Applied Materials, Inc. Semiconductor device processing tools and methods for patterning substrates
CN104637807B (zh) * 2013-11-14 2017-11-10 中芯国际集成电路制造(上海)有限公司 应用自对准双构图技术制作半导体器件的方法
CN104576400A (zh) * 2015-01-21 2015-04-29 上海集成电路研发中心有限公司 一种鳍式场效晶体管的工艺整合方法
KR102341458B1 (ko) 2015-04-15 2021-12-20 삼성전자주식회사 반도체 장치 제조 방법
CN106601602B (zh) * 2015-10-14 2019-09-03 中芯国际集成电路制造(上海)有限公司 用于自对准双重构图的方法及半导体器件的制造方法
CN105552133A (zh) * 2016-02-24 2016-05-04 深圳市华星光电技术有限公司 一种薄膜晶体管及其制备方法
CN109950140B (zh) * 2019-04-18 2021-11-05 上海华力微电子有限公司 一种自对准双层图形的形成方法
US12009211B2 (en) * 2021-11-12 2024-06-11 Tokyo Electron Limited Method for highly anisotropic etching of titanium oxide spacer using selective top-deposition

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5322668B2 (ja) * 2009-01-21 2013-10-23 株式会社東芝 半導体装置の製造方法およびフォトマスク

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8877647B2 (en) 2013-03-01 2014-11-04 Winbond Electronics Corp. Patterning method and method of forming memory device
JP2014170912A (ja) * 2013-03-01 2014-09-18 Huabang Electronic Co Ltd パターニング方法およびメモリ素子の形成方法
US9530671B2 (en) 2013-04-26 2016-12-27 Tokyo Electron Limited Etching method
KR20160003624A (ko) 2013-04-26 2016-01-11 도쿄엘렉트론가부시키가이샤 에칭 방법
KR20160041778A (ko) * 2014-10-07 2016-04-18 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
JP2016076620A (ja) * 2014-10-07 2016-05-12 東京エレクトロン株式会社 被処理体を処理する方法
KR102309941B1 (ko) * 2014-10-07 2021-10-07 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
WO2016093087A1 (ja) * 2014-12-09 2016-06-16 東京エレクトロン株式会社 パターン形成方法、ガスクラスターイオンビーム照射装置及びパターン形成装置
JPWO2016093087A1 (ja) * 2014-12-09 2017-09-07 東京エレクトロン株式会社 パターン形成方法、ガスクラスターイオンビーム照射装置及びパターン形成装置
KR20190132948A (ko) 2018-05-21 2019-11-29 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
US10886138B2 (en) 2018-05-21 2021-01-05 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
KR20210033442A (ko) 2018-07-23 2021-03-26 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
US11462407B2 (en) 2018-07-23 2022-10-04 Tokyo Electron Limited Etching method and etching apparatus
US11361973B2 (en) 2019-12-06 2022-06-14 Tokyo Electron Limited Etching method and etching apparatus

Also Published As

Publication number Publication date
TW201236054A (en) 2012-09-01
KR20120098487A (ko) 2012-09-05
US20120220132A1 (en) 2012-08-30

Similar Documents

Publication Publication Date Title
JP2012178378A (ja) 半導体装置の製造方法
US9472414B2 (en) Self-aligned multiple spacer patterning process
US8618000B2 (en) Selective wet etching of hafnium aluminum oxide films
WO2011102140A1 (ja) 半導体装置の製造方法
TW201543564A (zh) 半導體製程
JP2009071306A (ja) 半導体素子の微細パターン形成方法
CN109243971B (zh) 一种半导体器件介质膜低角度蚀刻方法
TW201923834A (zh) 半導體結構的形成方法
CN106935484A (zh) 半导体装置的制造方法
TW200824002A (en) Method for fabricating semiconductor device
JP2004356575A (ja) 半導体装置の製造方法
CN101587838A (zh) 在电介质层上形成孔的方法
KR20070113604A (ko) 반도체 소자의 미세패턴 형성방법
KR100862315B1 (ko) 마스크 리워크 방법
JP4282391B2 (ja) 半導体装置の製造方法
JP2003163349A (ja) 半導体装置の製造方法
TW202201484A (zh) 在微電子工件的處理中euv逆圖案化的方法
JPH11330045A (ja) 酸化膜及びシリコン層の積層膜のエッチング方法
JP2002026020A (ja) 半導体装置の製造方法
TW202213450A (zh) 鉑的圖案化方法
TWI240308B (en) Method of fabricating integrated circuit
CN111863600A (zh) 增加固体材料层和流体材料层之间贴附性的方法
KR100900773B1 (ko) 반도체 소자의 콘택홀 제조방법
KR100576438B1 (ko) 반도체 소자 제조 방법
JP2008016852A (ja) フラッシュメモリ素子の製造方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140513