JP2014170912A - パターニング方法およびメモリ素子の形成方法 - Google Patents

パターニング方法およびメモリ素子の形成方法 Download PDF

Info

Publication number
JP2014170912A
JP2014170912A JP2013121851A JP2013121851A JP2014170912A JP 2014170912 A JP2014170912 A JP 2014170912A JP 2013121851 A JP2013121851 A JP 2013121851A JP 2013121851 A JP2013121851 A JP 2013121851A JP 2014170912 A JP2014170912 A JP 2014170912A
Authority
JP
Japan
Prior art keywords
region
mask
mask layer
pattern
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013121851A
Other languages
English (en)
Other versions
JP5703339B2 (ja
Inventor
Jen-Hsiang Tsai
仁祥 蔡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Winbond Electronics Corp
Original Assignee
Winbond Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Winbond Electronics Corp filed Critical Winbond Electronics Corp
Publication of JP2014170912A publication Critical patent/JP2014170912A/ja
Application granted granted Critical
Publication of JP5703339B2 publication Critical patent/JP5703339B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/43Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
    • H10B41/46Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor with an inter-gate dielectric layer also being used as part of the peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Non-Volatile Memory (AREA)

Abstract

【課題】メモリのワード線の限界寸法均一性をより高めることのできるパターニング方法およびメモリ素子の形成方法を提供する。
【解決手段】基板100上に、ターゲット層、犠牲層、第1マスク層を形成する。第1マスク層は、セル領域110,130内の第1マスクパターンと周辺領域120内の第2マスクパターンを有する。第1マスクパターンをマスクとして、一部の犠牲層を除去し、複数の犠牲パターンを形成し、各犠牲パターンの各側壁にスペーサーを形成する。犠牲パターンを除去する。少なくとも周辺領域120内のスペーサーを除去する。セル領域110,130上に第2マスク層を形成する。第2マスク層と残りのスペーサーをマスクとして、一部のターゲット層を除去し、セル領域110,130上に複数のワード線112a,112cを形成するとともに、周辺領域120に隣接する一部のセル領域110,130上に複数の選択ゲート112bを形成する。
【選択図】図1m

Description

本発明は、半導体プロセスおよび半導体素子の形成方法に関するものであり、特に、パターニング方法およびメモリ素子の形成方法に関するものである。
非揮発性メモリは、データの書き込み、読み取り、削除等を何度も行うことができるという特性を有し、且つ停電時でも既に保存した情報を保留することができるため、パソコンや消費者向け電子製品に広く応用されている。非揮発性メモリは、集積度が高くなればなるほど、限界寸法(critical dimension,CD)が小さくなる。リソグラフィにおける光源解像度の制限を克服するため、スペーサー自己統合型ダブルパターニング(spacer self-aligned double patterning,SADP)の方法が開発され、素子の集積度を増やすことができるようになった。しかし、現行の技術では、メモリの複数のワード線を定義する時、周辺部に隣接するワード線の線幅が周辺部の影響を受けて、ターゲット値まで正確に制御することが難しく、ワード線の限界寸法均一性(critical dimension uniformity,CDU)を低下させ、さらには素子の機能を下げることもある。
本発明は、メモリのワード線の限界寸法均一性をより高めることのできるパターニング方法およびメモリ素子の形成方法を提供する。
本発明は、パターニング方法を提供する。基板を提供する。基板は、第1領域および第2領域を有する。基板上に、ターゲット層、犠牲層および第1マスク層を順番に形成する。第1マスク層は、第1領域内にある複数の第1マスクパターンおよび第2領域内にある複数の第2マスクパターンを有する。第1マスクパターンをマスクとして、一部の犠牲層を除去し、複数の犠牲パターンを形成する。第1マスク層を除去する。各犠牲パターンの各側壁にスペーサーを形成する。犠牲パターンを除去する。少なくとも第2領域内のスペーサーを除去する。基板上に第2マスク層を形成し、第2領域に隣接する一部の第1領域を覆う。第2マスク層および残りのスペーサーをマスクとして、一部のターゲット層を除去し、第1領域上に複数の第1ターゲットパターンを形成するとともに、第2領域に隣接する一部の第1領域上に第2ターゲットパターンを形成し、第2マスク層および残りのスペーサーを除去する。
本発明の1つの実施形態において、前記第2ターゲットパターンの1つの側辺は、残りの前記スペーサーのうちの1つにより定義され、もう1つの側辺は、前記第2マスク層により定義される。
本発明の1つの実施形態において、前記第1領域は、セル領域であり、前記第2領域は、周辺領域である。
本発明の1つの実施形態において、前記基板は、さらに、第3領域を有する。前記第2領域は、前記第1領域と前記第3領域の間に位置する。前記第1マスク層は、さらに、前記第3領域内にある複数の第3マスクパターンを有する。前記第2マスク層は、さらに、前記第2領域に隣接する一部の前記第3領域を覆う。また、前記第2マスク層および残りの前記スペーサーをマスクとして、一部の前記ターゲット層を除去し、さらに、前記第3領域上に複数の第3ターゲットパターンを形成するとともに、前記第2領域に隣接する一部の前記第3領域上に前記第2ターゲットパターンを形成することを含む。
本発明の1つの実施形態において、前記第1領域および前記第3領域は、セル領域であり、前記第2領域は、周辺領域である。
本発明の1つの実施形態において、前記第2ターゲットパターンの1つの側辺は、それぞれ残りの前記スペーサーのうちの1つにより定義され、もう1つの側辺は、それぞれ前記第2マスク層により定義される。
本発明の1つの実施形態において、上述した第1マスクパターンと第3マスクパターンは、同じ線幅および同じ間隔を有する。
本発明の1つの実施形態において、上述した第1マスクパターンと第3マスクパターンは、異なる線幅および異なる間隔を有する。
本発明の1つの実施形態において、上述した第1領域に隣接する一部の第2マスクパターンと第1領域の第1マスクパターンは、同じ線幅および同じ間隔を有する。
本発明の1つの実施形態において、上述した第3領域に隣接する一部の第2マスクパターンと第3領域の第3マスクパターンは、同じ線幅および同じ間隔を有する。
本発明の1つの実施形態において、上述した少なくとも第2領域内のスペーサーを除去する方法は、以下のステップを含む。基板上に第3マスク層を形成して、一部の第1領域および一部の第3領域を覆い、少なくとも第2領域を露出させる。第3マスク層をマスクとして、第2領域内のスペーサーを除去するとともに、同時に、第1領域および第3領域内の一部のスペーサーを除去し、犠牲パターン末端に対応するスペーサーのループ(loop)を切断する。第3マスク層を除去する。
本発明の1つの実施形態において、前記基板は、さらに、第4領域を有し、前記第1領域は、前記第2領域と前記第4領域の間に位置する。前記第1マスク層は、さらに、前記第4領域内にある複数の第3マスクパターンを有する。前記第2マスク層は、さらに、前記第4領域に隣接する一部の前記第1領域を覆う。前記第2マスク層および残りの前記スペーサーをマスクとして、一部の前記ターゲット層を除去し、さらに、前記第4領域に隣接する一部の前記第1領域上に前記第2ターゲットパターンを形成することを含む。
本発明の1つの実施形態において、前記第1領域は、セル領域であり、前記第2領域および前記第4領域は、周辺領域である。
本発明の1つの実施形態において、前記第2ターゲットパターンの1つの側辺は、残りの前記スペーサーのうちの1つにより定義され、もう1つの側辺は、前記第2マスク層により定義される。
本発明の1つの実施形態において、前記第1領域に隣接する一部の前記第2マスクパターンおよび前記第4領域に隣接する一部の前記第3マスクパターンと、前記第1領域の前記第1マスクパターンは、同じ線幅および同じ間隔を有する。
本発明の1つの実施形態において、少なくとも前記第2領域内の前記スペーサーを除去する方法は、以下のステップを含む。前記基板上に第3マスク層を形成して、一部の前記第1領域を覆い、少なくとも前記第2領域および前記第4領域を露出させる。前記第3マスク層をマスクとして、前記第2領域および前記第4領域内の前記スペーサーを除去するとともに、同時に、前記第1領域内の一部の前記スペーサーを除去し、前記犠牲パターン末端に対応する前記スペーサーのループを切断する。前記第3マスク層を除去する。
本発明の1つの実施形態において、前記第1領域に隣接する一部の前記第2マスクパターンと前記第1領域の前記第1マスクパターンは、同じ線幅および同じ間隔を有する。
本発明の1つの実施形態において、前記第1マスク層を形成した後、および前記犠牲パターンを形成する前に、さらに、前記第1マスク層を削減することを含む。前記第1マスク層を削減する方法は、エッチング工程を行うことを含む。
本発明の1つの実施形態において、少なくとも前記第2領域内の前記スペーサーを除去する方法は、以下のステップを含む。前記基板上に第3マスク層を形成して、一部の前記第1領域を覆い、少なくとも前記第2領域を露出させる。前記第3マスク層をマスクとして、前記第2領域内の前記スペーサーを除去するとともに、同時に、前記第1領域内の一部の前記スペーサーを除去し、前記犠牲パターン末端に対応する前記スペーサーのループを切断する。前記第3マスク層を除去する。
本発明の1つの実施形態において、前記第1マスク層を形成する方法は、フォトマスクにより犠牲層上に第1マスク層を形成することを含む。
本発明の1つの実施形態において、上述したフォトマスクの形成方法は、最初のフォトマスクデータのうち第2ターゲットパターンを定義するために用いるデータを除去し、除去された領域および隣接する空き領域に複数のダミーパターン(dummy pattern)データを追加する。
本発明は、また、メモリ素子の形成方法を提出する。基板を提供する。基板は、少なくとも1つのセル領域(cell area)および少なくとも1つの周辺領域(periphery area)を有する。基板上にターゲット層、犠牲層および第1マスク層を順番に形成する。第1マスク層は、セル領域内にある複数の第1マスクパターンおよび周辺領域内にある複数の第2マスクパターンを有する。第1マスク層をマスクとして、一部の犠牲層を除去し、複数の犠牲パターンを形成する。第1マスク層を除去する。各犠牲パターンの各側壁にスペーサーを形成する。犠牲パターンを除去する。少なくとも周辺領域内のスペーサーを除去する。基板上に第2マスク層を形成し、周辺領域に隣接する一部のセル領域を覆う。第2マスク層および残りのスペーサーをマスクとして、一部のターゲット層を除去し、セル領域上に複数のワード線を形成するとともに、周辺領域に隣接する一部のセル領域上に複数の選択ゲート(select gate)を形成する。第2マスク層および残りのスペーサーを除去する。
本発明の別の実施形態において、上述した第1マスクパターンと第2マスクパターンは、同じ線幅および同じ間隔を有する。
本発明の別の実施形態において、上述したセル領域に隣接する一部の第2マスクパターンとセル領域の第1マスクパターンは、同じ線幅および同じ間隔を有する。
本発明の別の実施形態において、上述した周辺領域内の前記第2マスクパターンは、鏡面対称を示す。
本発明の別の実施形態において、上述した第1マスク層を形成した後および犠牲パターンを形成する前に、さらに、第1マスク層を削減することを含む。
本発明の別の実施形態において、上述した第1マスク層を削減する方法は、エッチング工程を行うことを含む。
本発明の別の実施形態において、上述した少なくとも周辺領域内のスペーサーを除去する方法は、以下のステップを含む。基板上に第3マスク層を形成して、一部のセル領域を覆い、少なくとも周辺領域を露出させる。第3マスク層をマスクとして、周辺領域内のスペーサーを除去するとともに、同時に、セル領域内の一部のスペーサーを除去して、犠牲パターン末端に対応するスペーサーのループを除去する。第3マスク層を除去する。
本発明の別の実施形態において、前記各選択ゲートの1つの側辺は、残りのスペーサーのうちの1つにより定義され、もう1つの側辺は、第2マスク層により定義される。
本発明の別の実施形態において、上述した第1マスク層を形成する方法は、フォトマスクにより犠牲層上に第1マスク層を形成することを含む。
本発明の別の実施形態において、上述したフォトマスクの形成方法は、最初のフォトマスクデータのうち選択ゲートを定義するために用いるデータを除去するとともに、除去された領域および隣接する空き領域内に複数のダミーパターンデータを追加することを含む。
以上のように、本発明のパターニング方法およびメモリ素子の形成方法では、まず、最初のフォトマスクデータのうち密集領域の選択ゲートを除去するとともに、除去された領域および密集領域に隣接する空き領域中に、同じ線幅および同じ間隔の複数のダミーパターンを追加して、修正されたフォトマスクデータを形成する。修正された前記フォトマスクにより空き領域中にダミーパターンを追加するため、修正された前記フォトマスクによりワード線を定義する時に、周知の周辺領域に隣接するワード線が周辺領域の影響を受けて限界寸法均一性が悪くなる問題を回避することができる。このようにして、フォトマスクの数を変えずに、メモリのワード線の限界寸法均一性を高めることができる。
本発明の上記および他の目的、特徴、および利点をより分かり易くするため、図面と併せた幾つかの実施形態を以下に説明する。
図1a〜図1mは、本発明の1つの実施形態に係るパターニング方法の断面概略図である。 図2a〜図2cは、本発明の1つの実施形態に係る第1フォトマスクの設計フローチャートである。図2c−1〜図2c−2は、本発明の別の実施形態に係る第1フォトマスク設計である。 図3a〜図3gは、本発明の別の実施形態に係るパターニング方法の断面概略図である。 図4は、本発明のパターニング方法および周知の方法から得られた限界寸法均一性の結果を比較した比較図である。
図1a〜図1mは、本発明の1つの実施形態に係るパターニング方法の断面概略図である。
図1aを参照すると、まず、基板100を提供する。基板100は、第1領域110、第2領域120および第3領域130を有し、第2領域120は、第1領域110と第3領域130の間に位置する。基板100は、例えば、シリコン基板またはその他の半導体基板である。1つの実施形態において、第1領域110および第3領域130は、例えば、メモリのセル領域であり、且つ、第2領域120は、例えば、メモリの周辺領域または空き領域である。また、基板100上に、ターゲット層102および犠牲層104を順番に形成する。ターゲット層102は、例えば、単一層または堆積層である。1つの実施形態において、ターゲット層102が、例えば、単一層である時、材料は、例えば、ドープされたポリシリコンである。別の実施形態において、ターゲット層102が、例えば、誘電層と導電層の堆積層である時、材料は、例えば、それぞれ酸化ケイ素およびドープされたポリシリコンである。ターゲット層102の形成方法は、例えば、化学気相成長法(chemical vapor deposition,CVD)である。犠牲層104の材料は、例えば、カーボン層またはその他の適切な材料であり、その形成方法は、例えば、化学気相成長法である。
続いて、犠牲層104上にマスク層106を形成する。マスク層106は、例えば、パターニングされたフォトレジスト層であり、その形成方法は、例えば、リソグラフィである。マスク層106は、第1領域110内にある複数の第1マスクパターン106a、第2領域120内にある複数の第2マスクパターン106bおよび第3領域130内にある複数の第3マスクパターン106cを有する。第2マスクパターン106bは、第2マスクパターン106b−1および第2マスクパターン106b−2を含む。また、第1領域110に隣接する一部の第2マスクパターン106b−1と第1領域110の第1マスクパターン106aは、同じ線幅および同じ間隔を有し、第3領域130に隣接する一部の第2マスクパターン106b−2と第3領域130の第3マスクパターン106cは、同じ線幅および同じ間隔を有する。
1つの実施形態において、第1マスクパターン106aおよび第3マスクパターン106cは、メモリのワード線を形成するために用いられるため、第1マスクパターン106aと第3マスクパターン106cは、同じ線幅および同じ間隔を有することができる。すなわち、第1マスクパターン106aの線幅Wと間隔Pは、それぞれ第3マスクパターン106cの線幅Wと間隔Pと同じである。また、第1領域110に隣接する一部の第2マスクパターン106b−1と第1領域110の第1マスクパターン106aは、同じ線幅および同じ間隔を有し、第3領域130に隣接する一部の第2マスクパターン106b−2と第3領域130の第3マスクパターン106cは、同じ線幅および同じ間隔を有するため、線幅W、WおよびWは全て同じであり、且つ、間隔P、PおよびPは全て同じである。例を挙げて説明すると、線幅W、WおよびWは、約10nm〜150nmであり、且つ、間隔P、PおよびPは、約20nm〜300nmである。しかしながら、本発明はこれに限定されない。別の実施形態において、第1マスクパターン106aと第3マスクパターン106cは、異なる線幅または異なる間隔を有してもよい。この時、第1領域110に隣接する一部の第2マスクパターン106b−1と第3領域130に隣接する一部の第2マスクパターン106b−2の線幅および間隔は異なる。
本実施形態において、マスク層106を形成する方法は、第1フォトマスク(図示せず)により犠牲層104上にマスク層106を形成することを含む。
マスク層106を形成するための第1フォトマスクの設計概念は、マスク層106において、第1領域110に隣接する一部の第2マスクパターン106b−1と第1領域110の第1マスクパターン106aが同じ線幅および同じ間隔を有するようにし、第3領域130に隣接する一部の第2マスクパターン106b−2と第3領域130の第3マスクパターン106cが同じ線幅および同じ間隔を有するようにすることである。第1マスクパターン106aと第3マスクパターン106cが同じ線幅および同じ間隔を有する時、一部の第2マスクパターン106b−1と一部の第2マスクパターン106b−2も同じ線幅および同じ間隔を有する。この時、第2領域120の中心部分にある少なくとも1つの第2マスクパターン106bは、一部の第2マスクパターン106b−1、106b−2と同じ線幅および同じ間隔を有してもよく、あるいは、第2領域120の中心部分にある少なくとも1つの第2マスクパターン106bは、一部の第2マスクパターン106b−1、106b−2と異なる線幅または異なる間隔を有してもよい。また、第1マスクパターン106aと第3マスクパターン106cが異なる線幅または異なる間隔を有する時、一部の第2マスクパターン106b−1と一部の第2マスクパターン106b−2も異なる線幅または異なる間隔を有する。この時、第2領域120の中心部分にある少なくとも1つの第2マスクパターン106bは、一部の第2マスクパターン106b−1のみと同じ線幅および同じ間隔を有してもよく、または、一部の第2マスクパターン106b−2のみと同じ線幅および同じ間隔を有してもよく、あるいは、第2領域120の中心部分にある少なくとも1つの第2マスクパターン106bは、一部の第2マスクパターン106b−1、106b−2のいずれとも異なる線幅または異なる間隔を有してもよい。以下に、第1マスクパターン106aと第3マスクパターン106cが同じ線幅および同じ間隔を有する実施例を用いて、第1フォトマスクの設計フローについて例示的に説明する。
図2a〜図2cは、本発明の1つの実施形態に係る第1フォトマスクの設計フローチャートである。図2a〜図2cを参照すると、上述した第1フォトマスクの形成方法は、以下のステップを含む。最初のフォトマスクデータ202は、2つの密集領域210および1つの空き領域220を含む。空き領域220は、密集領域210の間に配置される。第1ターゲットパターンデータ202a、第2ターゲットパターンデータ202bおよび第3ターゲットパターンデータ202cは、密集領域210内に位置する。第1ターゲットパターンデータ202aおよび第3ターゲットパターンデータ202cは、例えば、ワード線データであり、第2ターゲットパターンデータ202bは、例えば、選択ゲートデータである。
最初のフォトマスクデータ202内の第2ターゲットパターンデータ202bを除去して、図2bのようなフォトマスクデータ204を形成する。続いて、除去された領域211および隣接する空き領域220中に複数のダミーパターンデータ202dを追加して、図2cのようなフォトマスクデータ206を形成する。
1つの実施形態において、除去された領域211および隣接する空き領域220内の複数のダミーパターンデータは、鏡面対称を示す。しかしながら、本発明はこれに限定されず、除去された領域211および隣接する空き領域220内の複数のダミーパターンデータは、鏡面対称を示さなくてもよい。1つの実施形態において、除去された領域211および隣接する空き領域220の距離がちょうど良く同じ線幅および同じ間隔のダミーパターンデータを追加した時、図2cのような配置を形成する。別の実施形態において、除去された領域211および隣接する空き領域220の距離がちょうど良く同じ線幅および同じ間隔のダミーパターンデータを追加できない時、空き領域220の中心部分に2つのダミーパターンデータ202eまたは1つのダミーパターンデータ202fを追加してもよい。具体的に説明すると、空き領域220の中心部分に2つのダミーパターンデータ202eを追加した時、複数のダミーパターンデータ202d、202eは、図2c−1に示したフォトマスクデータ206−1のような鏡面対称を示す。空き領域220の中心部分に1つのダミーパターンデータ202fを追加した時、複数のダミーパターンデータ202d、202eは、図2c−2に示したフォトマスクデータ206−2のような鏡面対称を示す。
図1bを参照すると、本実施形態において、マスク層106を形成した後にマスク層106を削減し、削減されたマスク層116を形成する。マスク層106を削減する方法は、ドライエッチング等のエッチング工程を行うことを含む。削減されたマスク層116の線幅は、例えば、約5nm〜80nmである。しかしながら、本発明はこれに限定されず、必要に応じてマスク層106を削減するステップを省略してもよい。
図1c〜図1dを参照すると、その後、削減されたマスク層116をマスクとして、一部の犠牲層104を除去し、複数の犠牲パターン114を形成する。一部の犠牲層104を除去する方法は、例えば、ドライエッチング工程またはウェットエッチング工程を行うことである。続いて、削減されたマスク層116を除去する。削減されたマスク層116を除去する方法は、例えば、ウェットエッチング工程を行うことである。
図1eおよび図1fを参照すると、その後、各犠牲パターン114とターゲット層102の上にスペーサー層108を順応的に(conformally)形成するとともに、スペーサー層108に対して異方性エッチング(anisotropic etching)工程を行い、各犠牲パターン114の各側壁114a上にスペーサー118を形成する。スペーサー層108の材料は、例えば、酸化ケイ素またはその他の絶縁材料であり、その形成方法は、例えば、化学気相成長法である。スペーサー層108の厚さは、例えば、約5nm〜80nmであり、且つ、スペーサー118の線幅は、例えば、約5nm〜80nmである。必要なスペーサー118の線幅は、スペーサー層108の厚さにより制御することができる。
図1gを参照すると、続いて、犠牲パターン114を除去する。犠牲パターン114を除去する方法は、例えば、ドライエッチング工程またはウェットエッチング工程を行うことである。
図1h、図1iおよび図1jを参照すると、続いて、少なくとも第2領域120内のスペーサー118を除去する。スペーサー118を除去する方法は、例えば、ドライエッチング工程またはウェットエッチング工程を行うことである。本実施形態において、少なくとも第2領域120内のスペーサー118を除去する方法は、以下のステップを含む。基板100上にマスク層142を形成し、マスク層142が一部の第1領域110および一部の第3領域130を覆い、第2領域120と、第1領域110および第3領域130において犠牲パターン114末端に対応するスペーサー118(図示せず)を露出させる。マスク層142は、例えば、パターニングされたフォトレジスト層であり、その形成方法は、例えば、第2フォトマスク(図示せず)を用いてリソグラフィを行うことである。マスク層142をマスクとして、第2領域120内のスペーサー118を除去するとともに、同時に、第1領域110および第3領域130内の一部のスペーサー118を除去して、犠牲パターン114末端に対応するスペーサー118のループを切断する。続いて、マスク層142を除去する。マスク層142を除去する方法は、例えば、ウェットエッチング工程を行うことである。
図1k、図1lおよび図1mを参照すると、その後、基板100上にマスク層150を形成し、マスク層150が第2領域120に隣接する一部の第1領域110および一部の第3領域130を覆う。マスク層150は、例えば、パターニングされたフォトレジスト層であり、その形成方法は、例えば、第3フォトマスク(図示せず)を用いてリソグラフィを行うことである。マスク層150および残りのスペーサー118をマスクとして、一部のターゲット層102を除去し、パターニングされたターゲット層112を形成する。一部のターゲット層102を除去する方法は、例えば、ドライエッチング工程を行うことである。つまり、マスク層150および残りのスペーサー118をマスクとして、一部のターゲット層102を除去し、第1領域110上に複数の第1ターゲットパターン112a、第3領域130上に複数の第3ターゲットパターン112cを形成するともに、第2領域120に隣接する一部の第1領域110と第2領域120に隣接する一部の第3領域130上にそれぞれ第2ターゲットパターン112bを形成する。1つの実施形態において、各第2ターゲットパターン112bの1つの側辺111aは、残りのスペーサー118のうちの1つにより定義され、もう1つの側辺111bは、マスク層150(または第3フォトマスク)により定義される。第1ターゲットパターン112aの線幅は、例えば、約5nm〜80nmであり、第2ターゲットパターン112bの線幅は、例えば、80nmよりも大きく、第3ターゲットパターン112cの線幅は、例えば、約5nm〜80nmである。1つの実施形態において、第1ターゲットパターン112aおよび第3ターゲットパターン112cをメモリのワード線として使用し、第2ターゲットパターン112bを選択ゲートとして使用する。続いて、マスク層150および残りのスペーサー118を除去する。マスク層150を除去する方法は、例えば、ウェットエッチング工程を行うことである。スペーサー118を除去する方法は、例えば、ドライエッチング工程またはウェットエッチング工程を行うことである。
以上の実施形態では、第1領域110および第3領域130をメモリのセル領域とし、第1領域110と第3領域130の間に挟まれた第2領域120をメモリの周辺領域(または空き領域)として説明した。しかしながら、本発明はこれに限定されず、本発明の周辺領域(または空き領域)は、必ずしも2つのセル領域の間に挟まれる必要はない。本発明は、メモリセル領域と周辺領域(または空き領域)の隣接するパターニング工程に応用して、周知の周辺領域に隣接するパターンの線幅が周辺領域の影響を受けて限界寸法均一性が悪くなる問題を回避することができる。以下に、その他2種の異なる実施例を挙げて説明するが、本発明はこれに限定されない。
別の実施形態において、図1mを参照すると、基板100は、第1領域110および第2領域120を含むが、第3領域130を含まない。第1領域110は、メモリのセル領域であり、第2領域120は、メモリの周辺領域または空き領域である。本実施例のパターニング方法は、上述した図1a〜図1mで開示した方法を用いることができるため、ここでは繰り返し説明しない。
図3a〜図3gは、本発明の別の実施形態に係るパターニング方法の断面概略図である。
別の実施形態において、図3aを参照すると、基板100は、第1領域110および第2領域120を含み、さらに、第4領域140を含むが、図1aの第3領域130は含まない。第1領域110は、第4領域140と第2領域120の間に位置する。1つの実施形態において、第1領域110は、例えば、メモリのセル領域であり、且つ第2領域120および第4領域140は、例えば、メモリの周辺領域である。上述した図1a〜図1gで開示した方法に基づき、第1領域110、第2領域120および第4領域140上にターゲット層102およびスペーサー層108を形成する。
そして、図3b、図3cおよび図3dを参照すると、続いて、少なくとも第2領域120および第4領域140内のスペーサー118を除去する。スペーサー118を除去する方法は、例えば、ドライエッチング工程またはウェットエッチング工程を行うことである。本実施形態において、少なくとも第2領域120および第4領域140内のスペーサー118を除去する方法は、以下のステップを含む。基板100上にマスク層242を形成し、マスク層242が一部の第1領域110を覆い、第2領域120および第4領域140と、第1領域110内の犠牲パターン114末端に対応するスペーサー118(図示せず)を露出させる。マスク層242は、例えば、パターニングされたフォトレジスト層であり、その形成方法は、例えば、第2フォトレジスト(図示せず)を用いてリソグラフィを行うことである。マスク層242をマスクとして、第2領域120および第4領域140内のスペーサー118を除去するとともに、同時に、第1領域110内の一部のスペーサー118を除去して、犠牲パターン114末端に対応するスペーサー118のループを切断する。続いて、マスク層242を除去する。マスク層242を除去する方法は、例えば、ウェットエッチング工程を行うことである。
図3e、図3fおよび図3gを参照すると、その後、基板100上にマスク層250を形成し、マスク層250が第2領域120および第4領域140に隣接する一部の第1領域110を覆う。マスク層250は、例えば、パターニングされたフォトレジスト層であり、その形成方法は、例えば、第3フォトレジスト(図示せず)を用いてリソグラフィを行うことである。マスク層250および残りのスペーサー118をマスクとして、一部のターゲット層102を除去し、パターニングされたターゲット層112を形成する。一部のターゲット層102を除去する方法は、例えば、ドライエッチング工程を行うことである。つまり、マスク層250および残りのスペーサー118をマスクとして、一部のターゲット層102を除去し、第1領域110上に複数の第1ターゲットパターン112aを形成するとともに、第2領域120および第4領域140に隣接する一部の第1領域110上にそれぞれ第2ターゲットパターン112bを形成する。1つの実施形態において、各第2ターゲットパターン112bの1つの側辺111aは、残りのスペーサー118のうちの1つにより定義され、もう1つの側辺111bは、マスク層250(または第3フォトマスク)により定義される。第1ターゲットパターン112aの線幅は、例えば、約5nm〜80nmであり、第2ターゲットパターン112bの線幅は、例えば、80nmよりも大きい。1つの実施形態において、第1ターゲットパターン112aをメモリのワード線として使用し、第2ターゲットパターン112bを選択ゲートとして使用する。続いて、マスク層250および残りのスペーサー118を除去する。マスク層250を除去する方法は、例えば、ウェットエッチング工程を行うことである。スペーサー118を除去する方法は、例えば、ドライエッチング工程またはウェットエッチング工程を行うことである。
図4は、本発明のパターニング方法および周知の方法から得られた限界寸法均一性の結果を比較した比較図である。曲線410は、周知の方法を用いて得られた限界寸法(すなわち、ワード線の線幅)の分布であり、曲線420は、本発明のパターニング方法を用いて得られた限界寸法(すなわち、ワード線の線幅)の分布である。図中のワード線の位置は、セル領域中のワード線の位置の連続番号であり、曲線410、420の両端は、それぞれセル領域において周辺領域に隣接する2つのワード線である。図4の曲線410において、周知の方法(例えば、図2aに示した最初のフォトマスクデータ202を用いてマスク層を形成する方法)の限界寸法の分布範囲は、10nmよりも大きく、図4の曲線420において、本発明のパターニング方法(例えば、図2c(あるいは、図2c−1または図2c−2)に示したフォトマスクデータ206(あるいは、フォトマスクデータ206−1またはフォトマスクデータ206−2)を用いてマスク層を形成する方法)による限界寸法の分布範囲は、3nmよりも小さい。したがって、本発明のパターニング方法により、周知の方法のワード線の境界に位置する限界寸法を正確に制御することが難しいという問題を改善することができ、限界寸法均一性をより高めることができる。
以上のように、本発明のパターニング方法およびメモリ素子の形成方法において、まず、最初のフォトデータ中の密集領域の選択ゲートを除去し、除去した領域および密集領域に隣接する空き領域中に同じ線幅および同じ間隔の複数のダミーパターンを追加して、修正されたフォトマスクデータを形成する。修正されたフォトマスクによりワード線を定義する。境界に位置するワード線は、同じ線幅および同じ間隔を有するダミーパターンに隣接するため、本発明のパターニング方法およびメモリ素子の形成方法は、現行の技術のワード線の境界に位置する限界寸法を精確に制御することが難しい(限界寸法均一性が悪い)という問題を回避することができる。続いて、不必要なダミーパターンを除去する。その後、選択ゲートを形成する。このようにして、フォトマスクの数を変えずに、メモリのワード線の限界寸法均一性をより高めることができる。
以上のごとく、この発明を実施形態により開示したが、もとより、この発明を限定するためのものではなく、当業者であれば容易に理解できるように、この発明の技術思想の範囲内において、適当な変更ならびに修正が当然なされうるものであるから、その特許権保護の範囲は、特許請求の範囲および、それと均等な領域を基準として定めなければならない。
100 基板
102 ターゲット層
104 犠牲層
106、142、150、242、250 マスク層
106a 第1マスクパターン
106b、106b−1、106b−2 第2マスクパターン
106c 第3マスクパターン
108 スペーサー層
110 第1領域
111a、111b 側辺
112 パターニングされたターゲット層
112a 第1ターゲットパターン
112b 第2ターゲットパターン
112c 第3ターゲットパターン
114 犠牲パターン
114a 側壁
116 削減されたマスク層
118 スペーサー
120 第2領域
130 第3領域
140 第4領域
202 最初のフォトマスクデータ
202a 第1ターゲットパターンデータ
202b 第2ターゲットパターンデータ
202c 第3ターゲットパターンデータ
204、206、206−1、206−2 フォトマスクデータ
202d、202e、202f ダミーパターンデータ
210 密集領域
211 除去された領域
220 空き領域
410、420 曲線
、P、P 間隔
、W、W 線幅
本発明において、前記第2ターゲットパターンの1つの側辺は、残りの前記スペーサーのうちの1つにより定義され、もう1つの側辺は、前記第2マスク層により定義される。
本発明は、また、メモリ素子の形成方法を提出する。基板を提供する。基板は、少なくとも1つのセル領域(cell area)および少なくとも1つの周辺領域(periphery area)を有する。基板上にターゲット層、犠牲層および第1マスク層を順番に形成する。第1マスク層は、セル領域内にある複数の第1マスクパターンおよび周辺領域内にある複数の第2マスクパターンを有する。第1マスク層をマスクとして、一部の犠牲層を除去し、複数の犠牲パターンを形成する。第1マスク層を除去する。各犠牲パターンの各側壁にスペーサーを形成する。犠牲パターンを除去する。少なくとも周辺領域内のスペーサーを除去する。基板上に第2マスク層を形成し、周辺領域に隣接する一部のセル領域を覆う。第2マスク層および残りの前記スペーサーのうちの最も前記第2マスク層寄りに形成されたスペーサーをマスクとして、一部のターゲット層を除去し、セル領域上に複数のワード線を形成するとともに、周辺領域に隣接する一部のセル領域上に複数の選択ゲート(select gate)を形成する。第2マスク層および残りのスペーサーを除去する。

Claims (30)

  1. 第1領域および第2領域を有する基板を提供することと、
    前記基板上に、ターゲット層、犠牲層および第1マスク層を順番に形成し、前記第1マスク層が、前記第1領域内にある複数の第1マスクパターンおよび前記第2領域内にある複数の第2マスクパターンを有することと、
    前記第1マスクパターンをマスクとして、一部の前記犠牲層を除去し、複数の犠牲パターンを形成することと、
    前記第1マスク層を除去することと、
    前記各犠牲パターンの各側壁にスペーサーを形成することと、
    前記犠牲パターンを除去することと、
    少なくとも前記第2領域内の前記スペーサーを除去することと、
    前記基板上に第2マスク層を形成し、前記第2領域に隣接する一部の前記第1領域を覆うことと、
    前記第2マスク層および残りの前記スペーサーをマスクとして、一部の前記ターゲット層を除去し、前記第1領域上に複数の第1ターゲットパターンを形成するとともに、前記第2領域に隣接する一部の前記第1領域上に第2ターゲットパターンを形成することと、
    前記第2マスク層および残りの前記スペーサーを除去すること
    を含むパターニング方法。
  2. 前記第2ターゲットパターンの1つの側辺が、残りの前記スペーサーのうちの1つにより定義され、もう1つの側辺が、前記第2マスク層により定義される請求項1に記載のパターニング方法。
  3. 前記第1領域が、セル領域であり、前記第2領域が、周辺領域である請求項1に記載のパターニング方法。
  4. 前記基板が、さらに、第3領域を有し、前記第2領域が、前記第1領域と前記第3領域の間に位置し、
    前記第1マスク層が、さらに、前記第3領域内にある複数の第3マスクパターンを有し、
    前記第2マスク層が、さらに、前記第2領域に隣接する一部の前記第3領域を覆い、
    前記第2マスク層および残りの前記スペーサーをマスクとして、一部の前記ターゲット層を除去し、さらに、前記第3領域上に複数の第3ターゲットパターンを形成するとともに、前記第2領域に隣接する一部の前記第3領域上に前記第2ターゲットパターンを形成することを含む請求項1に記載のパターニング方法。
  5. 前記第1領域および前記第3領域が、セル領域であり、前記第2領域が、周辺領域である請求項4に記載のパターニング方法。
  6. 前記第2ターゲットパターンの1つの側辺が、それぞれ残りの前記スペーサーのうちの1つにより定義され、もう1つの側辺が、それぞれ前記第2マスク層により定義される請求項4に記載のパターニング方法。
  7. 前記第1マスクパターンと前記第3マスクパターンは、同じ線幅および同じ間隔を有する請求項4に記載のパターニング方法。
  8. 前記第1マスクパターンと前記第3マスクパターンが、異なる線幅および異なる間隔を有する請求項4に記載のパターニング方法。
  9. 前記第1領域に隣接する一部の前記第2マスクパターンと前記第1領域の前記第1マスクパターンが、同じ線幅および同じ間隔を有する請求項4に記載のパターニング方法。
  10. 前記第3領域に隣接する一部の前記第2マスクパターンと前記第3領域の前記第3マスクパターンが、同じ線幅および同じ間隔を有する請求項4に記載のパターニング方法。
  11. 少なくとも前記第2領域内の前記スペーサーを除去する方法が、
    前記基板上に第3マスク層を形成して、一部の前記第1領域および一部の前記第3領域を覆い、少なくとも前記第2領域を露出させることと、
    前記第3マスク層をマスクとして前記第2領域内の前記スペーサーを除去するとともに、同時に、前記第1領域および前記第3領域内の一部の前記スペーサーを除去し、前記犠牲パターン末端に対応する前記スペーサーのループを切断することと、
    前記第3マスク層を除去すること
    を含む請求項4に記載のパターニング方法。
  12. 前記基板が、さらに、第4領域を有し、前記第1領域が、前記第2領域と前記第4領域の間に位置し、
    前記第1マスク層が、さらに、前記第4領域内にある複数の第3マスクパターンを有し、
    前記第2マスク層が、さらに、前記第4領域に隣接する一部の前記第1領域を覆い、
    前記第2マスク層および残りの前記スペーサーをマスクとして、一部の前記ターゲット層を除去し、さらに、前記第4領域に隣接する一部の前記第1領域上に前記第2ターゲットパターンを形成することを含む請求項1に記載のパターニング方法。
  13. 前記第1領域が、セル領域であり、前記第2領域および前記第4領域が、周辺領域である請求項12に記載のパターニング方法。
  14. 前記第2ターゲットパターンの1つの側辺が、残りの前記スペーサーのうちの1つにより定義され、もう1つの側辺が、前記第2マスク層により定義される請求項12に記載のパターニング方法。
  15. 前記第1領域に隣接する一部の前記第2マスクパターンおよび前記第4領域に隣接する一部の前記第3マスクパターンと、前記第1領域の前記第1マスクパターンが、同じ線幅および同じ間隔を有する請求項12に記載のパターニング方法。
  16. 少なくとも前記第2領域内の前記スペーサーを除去する方法が、
    前記基板上に第3マスク層を形成して、一部の前記第1領域を覆い、少なくとも前記第2領域および前記第4領域を露出させることと、
    前記第3マスク層をマスクとして、前記第2領域および前記第4領域内の前記スペーサーを除去するとともに、同時に、前記第1領域内の一部の前記スペーサーを除去し、前記犠牲パターン末端に対応する前記スペーサーのループを切断することと、
    前記第3マスク層を除去すること
    を含む請求項12に記載のパターニング方法。
  17. 前記第1領域に隣接する一部の前記第2マスクパターンと前記第1領域の前記第1マスクパターンが、同じ線幅および同じ間隔を有する請求項1に記載のパターニング方法。
  18. 前記第1マスク層を形成した後および前記犠牲パターンを形成する前に、さらに、前記第1マスク層を削減することを含み、前記第1マスク層を削減する方法が、エッチング工程を行うことを含む請求項1に記載のパターニング方法。
  19. 少なくとも前記第2領域内の前記スペーサーを除去する方法が、
    前記基板上に第3マスク層を形成して、一部の前記第1領域を覆い、少なくとも前記第2領域を露出させることと、
    前記第3マスク層をマスクとして、前記第2領域内の前記スペーサーを除去するとともに、同時に、前記第1領域内の一部の前記スペーサーを除去し、前記犠牲パターン末端に対応する前記スペーサーのループを切断することと、
    前記第3マスク層を除去すること
    を含む請求項1に記載のパターニング方法。
  20. 前記第1マスク層を形成する方法が、フォトマスクにより前記犠牲層上に前記第1マスク層を形成することを含む請求項1に記載のパターニング方法。
  21. 前記フォトマスクの形成方法が、
    最初のフォトマスクデータのうち前記第2ターゲットパターンを定義するために用いるデータを除去することと、
    除去された領域および隣接する空き領域に複数のダミーパターンデータを追加すること
    を含む請求項20に記載のパターニング方法。
  22. 少なくとも1つのセル領域および少なくとも1つの周辺領域を有する基板を提供することと、
    前記基板上にターゲット層、犠牲層および第1マスク層を順番に形成し、前記第1マスク層が、前記セル領域内にある複数の第1マスクパターンおよび前記周辺領域内にある複数の第2マスクパターンを有することと、
    前記第1マスク層をマスクとして、一部の前記犠牲層を除去し、複数の犠牲パターンを形成することと、
    前記第1マスク層を除去することと、
    前記各犠牲パターンの各側壁にスペーサーを形成することと、
    前記犠牲パターンを除去することと、
    少なくとも前記周辺領域内の前記スペーサーを除去することと、
    前記基板上に第2マスク層を形成し、前記周辺領域に隣接する一部の前記セル領域を覆うことと、
    前記第2マスク層および残りの前記スペーサーをマスクとして、一部の前記ターゲット層を除去し、前記セル領域上に複数のワード線を形成するとともに、前記周辺領域に隣接する一部の前記セル領域上に複数の選択ゲートを形成することと、
    前記第2マスク層および残りの前記スペーサーを除去すること
    を含むメモリ素子の形成方法。
  23. 前記第1マスクパターンと前記第2マスクパターンが、同じ線幅および同じ間隔を有する請求項22に記載のメモリ素子の形成方法。
  24. 前記セル領域に隣接する一部の前記第2マスクパターンと前記セル領域の前記第1マスクパターンが、同じ線幅および同じ間隔を有する請求項22に記載のメモリ素子の形成方法。
  25. 前記周辺領域内の前記第2マスクパターンが、鏡面対称を示す請求項24に記載のメモリ素子の形成方法。
  26. 前記第1マスク層を形成した後および前記犠牲パターンを形成する前に、さらに、前記第1マスク層を削減することを含むとともに、前記第1マスク層を削減する方法が、エッチング工程を行うことを含む請求項22に記載のメモリ素子の形成方法。
  27. 少なくとも前記周辺領域内の前記スペーサーを除去する方法が、
    前記基板上に第3マスク層を形成して、一部の前記セル領域を覆い、少なくとも前記周辺領域を露出させることと、
    前記第3マスク層をマスクとして、前記周辺領域内の前記スペーサーを除去するとともに、同時に、前記セル領域内の一部の前記スペーサーを除去して、前記犠牲パターン末端に対応する前記スペーサーのループを除去することと、
    前記第3マスク層を除去すること
    を含む請求項22に記載のメモリ素子の形成方法。
  28. 前記各選択ゲートの1つの側辺が、残りの前記スペーサーのうちの1つにより定義され、もう1つの側辺が、前記第2マスク層により定義される請求項22に記載のメモリ素子の形成方法。
  29. 前記第1マスク層を形成する方法が、フォトマスクにより前記犠牲層上に前記第1マスク層を形成することを含む請求項22に記載のメモリ素子の形成方法。
  30. 前記フォトマスクの形成方法が、
    最初のフォトマスクデータのうち前記選択ゲートを定義するために用いるデータを除去することと、
    除去された領域および隣接する空き領域内に複数のダミーパターンデータを追加すること
    を含む請求項29に記載のメモリ素子の形成方法。
JP2013121851A 2013-03-01 2013-06-10 パターニング方法およびメモリ素子の形成方法 Active JP5703339B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
TW102107353 2013-03-01
TW102107353A TWI487004B (zh) 2013-03-01 2013-03-01 圖案化的方法及記憶體元件的形成方法

Publications (2)

Publication Number Publication Date
JP2014170912A true JP2014170912A (ja) 2014-09-18
JP5703339B2 JP5703339B2 (ja) 2015-04-15

Family

ID=51421133

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013121851A Active JP5703339B2 (ja) 2013-03-01 2013-06-10 パターニング方法およびメモリ素子の形成方法

Country Status (5)

Country Link
US (1) US8877647B2 (ja)
JP (1) JP5703339B2 (ja)
KR (1) KR101508368B1 (ja)
CN (1) CN104022021B (ja)
TW (1) TWI487004B (ja)

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9177910B2 (en) 2012-04-18 2015-11-03 Micron Technology, Inc. Interconnect structures for integrated circuits and their formation
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150243511A1 (en) * 2014-02-21 2015-08-27 Kabushiki Kaisha Toshiba Method of forming pattern and photo mask used therein
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) * 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
TWI621210B (zh) * 2014-08-27 2018-04-11 聯華電子股份有限公司 一種製作半導體元件的方法
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
KR102323456B1 (ko) 2014-12-26 2021-11-10 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
CN105845574A (zh) * 2015-01-14 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
KR102323251B1 (ko) 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
KR102343859B1 (ko) * 2015-01-29 2021-12-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9946827B2 (en) 2015-07-16 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for mandrel and spacer patterning
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102491661B1 (ko) 2016-01-12 2023-01-26 삼성전자주식회사 반도체 장치의 제조 방법
KR20170091833A (ko) 2016-02-01 2017-08-10 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9847339B2 (en) * 2016-04-12 2017-12-19 Macronix International Co., Ltd. Self-aligned multiple patterning semiconductor device fabrication
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10354873B2 (en) * 2016-06-08 2019-07-16 Tokyo Electron Limited Organic mandrel protection process
TWI592759B (zh) * 2016-06-08 2017-07-21 力晶科技股份有限公司 結構上的光阻圖案製程
CN107706095B (zh) * 2016-06-20 2020-10-16 中芯国际集成电路制造(北京)有限公司 自对准双重构图方法、半导体器件及其制作方法、电子装置
KR102436634B1 (ko) * 2016-06-27 2022-08-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107634062B (zh) * 2016-07-18 2020-11-17 中芯国际集成电路制造(北京)有限公司 半导体器件及其制作方法、电子装置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
CN108933140B (zh) * 2017-05-26 2020-07-28 中芯国际集成电路制造(天津)有限公司 半导体器件的制造方法
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN107968047A (zh) 2017-11-23 2018-04-27 长江存储科技有限责任公司 一种sadp页缓冲器切断方法及结构
US10727056B2 (en) 2017-11-23 2020-07-28 Yangtze Memory Technologies Co., Ltd. Method and structure for cutting dense line patterns using self-aligned double patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
DE112017008330T5 (de) 2017-12-27 2020-09-03 Intel Corporation Integrierte schaltungen (ics) mit elektromigrations (em) -resistenten segmenten in einer verbindungsebene
WO2019132897A1 (en) 2017-12-27 2019-07-04 Intel Corporation Multiple layer metal-insulator-metal (mim) structure
WO2019132889A1 (en) * 2017-12-27 2019-07-04 Intel Corporation Integrated circuits with line breaks and line bridges within a single interconnect level
WO2019132885A1 (en) 2017-12-27 2019-07-04 Intel Corporation Metal-insulator-metal (mim) structure supporting high voltage applications and low voltage applications
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20200043722A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN111063611B (zh) * 2018-10-17 2024-05-10 长鑫存储技术有限公司 微图案刻蚀方法
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109904062A (zh) * 2019-02-03 2019-06-18 中国科学院微电子研究所 纳米结构的制备方法
CN109950140B (zh) * 2019-04-18 2021-11-05 上海华力微电子有限公司 一种自对准双层图形的形成方法
CN110828466B (zh) * 2019-11-11 2022-03-29 上海华力微电子有限公司 字线制作方法
US11024511B1 (en) * 2020-04-21 2021-06-01 Winbond Electronics Corp. Patterning method

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007150166A (ja) * 2005-11-30 2007-06-14 Toshiba Corp 半導体装置の製造方法
JP2008283164A (ja) * 2007-05-11 2008-11-20 Hynix Semiconductor Inc フラッシュメモリ素子の製造方法
JP2009054956A (ja) * 2007-08-29 2009-03-12 Toshiba Corp 半導体メモリ
JP2009536787A (ja) * 2006-05-10 2009-10-15 ラム リサーチ コーポレーション ピッチの低減
JP2010503995A (ja) * 2006-09-14 2010-02-04 マイクロン テクノロジー, インク. 効率的なピッチマルチプリケーションプロセス
JP2010153481A (ja) * 2008-12-24 2010-07-08 Toshiba Corp 半導体記憶装置
JP2011228432A (ja) * 2010-04-19 2011-11-10 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP2012009869A (ja) * 2010-06-28 2012-01-12 Samsung Electronics Co Ltd 半導体素子及びその製造方法
US20120142194A1 (en) * 2010-12-06 2012-06-07 Hynix Semiconductor Inc. Method of forming semiconductor memory device
JP2012178378A (ja) * 2011-02-25 2012-09-13 Tokyo Electron Ltd 半導体装置の製造方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7763932B2 (en) * 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
CN101276818A (zh) * 2007-03-30 2008-10-01 奇梦达股份公司 存储器件和导电线的阵列及其制造方法
KR100914289B1 (ko) * 2007-10-26 2009-08-27 주식회사 하이닉스반도체 스페이서를 이용한 반도체 메모리소자의 패턴 형성방법
KR101565796B1 (ko) * 2008-12-24 2015-11-06 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
KR101077453B1 (ko) 2009-03-31 2011-10-26 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US8383479B2 (en) * 2009-07-21 2013-02-26 Sandisk Technologies Inc. Integrated nanostructure-based non-volatile memory fabrication
KR20110087976A (ko) * 2010-01-28 2011-08-03 삼성전자주식회사 반도체 소자용 배선 구조물의 형성방법 및 이를 이용하는 비휘발성 메모리 소자의 제조방법
KR101756226B1 (ko) * 2010-09-01 2017-07-11 삼성전자 주식회사 반도체 소자 및 그 반도체 소자의 패턴 형성방법
US8455341B2 (en) * 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007150166A (ja) * 2005-11-30 2007-06-14 Toshiba Corp 半導体装置の製造方法
JP2009536787A (ja) * 2006-05-10 2009-10-15 ラム リサーチ コーポレーション ピッチの低減
JP2010503995A (ja) * 2006-09-14 2010-02-04 マイクロン テクノロジー, インク. 効率的なピッチマルチプリケーションプロセス
JP2008283164A (ja) * 2007-05-11 2008-11-20 Hynix Semiconductor Inc フラッシュメモリ素子の製造方法
JP2009054956A (ja) * 2007-08-29 2009-03-12 Toshiba Corp 半導体メモリ
JP2010153481A (ja) * 2008-12-24 2010-07-08 Toshiba Corp 半導体記憶装置
JP2011228432A (ja) * 2010-04-19 2011-11-10 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP2012009869A (ja) * 2010-06-28 2012-01-12 Samsung Electronics Co Ltd 半導体素子及びその製造方法
US20120142194A1 (en) * 2010-12-06 2012-06-07 Hynix Semiconductor Inc. Method of forming semiconductor memory device
JP2012178378A (ja) * 2011-02-25 2012-09-13 Tokyo Electron Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
TW201435977A (zh) 2014-09-16
TWI487004B (zh) 2015-06-01
KR101508368B1 (ko) 2015-04-07
CN104022021B (zh) 2016-09-28
US8877647B2 (en) 2014-11-04
CN104022021A (zh) 2014-09-03
JP5703339B2 (ja) 2015-04-15
US20140248773A1 (en) 2014-09-04
KR20140109212A (ko) 2014-09-15

Similar Documents

Publication Publication Date Title
JP5703339B2 (ja) パターニング方法およびメモリ素子の形成方法
TWI488238B (zh) 一種半導體線路製程
TWI531032B (zh) 記憶體線路結構以及其半導體線路製程
TWI556384B (zh) 用於製造後段製程(beol)互連之改良覆蓋的對角線硬遮罩
TWI556066B (zh) 執行自對準微影蝕刻製程的方法
JP5432636B2 (ja) 半導体素子及び半導体素子のパターン形成方法
KR101565796B1 (ko) 반도체 소자 및 반도체 소자의 패턴 형성 방법
TWI378494B (en) Method for forming patterns in a semiconductor memory device
KR100817090B1 (ko) 반도체 소자의 제조 방법
JP2008066689A (ja) 半導体素子の製造方法
JP2015122516A (ja) ピッチマルチプリケーションされた材料のループの一部分を分離するための方法およびその関連構造
KR20100098135A (ko) 반도체 소자의 패턴 형성 방법
KR101093241B1 (ko) 반도체 소자의 패턴 형성방법
KR20100104861A (ko) 반도체 소자의 패턴 형성 방법
JP2013089958A (ja) 不揮発性メモリ装置の製造方法
US20160365311A1 (en) Method of manufacturing semiconductor devices with combined array and periphery patterning in self-aligned double patterning
JP5879656B2 (ja) ビア孔により接続された導体のネットワークを生成するリソグラフィ方法
US8110507B2 (en) Method for patterning an active region in a semiconductor device using a space patterning process
KR100870289B1 (ko) 플래시 메모리 소자 및 그의 제조 방법
JP2007318065A (ja) フラッシュメモリ素子の製造方法
JP2011165933A (ja) 半導体装置の製造方法
KR20100005602A (ko) 반도체 소자의 게이트 패턴 형성방법
KR20100093880A (ko) 노광마스크 및 이를 이용한 반도체소자의 형성방법
KR20120041989A (ko) 반도체 소자의 제조 방법
US7902079B2 (en) Method for fabricating recess pattern in semiconductor device

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140707

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140806

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141205

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150114

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150223

R150 Certificate of patent or registration of utility model

Ref document number: 5703339

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250