CN104022021A - 图案化的方法及存储器元件的形成方法 - Google Patents

图案化的方法及存储器元件的形成方法 Download PDF

Info

Publication number
CN104022021A
CN104022021A CN201310176237.0A CN201310176237A CN104022021A CN 104022021 A CN104022021 A CN 104022021A CN 201310176237 A CN201310176237 A CN 201310176237A CN 104022021 A CN104022021 A CN 104022021A
Authority
CN
China
Prior art keywords
mentioned
mask
area
mask layer
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310176237.0A
Other languages
English (en)
Other versions
CN104022021B (zh
Inventor
蔡仁祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Winbond Electronics Corp
Original Assignee
Winbond Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Winbond Electronics Corp filed Critical Winbond Electronics Corp
Publication of CN104022021A publication Critical patent/CN104022021A/zh
Application granted granted Critical
Publication of CN104022021B publication Critical patent/CN104022021B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/43Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
    • H10B41/46Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor with an inter-gate dielectric layer also being used as part of the peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

一种存储器元件的形成方法。提供基底,基底具有至少二单元区与至少一周边区,周边区位于单元区之间。在基底上依序形成目标层、牺牲层及第一掩模层,其中第一掩模层具有位于单元区中的多个第一掩模图案及位于周边区中的多个第二掩模图案。以第一掩模层为掩模,移除部分牺牲层,以形成多个牺牲图案。在各牺牲图案的各侧壁上形成间隙壁。移除牺牲图案。至少移除周边区中的间隙壁。在单元区上形成第二掩模层。以第二掩模层与剩余的间隙壁为掩模,移除部分目标层,以在单元区上形成多个字线,且在邻近周边区的部分单元区上形成多个选择栅极。

Description

图案化的方法及存储器元件的形成方法
技术领域
本发明是有关于一种半导体工艺及半导体元件的形成方法,且特别是有关于一种图案化的方法及存储器元件的形成方法。
背景技术
非挥发性存储器具有可多次进行数据的存入、读取、抹除等特性,且当断电时仍可保留已储存的信息,因而被广泛应用于个人计算机及消费性电子产品中。随着非挥发性存储器的积集度越来越高,非挥发性存储器的关键尺寸(critical dimension,CD)也越来越小。为了克服光刻工艺中光源分辨率的限制,已发展了一种间隙壁自对准双重图案化(spacerself-aligned double patterning,SADP)的方法,以增加元件的积集度。然而,现行技术在定义存储器的多个字线时,邻近周边区的字线的线宽会受到周边区的影响而不易精确控制至目标值,使得字线的关键尺寸均匀度(critical dimension uniformity,CDU)不佳,进而降低元件效能。
发明内容
本发明提供一种图案化的方法及存储器元件的形成方法,使得存储器的字线具有较佳的关键尺寸均匀度。
本发明提出一种图案化的方法。提供基底,基底具有第一区域及第二区域。在基底上依序形成目标层、牺牲层及第一掩模层,其中第一掩模层具有位于第一区域中的多个第一掩模图案以及位于第二区域中的多个第二掩模图案。以第一掩模层为掩模,移除部分牺牲层,以形成多个牺牲图案。移除第一掩模层。在各牺牲图案的各侧壁上形成间隙壁。移除牺牲图案。至少移除第二区域中的间隙壁。在基底上形成第二掩模层,覆盖邻近第二区域的部分第一区域。以第二掩模层与剩余的间隙壁为掩模,移除部分目标层,以在第一区域上形成多个第一目标图案,且在邻近于第二区域的部分第一区域形成第二目标图案。移除第二掩模层与剩余的间隙壁。
在本发明的一实施例中,上述第二目标图案的一侧边由剩余的上述间隙壁中的一个定义,另一侧边由上述第二掩模层定义。
在本发明的一实施例中,上述第一区为单元区,而上述第二区为周边区。
在本发明的一实施例中,上述基底还具有一第三区域,其中上述第二区域位于上述第一区域与上述第三区域之间。上述第一掩模层还具有位于上述第三区域中的多个第三掩模图案。上述第二掩模层还覆盖邻近上述第二区域的部分上述第三区域。此外,以上述第二掩模层与剩余的上述间隙壁为掩模,移除部分上述目标层,还包括在上述第三区域上形成多个第三目标图案,且上述第二目标图案还形成在邻近于上述第二区域的部分上述第三区域上。
在本发明的一实施例中,上述第一区与上述第三区为单元区,上述第二区为周边区
在本发明的一实施例中,上述第二目标图案的一侧边分别由剩余的上述间隙壁中的一个定义,另一侧边分别是由上述第二掩模层定义。
在本发明的一实施例中,上述的第一掩模图案及第三掩模图案具有相同线宽与相同间距。
在本发明的一实施例中,上述的第一掩模图案及第三掩模图案具有不同线宽或不同间距。
在本发明的一实施例中,上述的邻近第一区域的部分第二掩模图案与第一区域的第一掩模图案具有相同线宽与相同间距。
在本发明的一实施例中,上述的邻近第三区域的部分第二掩模图案与第三区域的第三掩模图案具有相同线宽与相同间距。
在本发明的一实施例中,上述的至少移除第二区域中的间隙壁的方法包括以下步骤。在基底上形成第三掩模层,覆盖部分第一区域与部分第三区域,以至少裸露出第二区域。以第三掩模层为掩模,移除第二区域中的间隙壁,并同时移除第一区域与第三区域中的部分间隙壁,以切断对应于牺牲图案末端的间隙壁的回路(loop)。移除第三掩模层。
在本发明的一实施例中,上述基底还具有第四区域,上述第一区域位于上述第二区域与上述第四区域之间。上述第一掩模层还具有位于上述第四区域中的多个第三掩模图案。上述第二掩模层还覆盖邻近上述第四区域的部分上述第一区域。以上述第二掩模层与剩余的上述间隙壁为掩模,移除部分上述目标层还包括在邻近于上述第四区域的部分上述第一区域上形成上述第二目标图案。
在本发明的一实施例中,上述第一区为单元区,上述第二区与上述第四区为周边区。
在本发明的一实施例中,上述第二目标图案的一侧边由剩余的上述间隙壁中的一个定义,另一侧边由上述第二掩模层定义。
在本发明的一实施例中,邻近上述第一区域的部分上述第二掩模图案以及邻近上述第四区域的部分上述第三掩模图案,与上述第一区域的上述第一掩模图案具有相同线宽与相同间距。
在本发明的一实施例中,至少移除上述第二区域中的上述间隙壁的方法包括:在上述基底上形成第三掩模层,覆盖部分上述第一区域,以至少裸露出上述第二区域与上述第四区域。以上述第三掩模层为掩模,移除上述第二区域与上述第四区域中的上述间隙壁,并同时移除上述第一区域中的部分上述间隙壁,以切断对应于上述牺牲图案末端的上述间隙壁的回路。移除上述第三掩模层。
在本发明的一实施例中,邻近上述第一区域的部分上述第二掩模图案,与上述第一区域的上述第一掩模图案具有相同线宽与相同间距。
在本发明的一实施例中,在形成上述第一掩模层之后及在形成上述牺牲图案之前,还包括削减上述第一掩模层,其中削减上述第一掩模层的方法包括进行刻蚀工艺。
在本发明的一实施例中,至少移除上述第二区域中的上述间隙壁的方法包括:在上述基底上形成第三掩模层,覆盖部分上述第一区域,以至少裸露出上述第二区域。以上述第三掩模层为掩模,移除上述第二区域中的上述间隙壁,并同时移除上述第一区域中的部分上述间隙壁,以切断对应于上述牺牲图案末端的上述间隙壁的回路。移除上述第三掩模层
在本发明的一实施例中,上述形成第一掩模层的方法包括通过光罩在牺牲层上形成第一掩模层。
在本发明的一实施例中,上述的光罩的形成方法包括将原始光罩数据中的用于定义第二目标图案的数据移除,并在被移除区域及相邻的空旷区域中加入多个虚设图案(dummy patterns)数据。
本发明另提出一种存储器元件的形成方法。提供基底,基底具有至少一单元区(cell area)与至少一周边区(periphery area)。在基底上依序形成目标层、牺牲层及第一掩模层,其中第一掩模层具有位于单元区中的多个第一掩模图案及位于周边区中的多个第二掩模图案。以第一掩模层为掩模,移除部分牺牲层,以形成多个牺牲图案。移除第一掩模层。在各牺牲图案的各侧壁上形成间隙壁。移除牺牲图案。至少移除周边区中的间隙壁。在基底上形成第二掩模层,覆盖邻近周边区的部分单元区。以第二掩模层与剩余的间隙壁为掩模,移除部分目标层,以在单元区上形成多个字线,且在邻近周边区的部分单元区上形成多个选择栅极(select gate)。移除第二掩模层与剩余的间隙壁。
在本发明的另一实施例中,上述的第一掩模图案及第二掩模图案具有相同线宽与相同间距。
在本发明的另一实施例中,上述的邻近单元区的部分第二掩模图案与单元区的第一掩模图案具有相同线宽与相同间距。
在本发明的另一实施例中,其中上述的周边区中的上述的第二掩模图案呈镜像对称。
在本发明的另一实施例中,在形成上述的第一掩模层之后及在形成牺牲图案之前,还包括削减第一掩模层。
在本发明的另一实施例中,上述的削减第一掩模层的方法包括进行刻蚀工艺。
在本发明的另一实施例中,上述的至少移除周边区中的间隙壁的方法包括以下步骤。在基底上形成第三掩模层,覆盖部分单元区,以至少裸露出周边区。以第三掩模层为掩模,移除周边区中的间隙壁,并同时移除单元区中的部分间隙壁,以切断对应于牺牲图案末端的间隙壁的回路。移除第三掩模层。
在本发明的另一实施例中,上述的各选择栅极的一侧边由剩余的间隙壁中的一个定义,另一侧边由第二掩模层定义。
在本发明的另一实施例中,上述的形成第一掩模层的方法包括通过光罩于牺牲层上形成第一掩模层。
在本发明的另一实施例中,上述的光罩的形成方法包括将原始光罩数据中的用于定义选择栅极的数据移除,并在被移除区域及相邻的空旷区域中加入多个虚设图案数据。
基于上述,在本发明的图案化的方法及存储器元件的形成方法中,是先将原始光罩数据中的密集区域的选择栅极移除,并在被移除区域及邻近密集区域的空旷区域中加入相同线宽与相同间距的多个虚设图案,以形成经修改的光罩数据。由于经修改的所述光罩于空旷区中加入虚设图案,因此通过经修改的所述光罩来定义字线时可避免现有的邻近周边区的字线的线宽会受到周边区的影响而造成关键尺寸均匀度不佳的问题。如此一来,可在不改变光罩数目的情况下,使得存储器的字线具有较佳的关键尺寸均匀度。
为让本发明的上述特征和优点能更明显易懂,下文特举实施例,并配合所附附图作详细说明如下。
附图说明
图1A至图1M为依照本发明的一实施例的一种图案化的方法的剖面示意图。
图2A至图2C为依照本发明的一实施例的第一光罩设计流程图。
图2C-1及图2C-2为依照本发明的另一实施例的第一光罩设计。
图3A至图3G为依照本发明的又一实施例的一种图案化的方法的剖面示意图。
图4为根据本发明的图案化的方法与用现有方法所得的关键尺寸均匀度的结果比较图。
【符号说明】
100:基底;
102:目标层;
104:牺牲层;
106、142、150、242、250:掩模层;
106a:第一掩模图案;
106b、106b-1、106b-2:第二掩模图案;
106c:第三掩模图案;
108:间隙壁层;
110:第一区域;
111a、111b:侧边;
112:图案化目标层;
112a:第一目标图案;
112b:第二目标图案;
112c:第三目标图案;
114:牺牲图案;
114a:侧壁;
116:经削减的掩模层;
118:间隙壁;
120:第二区域;
130:第三区域;
140:第四区域;
202:原始光罩数据;
202a:第一目标图案数据;
202b:第二目标图案数据;
202c:第三目标图案数据;
204、206、206-1、206-2:光罩数据;
202d、202e、202f:虚设图案数据;
210:密集区域;
211:被移除区域;
220:空旷区域;
410、420:曲线;
Pa、Pb、Pc:间距;
Wa、Wb、Wc:线宽。
具体实施方式
图1A至图1M为依照本发明的一实施例的一种图案化的方法的剖面示意图。
请参照图1A,首先,提供基底100,基底100具有第一区域110、第二区域120及第三区域130,第二区域120位于第一区域110与第三区域130之间。基底100例如是硅基底或其他半导体基底。在一实施例中,第一区域110与第三区域130例如是存储器的单元区,且第二区域120例如是存储器的周边区或是空旷区。此外,在基底100上依序形成目标层102与牺牲层104。目标层102例如是单一层或堆叠层。在一实施例中,当目标层102例如是单一层时,其材料例如是掺杂多晶硅。在另一实施例中,当目标层102例如是包括介电层与导体层的堆叠层时,其材料例如是分别包括氧化硅和掺杂多晶硅。目标层102的形成方法例如是化学气相沉积法(chemical vapor deposition,CVD)。牺牲层104的材料例如是碳层或是其他合适的材料,且其形成方法例如是化学气相沉积法。
接着,在牺牲层104上形成掩模层106。掩模层106例如是图案化光刻胶层,其形成方法例如是进行光刻工艺。掩模层106具有位于第一区域110中的多个第一掩模图案106a、位于第二区域120中的多个第二掩模图案106b以及位于第三区域130中的多个第三掩模图案106c。第二掩模图案106b包括第二掩模图案106b-1及第二掩模图案106b-2。此外,邻近第一区域110的部分第二掩模图案106b-1与第一区域110的第一掩模图案106a具有相同线宽与相同间距,而邻近第三区域130的部分第二掩模图案106b-2与第三区域130的第三掩模图案106c具有相同线宽与相同间距。
在一实施例中,第一掩模图案106a及第三掩模图案106c用于形成存储器的字线,因此第一掩模图案106a及第三掩模图案106c可具有相同线宽与相同间距。也就是,第一掩模图案106a的线宽Wa和间距Pa分别与第三掩模图案106c的线宽Wc和间距Pc相同。此外,邻近第一区域110的部分第二掩模图案106b-1与第一区域110的第一掩模图案106a具有相同线宽与相同间距,而邻近第三区域130的部分第二掩模图案106b-2与第三区域130的第三掩模图案106c具有相同线宽与相同间距,因此线宽Wa、Wb及Wc均相同且间距Pa、Pb及Pc也均相同。举例来说,线宽Wa、Wb及Wc为约10纳米至150纳米,且间距Pa、Pb及Pc为约20纳米至300纳米。然而,本发明不限于此。在另一实施例中,第一掩模图案106a及第三掩模图案106c也可以具有不同线宽或不同间距。此时,邻近第一区域110的部分第二掩模图案106b-1的线宽和间距与邻近第三区域130的部分第二掩模图案106b-2的线宽和间距不同。
在本实施例中,形成掩模层106的方法包括通过第一光罩(未绘示)在牺牲层104上形成掩模层106。
用于形成掩模层106的第一光罩的设计概念是使掩模层106中邻近第一区域110的部分第二掩模图案106b-1与第一区域110的第一掩模图案106a具有相同线宽与相同间距,而邻近第三区域130的部分第二掩模图案106b-2与第三区域130的第三掩模图案106c具有相同线宽与相同间距。当第一掩模图案106a及第三掩模图案106c具有相同线宽与相同间距时,部分第二掩模图案106b-1及部分第二掩模图案106b-2也具有相同线宽与相同间距。此时,位于第二区域120的中心部分的至少一第二掩模图案106b可与部分第二掩模图案106b-1、106b-2具有相同线宽与相同间距,或者位于第二区域120的中心部分的至少一第二掩模图案106b可与部分第二掩模图案106b-1、106b-2具有不同线宽或不同间距。另外,当第一掩模图案106a及第三掩模图案106c具有不同线宽或不同间距时,部分第二掩模图案106b-1及部分第二掩模图案106b-2也具有不同线宽或不同间距。此时,位于第二区域120的中心部分的至少一第二掩模图案106b可仅与部分第二掩模图案106b-1具有相同线宽与相同间距,或者可仅与部分第二掩模图案106b-2具有相同线宽与相同间距,又或者位于第二区域120的中心部分的至少一第二掩模图案106b可与部分第二掩模图案106b-1、106b-2都具有不同线宽或不同间距。下文将以第一掩模图案106a及第三掩模图案106c具有相同线宽与相同间距的实施例来进行第一光罩设计流程的例示性说明。
图2A至图2C为依照本发明的一实施例的第一光罩设计流程图。请参照图2A至图2C,所述第一光罩的形成方法包括以下步骤。原始光罩数据202具有两个密集区域210和一个空旷区域220。空旷区域220配置于密集区域210之间。第一目标图案数据202a、第二目标图案数据202b及第三目标图案数据202c位于密集区域210中。第一目标图案数据202a及第三目标图案数据202c例如是字线数据,而第二目标图案数据202b例如是选择栅极数据。
将原始光罩数据202中的第二目标图案数据202b移除,形成如图2B所示的光罩数据204。接着,在被移除区域211及相邻的空旷区域220中加入多个虚设图案数据202d,形成如图2C所示的光罩数据206。
在一实施例中,被移除区域211及相邻的空旷区域220中的多个虚设图案数据呈镜像对称。然而,本发明不限于此,被移除区域211及相邻的空旷区域220中的多个虚设图案数据也可以不呈镜像对称。在一实施例中,当被移除区域211及相邻的空旷区域220的距离可刚好加入相同线宽与相同间距的虚设图案数据时,会形成如图2C的配置。在另一实施例中,当被移除区域211及相邻的空旷区域220的距离无法刚好加入相同线宽与相同间距的虚设图案数据时,可在空旷区域220的中心部分加入二个虚设图案数据202e或一个虚设图案数据202f。具体来说,当在空旷区域220的中心部分加入二个虚设图案数据202e时,多个虚设图案数据202d、202e呈镜像对称,如图2C-1所示的光罩数据206-1。当在空旷区域220的中心部分加入一个虚设图案数据202f时,多个虚设图案数据202d、202f呈镜像对称,如图2C-2所示的光罩数据206-2。
请参照图1B,在本实施例中,在形成掩模层106之后削减掩模层106,以形成经削减的掩模层116。削减掩模层106的方法包括进行刻蚀工艺,例如是干法刻蚀工艺。经削减的掩模层116的线宽例如约5纳米至80纳米。然而,本发明不限于此,也可以依需求省略削减掩模层106的步骤。
请参照图1C与图1D,然后,以经削减的掩模层116为掩模,移除部分牺牲层104,以形成多个牺牲图案114。移除部分牺牲层104的方法例如是进行干法刻蚀工艺或湿法刻蚀工艺。接着,移除经削减的掩模层116。移除经削减的掩模层116的方法例如是进行湿法刻蚀工艺。
请参照图1E与图1F,之后,在各牺牲图案114与目标层102上顺应性地(conformally)形成间隙壁层108,并对间隙壁层108进行非等向性刻蚀(anisotropic etching)工艺,以在各牺牲图案114的各侧壁114a上形成间隙壁118。间隙壁层108的材料例如是氧化硅或是其他绝缘材料,其形成方法例如是化学气相沉积法。间隙壁层108的厚度例如约5纳米至80纳米,且间隙壁118的线宽例如约5纳米至80纳米。所需间隙壁118的线宽可由间隙壁层108的厚度控制。
请参照图1G,接着,移除牺牲图案114。移除牺牲图案114的方法例如是进行干法刻蚀工艺或湿法刻蚀工艺。
请参照图1H、图1I及图1J,接着,至少移除第二区域120中的间隙壁118。移除间隙壁118的方法例如是进行干法刻蚀工艺或湿法刻蚀工艺。在本实施例中,至少移除第二区域120中的间隙壁118的方法包括以下步骤。在基底100上形成掩模层142,掩模层142覆盖部分第一区域110与部分第三区域130,以裸露出第二区域120以及第一区域110与第三区域130中对应于牺牲图案114末端的间隙壁118(未绘示)。掩模层142例如是图案化光刻胶层,其形成方法例如是利用第二光罩(未绘示)进行光刻工艺。以掩模层142为掩模,移除第二区域120中的间隙壁118,并同时移除第一区域110与第三区域130中的部分间隙壁118,以切断对应于牺牲图案114末端的间隙壁118的回路。接着,移除掩模层142。移除掩模层142的方法例如是进行湿法刻蚀工艺。
请参照图1K、图1L及图1M,然后,在基底100上形成掩模层150,掩模层150覆盖邻近第二区域120的部分第一区域110与部分第三区域130。掩模层150例如是图案化光刻胶层,其形成方法例如是利用第三光罩(未绘示)进行光刻工艺。以掩模层150与剩余的间隙壁118为掩模,移除部分目标层102,以形成图案化目标层112。移除部分目标层102的方法例如是进行干法刻蚀工艺,详细来说,以掩模层150与剩余的间隙壁118为掩模,移除部分目标层102,以在第一区域110上形成多个第一目标图案112a、在第三区域130上形成多个第三目标图案112c,且在邻近于第二区域120的部分第一区域110与邻近于第二区域120的部分第三区域130上分别形成第二目标图案112b。在一实施例中,各第二目标图案112b的一侧边111a由剩余的间隙壁118中的一个定义,另一侧边111b由掩模层150(或第三光罩)定义。第一目标图案112a的线宽例如约5纳米至80纳米,第二目标图案112b的线宽例如大于约80纳米,而第三目标图案112c的线宽例如约5纳米至80纳米。在一实施例中,第一目标图案112a与第三目标图案112c作为存储器的字线,且第二目标图案112b作为存储器的选择栅极。接着,移除掩模层150与剩余的间隙壁118。移除掩模层150的方法例如是进行湿法刻蚀工艺。移除剩余的间隙壁118的方法例如是进行干法刻蚀工艺或湿法刻蚀工艺。
以上的实施例是以第一区域110与第三区域130为存储器的单元区,而夹在第一区域110与第三区域130之间的第二区120为存储器的周边区(或是空旷区)来说明。然而,本发明并不以此为限,本发明的周边区(或是空旷区)不一定要夹在两个单元区之间。本发明可以应用于记忆胞区与周边区(或是空旷区)相邻的图案化工艺,以可避免现有的邻近周边区的图案的线宽会受到周边区的影响而造成关键尺寸均匀度不佳的问题。以下举其他两种不同的实施例来说明,但本发明并不以此为限。
在另一实施例中,请参照图1M,基底100可以是包括第一区域110与第二区域120,但不包括第三区域130。其中,第一区域110为存储器的单元区,而第二区120为存储器的周边区或是空旷区。此实施例的图案化的方法,可以采用如上述图1A至1M所揭露的方法,在此不再赘述。
图3A至图3G为依照本发明的另一实施例的一种图案化的方法的剖面示意图。
在又一实施例中,请参照图3A,基底100可以是包括第一区域110与第二区域120,且另外包括第四区域140,但不包括图1A中的第三区域130。其中,第一区域110位于第四区域140与第二区域120之间。在一实施例中,第一区域110例如是存储器的单元区,且第二区域120与第四区域140例如是存储器的周边区。依照上述图1A至图1G所揭露的方法,在第一区域110、第二区域120与第四区域140上形成目标层102与间隙壁118。
接着,请参照图3B、图3C与图3D,接着,至少移除第二区域120与第四区域140中的间隙壁118。移除间隙壁118的方法例如是进行干法刻蚀工艺或湿法刻蚀工艺。在本实施例中,至少移除第二区域120与第四区域140中的间隙壁118的方法包括以下步骤。在基底100上形成掩模层242,掩模层242覆盖部分第一区域110,以裸露出第二区域120与第四区域140以及第一区域110中对应于牺牲图案114末端的间隙壁118(未绘示)。掩模层242例如是图案化光刻胶层,其形成方法例如是利用第二光罩(未绘示)进行光刻工艺。以掩模层242为掩模,移除第二区域120与第四区域140中的间隙壁118,并同时移除第一区域110中的部分间隙壁118,以切断对应于牺牲图案114末端的间隙壁118的回路。接着,移除掩模层242。移除掩模层242的方法例如是进行湿法刻蚀工艺。
请参照图3E、图3F及图3G,然后,在基底100上形成掩模层250,掩模层250覆盖邻近第二区域120与第四区域140的部分第一区域110。掩模层250例如是图案化光刻胶层,其形成方法例如是利用第三光罩(未绘示)进行光刻工艺。以掩模层250与剩余的间隙壁118为掩模,移除部分目标层102,以形成图案化目标层112。移除部分目标层102的方法例如是进行干法刻蚀工艺,详细来说,以掩模层250与剩余的间隙壁118为掩模,移除部分目标层102,以在第一区域110上形成多个第一目标图案112a,且在邻近于第二区域120与第四区域140的部分第一区域110上分别形成第二目标图案112b。在一实施例中,各第二目标图案112b的一侧边111a由剩余的间隙壁118中的一个定义,另一侧边111b由掩模层250(或第三光罩)定义。第一目标图案112a的线宽例如约5纳米至80纳米,第二目标图案112b的线宽例如大于约80纳米。在一实施例中,第一目标图案112a作为存储器的字线,且第二目标图案112b作为存储器的选择栅极。接着,移除掩模层250与剩余的间隙壁118。移除掩模层250的方法例如是进行湿法刻蚀工艺。移除剩余的间隙壁118的方法例如是进行干法刻蚀工艺或湿法刻蚀工艺。
图4为根据本发明的图案化的方法与用现有方法所得的关键尺寸均匀度的结果比较图。曲线410为使用现有方法所得的关键尺寸(即,字线线宽)的分布,而曲线420为使用根据本发明的图案化的方法所得的关键尺寸(即,字线线宽)的分布。图中的字线位置为单元区中字线位置的依序编码,曲线410、420的两端点分别为单元区中邻近周边区的两字线。在图4的曲线410中,现有方法(诸如使用图2A所示的原始光罩数据202来形成掩模层)的关键尺寸的分布范围大于10纳米,而在图4的曲线420中,根据本发明的图案化的方法(诸如使用图2C(或图2C-1或图2C-2)所示的光罩数据206(或光罩数据206-1或光罩数据206-2)来形成掩模层)的关键尺寸的分布范围小于3纳米。因此,根据本发明的图案化的方法可改善现有方法在字线的最边缘位置处的关键尺寸不易精确控制的问题,而具有较佳的关键尺寸均匀度。
综上所述,在本发明的图案化的方法及存储器元件的形成方法中,是先将原始光罩数据中的密集区域的选择栅极移除,并在被移除区域及邻近密集区域的空旷区域中加入相同线宽与相同间距的多个虚设图案,以形成经修改的光罩数据。通过经修改的所述光罩来定义字线。因最边缘位置的字线邻近于具有相同线宽与相同间距的虚设图案,因此本发明的图案化的方法及存储器元件的形成方法可避免现有技术在字线的最边缘位置处的关键尺寸不易精确控制(关键尺寸均匀度不佳)的问题。接着,移除不需要的虚设图案。然后,形成选择栅极。如此一来,可在不改变光罩数目的情况下,使得存储器的字线具有较佳的关键尺寸均匀度。
虽然本发明已以实施例揭露如上,然其并非用以限定本发明,任何所属技术领域中普通技术人员,在不脱离本发明的精神和范围内,当可作部分的更改与修饰,故本发明的保护范围当视权利要求所界定者为准。

Claims (30)

1.一种图案化的方法,其特征在于,包括:
提供一基底,上述基底具有第一区域与第二区域;
在上述基底上依序形成目标层、牺牲层及第一掩模层,其中上述第一掩模层具有位于上述第一区域中的多个第一掩模图案以及位于上述第二区域中的多个第二掩模图案;
以上述第一掩模层为掩模,移除部分上述牺牲层,以形成多个牺牲图案;
移除上述第一掩模层;
在各牺牲图案的各侧壁上形成间隙壁;
移除上述牺牲图案;
至少移除上述第二区域中的上述间隙壁;
在上述基底上形成第二掩模层,覆盖邻近上述第二区域的部分上述第一区域;
以上述第二掩模层与剩余的上述间隙壁为掩模,移除部分上述目标层,以在上述第一区域上形成多个第一目标图案,且在邻近于上述第二区域的部分上述第一区域上形成第二目标图案;以及
移除上述第二掩模层与剩余的上述间隙壁。
2.根据权利要求1所述的图案化的方法,其中上述第二目标图案的一侧边由剩余的上述间隙壁中的一个定义,另一侧边由上述第二掩模层定义。
3.根据权利要求1所述的图案化的方法,其中上述第一区为单元区,而上述第二区为周边区。
4.根据权利要求1所述的图案化的方法,其中:上述基底还具有第三区域,其中上述第二区域位于上述第一区域与上述第三区域之间;
上述第一掩模层还具有位于上述第三区域中的多个第三掩模图案;
上述第二掩模层还覆盖邻近上述第二区域的部分上述第三区域;以及
以上述第二掩模层与剩余的上述间隙壁为掩模,移除部分上述目标层,还包括在上述第三区域上形成多个第三目标图案,且上述第二目标图案还形成在邻近于上述第二区域的部分上述第三区域上。
5.根据权利要求4所述的图案化的方法,其中上述第一区与上述第三区为单元区,上述第二区为周边区。
6.根据权利要求4所述的图案化的方法,其中上述第二目标图案的一侧边分别由剩余的上述间隙壁中的一个定义,另一侧边分别是由上述第二掩模层定义。
7.根据权利要求4所述的图案化的方法,其中上述第一掩模图案及上述第三掩模图案具有相同线宽与相同间距。
8.根据权利要求4所述的图案化的方法,其中上述第一掩模图案及上述第三掩模图案具有不同线宽或不同间距。
9.根据权利要求4所述的图案化的方法,其中邻近上述第一区域的部分上述第二掩模图案与上述第一区域的上述第一掩模图案具有相同线宽与相同间距。
10.根据权利要求4所述的图案化的方法,其中邻近上述第三区域的部分上述第二掩模图案与上述第三区域的上述第三掩模图案具有相同线宽与相同间距。
11.根据权利要求4所述的图案化的方法,其中至少移除上述第二区域中的上述间隙壁的方法包括:
在上述基底上形成第三掩模层,覆盖部分上述第一区域与部分上述第三区域,以至少裸露出上述第二区域;
以上述第三掩模层为掩模,移除上述第二区域中的上述间隙壁,并同时移除上述第一区域与上述第三区域中的部分上述间隙壁,以切断对应于上述牺牲图案末端的上述间隙壁的回路;以及
移除上述第三掩模层。
12.根据权利要求1所述的图案化的方法,其中:
上述基底还具有第四区域,上述第一区域位于上述第二区域与上述第四区域之间;
上述第一掩模层还具有位于上述第四区域中的多个第三掩模图案;
上述第二掩模层还覆盖邻近上述第四区域的部分上述第一区域;以及
以上述第二掩模层与剩余的上述间隙壁为掩模,移除部分上述目标层还包括在邻近于上述第四区域的部分上述第一区域上形成上述第二目标图案。
13.根据权利要求12所述的图案化的方法,其中上述第一区为单元区,上述第二区与上述第四区为周边区。
14.根据权利要求12所述的图案化的方法,其中上述第二目标图案的一侧边由剩余的上述间隙壁中的一个定义,另一侧边由上述第二掩模层定义。
15.根据权利要求12所述的图案化的方法,其中邻近上述第一区域的部分上述第二掩模图案以及邻近上述第四区域的部分上述第三掩模图案,与上述第一区域的上述第一掩模图案具有相同线宽与相同间距。
16.根据权利要求12所述的图案化的方法,其中至少移除上述第二区域中的上述间隙壁的方法包括:
在上述基底上形成第三掩模层,覆盖部分上述第一区域,以至少裸露出上述第二区域与上述第四区域;
以上述第三掩模层为掩模,移除上述第二区域与上述第四区域中的上述间隙壁,并同时移除上述第一区域中的部分上述间隙壁,以切断对应于上述牺牲图案末端的上述间隙壁的回路;以及
移除上述第三掩模层。
17.根据权利要求1所述的图案化的方法,其中邻近上述第一区域的部分上述第二掩模图案,与上述第一区域的上述第一掩模图案具有相同线宽与相同间距。
18.根据权利要求1所述的图案化的方法,在形成上述第一掩模层之后及在形成上述牺牲图案之前,还包括削减上述第一掩模层,其中削减上述第一掩模层的方法包括进行刻蚀工艺。
19.根据权利要求1所述的图案化的方法,其中至少移除上述第二区域中的上述间隙壁的方法包括:
在上述基底上形成第三掩模层,覆盖部分上述第一区域,以至少裸露出上述第二区域;
以上述第三掩模层为掩模,移除上述第二区域中的上述间隙壁,并同时移除上述第一区域中的部分上述间隙壁,以切断对应于上述牺牲图案末端的上述间隙壁的回路;以及
移除上述第三掩模层。
20.根据权利要求1所述的图案化的方法,其中形成上述第一掩模层的方法包括通过光罩在上述牺牲层上形成上述第一掩模层。
21.根据权利要求20所述的图案化的方法,其中上述光罩的形成方法包括:
将原始光罩数据中的用于定义上述第二目标图案的数据移除;以及
在被移除区域及相邻的空旷区域中加入多个虚设图案数据。
22.一种存储器元件的形成方法,其特征在于,包括:
提供基底,上述基底具有至少一单元区与至少一周边区;
在上述基底上依序形成目标层、牺牲层及第一掩模层,其中上述第一掩模层具有位于上述单元区中的多个第一掩模图案及位于上述周边区中的多个第二掩模图案;
以上述第一掩模层为掩模,移除部分上述牺牲层,以形成多个牺牲图案;
移除上述第一掩模层;
在各牺牲图案之各侧壁上形成间隙壁;
移除上述牺牲图案;
至少移除上述周边区中的上述间隙壁;
在上述基底上形成第二掩模层,覆盖邻近上述周边区的部分上述单元区;
以上述第二掩模层与剩余的上述间隙壁为掩模,移除部分上述目标层,以在上述单元区上形成多个字线,且在邻近上述周边区的部分上述单元区上形成多个选择栅极;以及
移除上述第二掩模层与剩余的上述间隙壁。
23.根据权利要求22所述的存储器元件的形成方法,其中上述第一掩模图案及上述第二掩模图案具有相同线宽与相同间距。
24.根据权利要求22所述的存储器元件的形成方法,其中邻近上述单元区的部分上述第二掩模图案与上述单元区的上述第一掩模图案具有相同线宽与相同间距。
25.根据权利要求24所述的存储器元件的形成方法,其中上述周边区中的上述第二掩模图案呈镜像对称。
26.根据权利要求22所述的存储器元件的形成方法,其中在形成上述第一掩模层之后及在形成上述牺牲图案之前,还包括削减上述第一掩模层,且削减上述第一掩模层的方法包括进行刻蚀工艺。
27.根据权利要求22所述的存储器元件的形成方法,其中至少移除上述周边区中的上述间隙壁的方法包括:
在上述基底上形成第三掩模层,覆盖部分上述单元区,以至少裸露出上述周边区;
以上述第三掩模层为掩模,移除上述周边区中的上述间隙壁,并同时移除上述单元区中的部分上述间隙壁,以切断对应于上述牺牲图案末端的上述间隙壁的回路;以及
移除上述第三掩模层。
28.根据权利要求22所述的存储器元件的形成方法,其中各选择栅极的一侧边由剩余的上述间隙壁中的一个定义,另一侧边由上述第二掩模层定义。
29.根据权利要求22所述的存储器元件的形成方法,其中形成上述第一掩模层的方法包括通过光罩在上述牺牲层上形成上述第一掩模层。
30.根据权利要求29所述的存储器元件的形成方法,其中上述光罩的形成方法包括:
将原始光罩数据中的用于定义上述选择栅极的数据移除;以及
在被移除区域及相邻的空旷区域中加入多个虚设图案数据。
CN201310176237.0A 2013-03-01 2013-05-14 图案化的方法及存储器元件的形成方法 Active CN104022021B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
TW102107353 2013-03-01
TW102107353A TWI487004B (zh) 2013-03-01 2013-03-01 圖案化的方法及記憶體元件的形成方法

Publications (2)

Publication Number Publication Date
CN104022021A true CN104022021A (zh) 2014-09-03
CN104022021B CN104022021B (zh) 2016-09-28

Family

ID=51421133

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310176237.0A Active CN104022021B (zh) 2013-03-01 2013-05-14 图案化的方法及存储器元件的形成方法

Country Status (5)

Country Link
US (1) US8877647B2 (zh)
JP (1) JP5703339B2 (zh)
KR (1) KR101508368B1 (zh)
CN (1) CN104022021B (zh)
TW (1) TWI487004B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105845574A (zh) * 2015-01-14 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN107293548A (zh) * 2016-04-12 2017-10-24 旺宏电子股份有限公司 自对准多重图案化的半导体元件及其工艺
CN107479338A (zh) * 2016-06-08 2017-12-15 力晶科技股份有限公司 结构上的光致抗蚀剂图案制作工艺
CN107634062A (zh) * 2016-07-18 2018-01-26 中芯国际集成电路制造(北京)有限公司 半导体器件及其制作方法、电子装置
CN107706095A (zh) * 2016-06-20 2018-02-16 中芯国际集成电路制造(北京)有限公司 自对准双重构图方法、半导体器件及其制作方法、电子装置
CN107968047A (zh) * 2017-11-23 2018-04-27 长江存储科技有限责任公司 一种sadp页缓冲器切断方法及结构
CN108933140A (zh) * 2017-05-26 2018-12-04 中芯国际集成电路制造(天津)有限公司 半导体器件的制造方法
CN109904062A (zh) * 2019-02-03 2019-06-18 中国科学院微电子研究所 纳米结构的制备方法
CN110828466A (zh) * 2019-11-11 2020-02-21 上海华力微电子有限公司 字线制作方法
CN111063611A (zh) * 2018-10-17 2020-04-24 长鑫存储技术有限公司 微图案刻蚀方法
US10727056B2 (en) 2017-11-23 2020-07-28 Yangtze Memory Technologies Co., Ltd. Method and structure for cutting dense line patterns using self-aligned double patterning
CN113539796A (zh) * 2020-04-21 2021-10-22 华邦电子股份有限公司 图案化的方法

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9177910B2 (en) 2012-04-18 2015-11-03 Micron Technology, Inc. Interconnect structures for integrated circuits and their formation
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150243511A1 (en) * 2014-02-21 2015-08-27 Kabushiki Kaisha Toshiba Method of forming pattern and photo mask used therein
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) * 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
TWI621210B (zh) * 2014-08-27 2018-04-11 聯華電子股份有限公司 一種製作半導體元件的方法
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
KR102323456B1 (ko) 2014-12-26 2021-11-10 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
KR102323251B1 (ko) 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
KR102343859B1 (ko) * 2015-01-29 2021-12-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9946827B2 (en) 2015-07-16 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for mandrel and spacer patterning
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102491661B1 (ko) 2016-01-12 2023-01-26 삼성전자주식회사 반도체 장치의 제조 방법
KR20170091833A (ko) 2016-02-01 2017-08-10 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10354873B2 (en) 2016-06-08 2019-07-16 Tokyo Electron Limited Organic mandrel protection process
KR102436634B1 (ko) * 2016-06-27 2022-08-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
WO2019132897A1 (en) 2017-12-27 2019-07-04 Intel Corporation Multiple layer metal-insulator-metal (mim) structure
WO2019132899A1 (en) 2017-12-27 2019-07-04 Intel Corporation Integrated circuits (ics) with electromigration (em)-resistant segments in an interconnect level
EP3732704A4 (en) 2017-12-27 2021-07-28 INTEL Corporation INTEGRATED LINE BREAKAGE AND LINE BRIDGE CIRCUITS IN A SINGLE INTERCONNECTION LEVEL
EP3732705A4 (en) 2017-12-27 2021-08-04 INTEL Corporation METAL ISOLATOR METAL (MIM) STRUCTURE FOR HIGH VOLTAGE APPLICATIONS AND LOW VOLTAGE APPLICATIONS
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20200043722A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109950140B (zh) * 2019-04-18 2021-11-05 上海华力微电子有限公司 一种自对准双层图形的形成方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007150166A (ja) * 2005-11-30 2007-06-14 Toshiba Corp 半導体装置の製造方法
CN101276818A (zh) * 2007-03-30 2008-10-01 奇梦达股份公司 存储器件和导电线的阵列及其制造方法
CN101304007A (zh) * 2007-05-11 2008-11-12 海力士半导体有限公司 制造快闪存储器件的方法
US20090057814A1 (en) * 2007-08-29 2009-03-05 Tatsuo Izumi Semiconductor memory
CN101419908A (zh) * 2007-10-26 2009-04-29 海力士半导体有限公司 半导体存储器中形成图案的方法
CN101764122A (zh) * 2008-12-24 2010-06-30 三星电子株式会社 具有窄导线图案的半导体装置及其形成方法
CN102299137A (zh) * 2010-06-28 2011-12-28 三星电子株式会社 半导体器件和制造该器件的方法
CN102386190A (zh) * 2010-09-01 2012-03-21 三星电子株式会社 半导体器件及用于形成半导体器件的图案的方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7763932B2 (en) * 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
JP2010153481A (ja) 2008-12-24 2010-07-08 Toshiba Corp 半導体記憶装置
KR101077453B1 (ko) 2009-03-31 2011-10-26 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US8383479B2 (en) * 2009-07-21 2013-02-26 Sandisk Technologies Inc. Integrated nanostructure-based non-volatile memory fabrication
KR20110087976A (ko) * 2010-01-28 2011-08-03 삼성전자주식회사 반도체 소자용 배선 구조물의 형성방법 및 이를 이용하는 비휘발성 메모리 소자의 제조방법
JP5523912B2 (ja) 2010-04-19 2014-06-18 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
US8455341B2 (en) * 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
KR20120062385A (ko) * 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
JP2012178378A (ja) 2011-02-25 2012-09-13 Tokyo Electron Ltd 半導体装置の製造方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007150166A (ja) * 2005-11-30 2007-06-14 Toshiba Corp 半導体装置の製造方法
CN101276818A (zh) * 2007-03-30 2008-10-01 奇梦达股份公司 存储器件和导电线的阵列及其制造方法
CN101304007A (zh) * 2007-05-11 2008-11-12 海力士半导体有限公司 制造快闪存储器件的方法
US20090057814A1 (en) * 2007-08-29 2009-03-05 Tatsuo Izumi Semiconductor memory
CN101419908A (zh) * 2007-10-26 2009-04-29 海力士半导体有限公司 半导体存储器中形成图案的方法
CN101764122A (zh) * 2008-12-24 2010-06-30 三星电子株式会社 具有窄导线图案的半导体装置及其形成方法
CN102299137A (zh) * 2010-06-28 2011-12-28 三星电子株式会社 半导体器件和制造该器件的方法
CN102386190A (zh) * 2010-09-01 2012-03-21 三星电子株式会社 半导体器件及用于形成半导体器件的图案的方法

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105845574A (zh) * 2015-01-14 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN107293548A (zh) * 2016-04-12 2017-10-24 旺宏电子股份有限公司 自对准多重图案化的半导体元件及其工艺
CN107293548B (zh) * 2016-04-12 2019-11-26 旺宏电子股份有限公司 自对准多重图案化的半导体元件及其工艺
CN107479338A (zh) * 2016-06-08 2017-12-15 力晶科技股份有限公司 结构上的光致抗蚀剂图案制作工艺
CN107479338B (zh) * 2016-06-08 2020-12-15 力晶积成电子制造股份有限公司 结构上的光致抗蚀剂图案制作工艺
CN107706095A (zh) * 2016-06-20 2018-02-16 中芯国际集成电路制造(北京)有限公司 自对准双重构图方法、半导体器件及其制作方法、电子装置
CN107634062A (zh) * 2016-07-18 2018-01-26 中芯国际集成电路制造(北京)有限公司 半导体器件及其制作方法、电子装置
CN107634062B (zh) * 2016-07-18 2020-11-17 中芯国际集成电路制造(北京)有限公司 半导体器件及其制作方法、电子装置
CN108933140B (zh) * 2017-05-26 2020-07-28 中芯国际集成电路制造(天津)有限公司 半导体器件的制造方法
CN108933140A (zh) * 2017-05-26 2018-12-04 中芯国际集成电路制造(天津)有限公司 半导体器件的制造方法
CN107968047A (zh) * 2017-11-23 2018-04-27 长江存储科技有限责任公司 一种sadp页缓冲器切断方法及结构
US10727056B2 (en) 2017-11-23 2020-07-28 Yangtze Memory Technologies Co., Ltd. Method and structure for cutting dense line patterns using self-aligned double patterning
CN110100302A (zh) * 2017-11-23 2019-08-06 长江存储科技有限责任公司 用于使用自对准双图案化来切割密集线图案的方法和结构
US11251043B2 (en) 2017-11-23 2022-02-15 Yangtze Memory Technologies Co., Ltd. Method and structure for cutting dense line patterns using self-aligned double patterning
CN111063611A (zh) * 2018-10-17 2020-04-24 长鑫存储技术有限公司 微图案刻蚀方法
CN111063611B (zh) * 2018-10-17 2024-05-10 长鑫存储技术有限公司 微图案刻蚀方法
CN109904062A (zh) * 2019-02-03 2019-06-18 中国科学院微电子研究所 纳米结构的制备方法
CN110828466A (zh) * 2019-11-11 2020-02-21 上海华力微电子有限公司 字线制作方法
CN113539796A (zh) * 2020-04-21 2021-10-22 华邦电子股份有限公司 图案化的方法
CN113539796B (zh) * 2020-04-21 2023-09-26 华邦电子股份有限公司 图案化的方法

Also Published As

Publication number Publication date
JP2014170912A (ja) 2014-09-18
KR20140109212A (ko) 2014-09-15
KR101508368B1 (ko) 2015-04-07
TW201435977A (zh) 2014-09-16
JP5703339B2 (ja) 2015-04-15
CN104022021B (zh) 2016-09-28
US20140248773A1 (en) 2014-09-04
TWI487004B (zh) 2015-06-01
US8877647B2 (en) 2014-11-04

Similar Documents

Publication Publication Date Title
CN104022021A (zh) 图案化的方法及存储器元件的形成方法
TWI531032B (zh) 記憶體線路結構以及其半導體線路製程
US9704845B2 (en) Methods for linewidth modification and apparatus implementing the same
TWI552314B (zh) 積體電路佈局及半導體裝置
TWI488238B (zh) 一種半導體線路製程
JP5260325B2 (ja) ダイナミックアレイ・アーキテクチャ
JP5432636B2 (ja) 半導体素子及び半導体素子のパターン形成方法
CN102197467B (zh) 制造鳍式场效晶体管(finfet)装置的方法
US8569125B2 (en) FinFET with improved gate planarity
KR101828492B1 (ko) 패턴 형성 방법, 레티클, 및 패턴 형성 프로그램이 기록된 기록 매체
CN103380484B (zh) 用以间隔件双图案微影的自我对准与非闪存选择栅字线
CN100573849C (zh) 用于形成具有鳍状结构的半导体元件的方法
US9385134B2 (en) Semiconductor device and method forming patterns with spaced pads in trim region
JP2008283164A (ja) フラッシュメモリ素子の製造方法
KR20140029050A (ko) 패턴 형성 방법
US9122832B2 (en) Methods for controlling microloading variation in semiconductor wafer layout and fabrication
CN104064522B (zh) 非挥发性存储器及其制作方法
CN101399230A (zh) 浮置栅极层与非易失性存储器的制造方法
KR20150040493A (ko) 반도체장치의 패턴 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant