JP5703339B2 - パターニング方法およびメモリ素子の形成方法 - Google Patents
パターニング方法およびメモリ素子の形成方法 Download PDFInfo
- Publication number
- JP5703339B2 JP5703339B2 JP2013121851A JP2013121851A JP5703339B2 JP 5703339 B2 JP5703339 B2 JP 5703339B2 JP 2013121851 A JP2013121851 A JP 2013121851A JP 2013121851 A JP2013121851 A JP 2013121851A JP 5703339 B2 JP5703339 B2 JP 5703339B2
- Authority
- JP
- Japan
- Prior art keywords
- region
- mask
- pattern
- mask layer
- layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims description 125
- 238000000059 patterning Methods 0.000 title claims description 39
- 125000006850 spacer group Chemical group 0.000 claims description 90
- 239000000758 substrate Substances 0.000 claims description 35
- 230000002093 peripheral effect Effects 0.000 claims description 27
- 238000005530 etching Methods 0.000 claims description 5
- 239000010410 layer Substances 0.000 description 147
- 238000001039 wet etching Methods 0.000 description 11
- 238000001312 dry etching Methods 0.000 description 9
- 230000015572 biosynthetic process Effects 0.000 description 8
- 229920002120 photoresistant polymer Polymers 0.000 description 7
- 238000001459 lithography Methods 0.000 description 6
- 239000000463 material Substances 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 230000000052 comparative effect Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/40—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
- H10B41/41—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/40—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
- H10B41/42—Simultaneous manufacture of periphery and memory cells
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/40—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
- H10B41/42—Simultaneous manufacture of periphery and memory cells
- H10B41/43—Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
- H10B41/46—Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor with an inter-gate dielectric layer also being used as part of the peripheral transistor
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/40—EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Semiconductor Memories (AREA)
- Non-Volatile Memory (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
Description
102 ターゲット層
104 犠牲層
106、142、150、242、250 マスク層
106a 第1マスクパターン
106b、106b−1、106b−2 第2マスクパターン
106c 第3マスクパターン
108 スペーサー層
110 第1領域
111a、111b 側辺
112 パターニングされたターゲット層
112a 第1ターゲットパターン
112b 第2ターゲットパターン
112c 第3ターゲットパターン
114 犠牲パターン
114a 側壁
116 削減されたマスク層
118 スペーサー
120 第2領域
130 第3領域
140 第4領域
202 最初のフォトマスクデータ
202a 第1ターゲットパターンデータ
202b 第2ターゲットパターンデータ
202c 第3ターゲットパターンデータ
204、206、206−1、206−2 フォトマスクデータ
202d、202e、202f ダミーパターンデータ
210 密集領域
211 除去された領域
220 空き領域
410、420 曲線
Pa、Pb、Pc 間隔
Wa、Wb、Wc 線幅
Claims (20)
- 第1領域および第2領域を有する基板を提供することと、
前記基板上に、ターゲット層、犠牲層および第1マスク層を順番に形成し、前記第1マスク層が、前記第1領域内にある複数の第1マスクパターンおよび前記第2領域内にある複数の第2マスクパターンを有することと、
前記第1マスクパターンをマスクとして、一部の前記犠牲層を除去し、複数の犠牲パターンを形成することと、
前記第1マスク層を除去することと、
前記各犠牲パターンの各側壁にスペーサーを形成することと、
前記犠牲パターンを除去することと、
少なくとも前記第2領域内の前記スペーサーを除去することと、
前記基板上に第2マスク層を形成し、前記第2領域に隣接する一部の前記第1領域を覆うことと、
前記第2マスク層および残りの前記スペーサーをマスクとして、一部の前記ターゲット層を除去し、前記第1領域上に複数の第1ターゲットパターンを形成するとともに、前記第2領域に隣接する一部の前記第1領域上に前記複数の第1のターゲットパターン間の距離と同じ距離だけ離れた位置に形成されるとともに、2つの前記スペーサーが形成され、かつ複数の前記第1のターゲットパターンよりも幅が広い第2ターゲットパターンを形成することと、
前記第2マスク層および残りの前記スペーサーを除去すること
を含み、
前記第2ターゲットパターンの1つの側辺が、前記2つのスペーサーのうちの1つにより定義され、もう1つの側辺が、前記第2マスク層により定義されるパターニング方法。 - 前記第1領域が、セル領域であり、前記第2領域が、周辺領域である請求項1に記載のパターニング方法。
- 前記基板が、さらに、第3領域を有し、前記第2領域が、前記第1領域と前記第3領域の間に位置し、
前記第1マスク層が、さらに、前記第3領域内にある複数の第3マスクパターンを有し、
前記第2マスク層が、さらに、前記第2領域に隣接する一部の前記第3領域を覆い、
前記第2マスク層および残りの前記スペーサーをマスクとして、一部の前記ターゲット層を除去し、さらに、前記第3領域上に複数の第3ターゲットパターンを形成するとともに、前記第2領域に隣接する一部の前記第3領域上に前記第2ターゲットパターンを形成することを含む請求項1に記載のパターニング方法。 - 前記第1領域および前記第3領域が、セル領域であり、前記第2領域が、周辺領域である請求項3に記載のパターニング方法。
- 前記第2ターゲットパターンの1つの側辺が、それぞれ残りの前記スペーサーのうちの1つにより定義され、もう1つの側辺が、それぞれ前記第2マスク層により定義される請求項3に記載のパターニング方法。
- 前記第1マスクパターンと前記第3マスクパターンは、同じ線幅および同じ間隔を有する請求項3に記載のパターニング方法。
- 前記第1マスクパターンと前記第3マスクパターンが、異なる線幅および異なる間隔を有する請求項3に記載のパターニング方法。
- 前記第1領域に隣接する一部の前記第2マスクパターンと前記第1領域の前記第1マスクパターンが、同じ線幅および同じ間隔を有する請求項3に記載のパターニング方法。
- 前記第3領域に隣接する一部の前記第2マスクパターンと前記第3領域の前記第3マスクパターンが、同じ線幅および同じ間隔を有する請求項3に記載のパターニング方法。
- 少なくとも前記第2領域内の前記スペーサーを除去する方法が、
前記基板上に第3マスク層を形成して、一部の前記第1領域および一部の前記第3領域を覆い、少なくとも前記第2領域を露出させることと、
前記第3マスク層をマスクとして前記第2領域内の前記スペーサーを除去するとともに、同時に、前記第1領域および前記第3領域内の一部の前記スペーサーを除去し、前記犠牲パターン末端に対応する前記スペーサーのループを切断することと、
前記第3マスク層を除去すること
を含む請求項3に記載のパターニング方法。 - 前記基板が、さらに、第4領域を有し、前記第1領域が、前記第2領域と前記第4領域の間に位置し、
前記第1マスク層が、さらに、前記第4領域内にある複数の第3マスクパターンを有し、
前記第2マスク層が、さらに、前記第4領域に隣接する一部の前記第1領域を覆い、
前記第2マスク層および残りの前記スペーサーをマスクとして、一部の前記ターゲット層を除去し、さらに、前記第4領域に隣接する一部の前記第1領域上に前記第2ターゲットパターンを形成することを含む請求項1に記載のパターニング方法。 - 前記第1領域が、セル領域であり、前記第2領域および前記第4領域が、周辺領域である請求項11に記載のパターニング方法。
- 前記第2ターゲットパターンの1つの側辺が、残りの前記スペーサーのうちの1つにより定義され、もう1つの側辺が、前記第2マスク層により定義される請求項11に記載のパターニング方法。
- 前記第1領域に隣接する一部の前記第2マスクパターンおよび前記第4領域に隣接する一部の前記第3マスクパターンと、前記第1領域の前記第1マスクパターンが、同じ線幅および同じ間隔を有する請求項11に記載のパターニング方法。
- 少なくとも前記第2領域内の前記スペーサーを除去する方法が、
前記基板上に第3マスク層を形成して、一部の前記第1領域を覆い、少なくとも前記第2領域および前記第4領域を露出させることと、
前記第3マスク層をマスクとして、前記第2領域および前記第4領域内の前記スペーサーを除去するとともに、同時に、前記第1領域内の一部の前記スペーサーを除去し、前記犠牲パターン末端に対応する前記スペーサーのループを切断することと、
前記第3マスク層を除去すること
を含む請求項11に記載のパターニング方法。 - 前記第1領域に隣接する一部の前記第2マスクパターンと前記第1領域の前記第1マスクパターンが、同じ線幅および同じ間隔を有する請求項1に記載のパターニング方法。
- 前記第1マスク層を形成した後および前記犠牲パターンを形成する前に、さらに、前記第1マスク層を削減することを含み、前記第1マスク層を削減する方法が、エッチング工程を行うことを含む請求項1に記載のパターニング方法。
- 少なくとも前記第2領域内の前記スペーサーを除去する方法が、
前記基板上に第3マスク層を形成して、一部の前記第1領域を覆い、少なくとも前記第2領域を露出させることと、
前記第3マスク層をマスクとして、前記第2領域内の前記スペーサーを除去するとともに、同時に、前記第1領域内の一部の前記スペーサーを除去し、前記犠牲パターン末端に対応する前記スペーサーのループを切断することと、
前記第3マスク層を除去すること
を含む請求項1に記載のパターニング方法。 - 前記第1マスク層を形成する方法が、フォトマスクにより前記犠牲層上に前記第1マスク層を形成することを含む請求項1に記載のパターニング方法。
- 前記フォトマスクの形成方法が、
最初のフォトマスクデータのうち前記第2ターゲットパターンを定義するために用いるデータを除去することと、
除去された領域および隣接する空き領域に複数のダミーパターンデータを追加すること
を含む請求項19に記載のパターニング方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
TW102107353A TWI487004B (zh) | 2013-03-01 | 2013-03-01 | 圖案化的方法及記憶體元件的形成方法 |
TW102107353 | 2013-03-01 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2014170912A JP2014170912A (ja) | 2014-09-18 |
JP5703339B2 true JP5703339B2 (ja) | 2015-04-15 |
Family
ID=51421133
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2013121851A Active JP5703339B2 (ja) | 2013-03-01 | 2013-06-10 | パターニング方法およびメモリ素子の形成方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US8877647B2 (ja) |
JP (1) | JP5703339B2 (ja) |
KR (1) | KR101508368B1 (ja) |
CN (1) | CN104022021B (ja) |
TW (1) | TWI487004B (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN109950140A (zh) * | 2019-04-18 | 2019-06-28 | 上海华力微电子有限公司 | 一种自对准双层图形的形成方法 |
Families Citing this family (131)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9177910B2 (en) * | 2012-04-18 | 2015-11-03 | Micron Technology, Inc. | Interconnect structures for integrated circuits and their formation |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US20150243511A1 (en) * | 2014-02-21 | 2015-08-27 | Kabushiki Kaisha Toshiba | Method of forming pattern and photo mask used therein |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9425058B2 (en) * | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
TWI621210B (zh) * | 2014-08-27 | 2018-04-11 | 聯華電子股份有限公司 | 一種製作半導體元件的方法 |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
KR102323456B1 (ko) | 2014-12-26 | 2021-11-10 | 삼성전자주식회사 | 반도체 소자 및 반도체 소자의 제조 방법 |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
CN105845574A (zh) * | 2015-01-14 | 2016-08-10 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制造方法、电子装置 |
KR102323251B1 (ko) | 2015-01-21 | 2021-11-09 | 삼성전자주식회사 | 반도체 소자 및 반도체 소자의 제조방법 |
KR102343859B1 (ko) * | 2015-01-29 | 2021-12-28 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9946827B2 (en) | 2015-07-16 | 2018-04-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for mandrel and spacer patterning |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
KR102491661B1 (ko) | 2016-01-12 | 2023-01-26 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
KR20170091833A (ko) | 2016-02-01 | 2017-08-10 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
US9847339B2 (en) * | 2016-04-12 | 2017-12-19 | Macronix International Co., Ltd. | Self-aligned multiple patterning semiconductor device fabrication |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
TWI592759B (zh) * | 2016-06-08 | 2017-07-21 | 力晶科技股份有限公司 | 結構上的光阻圖案製程 |
US10354873B2 (en) | 2016-06-08 | 2019-07-16 | Tokyo Electron Limited | Organic mandrel protection process |
CN107706095B (zh) * | 2016-06-20 | 2020-10-16 | 中芯国际集成电路制造(北京)有限公司 | 自对准双重构图方法、半导体器件及其制作方法、电子装置 |
KR102436634B1 (ko) * | 2016-06-27 | 2022-08-25 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
CN107634062B (zh) * | 2016-07-18 | 2020-11-17 | 中芯国际集成电路制造(北京)有限公司 | 半导体器件及其制作方法、电子装置 |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
CN108933140B (zh) * | 2017-05-26 | 2020-07-28 | 中芯国际集成电路制造(天津)有限公司 | 半导体器件的制造方法 |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10727056B2 (en) | 2017-11-23 | 2020-07-28 | Yangtze Memory Technologies Co., Ltd. | Method and structure for cutting dense line patterns using self-aligned double patterning |
CN107968047A (zh) * | 2017-11-23 | 2018-04-27 | 长江存储科技有限责任公司 | 一种sadp页缓冲器切断方法及结构 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10971393B2 (en) | 2017-12-27 | 2021-04-06 | Intel Corporation | Metal-insulator-metal (MIM) structure supporting high voltage applications and low voltage applications |
US11502031B2 (en) | 2017-12-27 | 2022-11-15 | Intel Corporation | Multiple layer metal-insulator-metal (MIM) structure |
WO2019132899A1 (en) | 2017-12-27 | 2019-07-04 | Intel Corporation | Integrated circuits (ics) with electromigration (em)-resistant segments in an interconnect level |
US11205586B2 (en) | 2017-12-27 | 2021-12-21 | Intel Corporation | Integrated circuits with line breaks and line bridges within a single interconnect level |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US20200043722A1 (en) * | 2018-07-31 | 2020-02-06 | Applied Materials, Inc. | Cvd based spacer deposition with zero loading |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
CN111063611B (zh) * | 2018-10-17 | 2024-05-10 | 长鑫存储技术有限公司 | 微图案刻蚀方法 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN109904062A (zh) * | 2019-02-03 | 2019-06-18 | 中国科学院微电子研究所 | 纳米结构的制备方法 |
CN110828466B (zh) * | 2019-11-11 | 2022-03-29 | 上海华力微电子有限公司 | 字线制作方法 |
US11024511B1 (en) * | 2020-04-21 | 2021-06-01 | Winbond Electronics Corp. | Patterning method |
CN113937103B (zh) * | 2021-08-27 | 2024-09-27 | 长鑫存储技术有限公司 | 一种接触孔图案的制备方法 |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7151040B2 (en) * | 2004-08-31 | 2006-12-19 | Micron Technology, Inc. | Methods for increasing photo alignment margins |
US7253118B2 (en) * | 2005-03-15 | 2007-08-07 | Micron Technology, Inc. | Pitch reduced patterns relative to photolithography features |
US7611944B2 (en) * | 2005-03-28 | 2009-11-03 | Micron Technology, Inc. | Integrated circuit fabrication |
JP2007150166A (ja) | 2005-11-30 | 2007-06-14 | Toshiba Corp | 半導体装置の製造方法 |
US7488685B2 (en) * | 2006-04-25 | 2009-02-10 | Micron Technology, Inc. | Process for improving critical dimension uniformity of integrated circuit arrays |
US7429533B2 (en) * | 2006-05-10 | 2008-09-30 | Lam Research Corporation | Pitch reduction |
US7763932B2 (en) * | 2006-06-29 | 2010-07-27 | International Business Machines Corporation | Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices |
US7611980B2 (en) * | 2006-08-30 | 2009-11-03 | Micron Technology, Inc. | Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures |
US7666578B2 (en) | 2006-09-14 | 2010-02-23 | Micron Technology, Inc. | Efficient pitch multiplication process |
CN101276818A (zh) * | 2007-03-30 | 2008-10-01 | 奇梦达股份公司 | 存储器件和导电线的阵列及其制造方法 |
KR100880323B1 (ko) * | 2007-05-11 | 2009-01-28 | 주식회사 하이닉스반도체 | 플래시 메모리 소자의 제조 방법 |
JP2009054956A (ja) | 2007-08-29 | 2009-03-12 | Toshiba Corp | 半導体メモリ |
KR100914289B1 (ko) * | 2007-10-26 | 2009-08-27 | 주식회사 하이닉스반도체 | 스페이서를 이용한 반도체 메모리소자의 패턴 형성방법 |
KR101565796B1 (ko) * | 2008-12-24 | 2015-11-06 | 삼성전자주식회사 | 반도체 소자 및 반도체 소자의 패턴 형성 방법 |
JP2010153481A (ja) | 2008-12-24 | 2010-07-08 | Toshiba Corp | 半導体記憶装置 |
KR101077453B1 (ko) | 2009-03-31 | 2011-10-26 | 주식회사 하이닉스반도체 | 반도체 소자의 패턴 형성 방법 |
US8383479B2 (en) * | 2009-07-21 | 2013-02-26 | Sandisk Technologies Inc. | Integrated nanostructure-based non-volatile memory fabrication |
KR20110087976A (ko) * | 2010-01-28 | 2011-08-03 | 삼성전자주식회사 | 반도체 소자용 배선 구조물의 형성방법 및 이를 이용하는 비휘발성 메모리 소자의 제조방법 |
JP5523912B2 (ja) | 2010-04-19 | 2014-06-18 | 株式会社東芝 | 不揮発性半導体記憶装置、及びその製造方法 |
KR101736983B1 (ko) | 2010-06-28 | 2017-05-18 | 삼성전자 주식회사 | 반도체 소자 및 반도체 소자의 패턴 형성 방법 |
KR101756226B1 (ko) * | 2010-09-01 | 2017-07-11 | 삼성전자 주식회사 | 반도체 소자 및 그 반도체 소자의 패턴 형성방법 |
US8455341B2 (en) * | 2010-09-02 | 2013-06-04 | Micron Technology, Inc. | Methods of forming features of integrated circuitry |
KR20120062385A (ko) * | 2010-12-06 | 2012-06-14 | 에스케이하이닉스 주식회사 | 반도체 메모리 소자의 형성방법 |
JP2012178378A (ja) | 2011-02-25 | 2012-09-13 | Tokyo Electron Ltd | 半導体装置の製造方法 |
-
2013
- 2013-03-01 TW TW102107353A patent/TWI487004B/zh active
- 2013-04-08 US US13/858,094 patent/US8877647B2/en active Active
- 2013-05-09 KR KR20130052367A patent/KR101508368B1/ko active IP Right Grant
- 2013-05-14 CN CN201310176237.0A patent/CN104022021B/zh active Active
- 2013-06-10 JP JP2013121851A patent/JP5703339B2/ja active Active
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN109950140A (zh) * | 2019-04-18 | 2019-06-28 | 上海华力微电子有限公司 | 一种自对准双层图形的形成方法 |
CN109950140B (zh) * | 2019-04-18 | 2021-11-05 | 上海华力微电子有限公司 | 一种自对准双层图形的形成方法 |
Also Published As
Publication number | Publication date |
---|---|
CN104022021B (zh) | 2016-09-28 |
JP2014170912A (ja) | 2014-09-18 |
US8877647B2 (en) | 2014-11-04 |
US20140248773A1 (en) | 2014-09-04 |
CN104022021A (zh) | 2014-09-03 |
KR101508368B1 (ko) | 2015-04-07 |
TW201435977A (zh) | 2014-09-16 |
TWI487004B (zh) | 2015-06-01 |
KR20140109212A (ko) | 2014-09-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5703339B2 (ja) | パターニング方法およびメモリ素子の形成方法 | |
TWI531032B (zh) | 記憶體線路結構以及其半導體線路製程 | |
JP5432636B2 (ja) | 半導体素子及び半導体素子のパターン形成方法 | |
TWI488238B (zh) | 一種半導體線路製程 | |
TWI556384B (zh) | 用於製造後段製程(beol)互連之改良覆蓋的對角線硬遮罩 | |
KR101565796B1 (ko) | 반도체 소자 및 반도체 소자의 패턴 형성 방법 | |
TWI556066B (zh) | 執行自對準微影蝕刻製程的方法 | |
KR101565798B1 (ko) | 콘택 패드와 도전 라인과의 일체형 구조를 가지는 반도체 소자 | |
TWI378494B (en) | Method for forming patterns in a semiconductor memory device | |
US9224617B2 (en) | Forming cross-coupled line segments | |
CN100573849C (zh) | 用于形成具有鳍状结构的半导体元件的方法 | |
KR100817090B1 (ko) | 반도체 소자의 제조 방법 | |
JP2015122516A (ja) | ピッチマルチプリケーションされた材料のループの一部分を分離するための方法およびその関連構造 | |
JP2008066689A (ja) | 半導体素子の製造方法 | |
KR101093241B1 (ko) | 반도체 소자의 패턴 형성방법 | |
KR20100098135A (ko) | 반도체 소자의 패턴 형성 방법 | |
TWI336101B (en) | Semiconductor device with a bulb-type recess gate and method for manufacturing the same | |
US20160365311A1 (en) | Method of manufacturing semiconductor devices with combined array and periphery patterning in self-aligned double patterning | |
KR20100104861A (ko) | 반도체 소자의 패턴 형성 방법 | |
JP2013089958A (ja) | 不揮発性メモリ装置の製造方法 | |
JP5879656B2 (ja) | ビア孔により接続された導体のネットワークを生成するリソグラフィ方法 | |
US8110507B2 (en) | Method for patterning an active region in a semiconductor device using a space patterning process | |
KR100940275B1 (ko) | 반도체 소자의 게이트 패턴 형성방법 | |
JP2007318065A (ja) | フラッシュメモリ素子の製造方法 | |
KR20120041989A (ko) | 반도체 소자의 제조 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20140707 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20140806 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20141205 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20150114 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20150203 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20150223 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5703339 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |