KR101508368B1 - 패터닝 방법 및 메모리 장치를 형성하는 방법 - Google Patents

패터닝 방법 및 메모리 장치를 형성하는 방법 Download PDF

Info

Publication number
KR101508368B1
KR101508368B1 KR20130052367A KR20130052367A KR101508368B1 KR 101508368 B1 KR101508368 B1 KR 101508368B1 KR 20130052367 A KR20130052367 A KR 20130052367A KR 20130052367 A KR20130052367 A KR 20130052367A KR 101508368 B1 KR101508368 B1 KR 101508368B1
Authority
KR
South Korea
Prior art keywords
region
mask
patterns
mask layer
spacers
Prior art date
Application number
KR20130052367A
Other languages
English (en)
Other versions
KR20140109212A (ko
Inventor
전-시앙 차이
Original Assignee
윈본드 일렉트로닉스 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윈본드 일렉트로닉스 코포레이션 filed Critical 윈본드 일렉트로닉스 코포레이션
Publication of KR20140109212A publication Critical patent/KR20140109212A/ko
Application granted granted Critical
Publication of KR101508368B1 publication Critical patent/KR101508368B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/43Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
    • H10B41/46Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor with an inter-gate dielectric layer also being used as part of the peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

메모리 장치를 형성하는 방법이 제공되어 있다. 적어도 2개의 셀 영역 및 상기 셀 영역들 사이의 적어도 하나의 주변 영역이 제공된다. 타깃층, 희생층 및 상기 셀 영역들 내에 제1 마스크 패턴들 및 상기 주변 영역 내에 제2 마스크 패턴들을 지니는 제1 마스크 층이 순차적으로 상기 기판상에 형성된다. 희생층은 마스크로서 상기 제1 마스크 층을 사용하여 희생 패턴들을 형성하도록 부분적으로 제거된다. 상기 희생 패턴들의 측벽들 상에는 스페이서들이 형성된다. 상기 주변 영역 내의 희생 패턴들 및 적어도 스페이서들이 제거된다. 상기 셀 영역들에는 제2 마스크 층이 형성된다. 마스크로서 상기 제2 마스크 층 및 남아 있는 스페이서들을 사용하여 타깃 셀이 부분적으로 제거되어 상기 셀 영역 내에 워드 라인들이 형성되고 상기 주변 영역에 인접한 셀 영역들의 일부분 내에 선택 게이트들이 형성된다.

Description

패터닝 방법 및 메모리 장치를 형성하는 방법{Patterning method and method of forming memory device}
본 발명은 일반적으로 기술하면 반도체 프로세스 및 반도체 장치를 형성하는 방법에 관한 것이며, 더 구체적으로 기술하면 패터닝 방법 및 메모리 장치를 형성하는 방법에 관한 것이다.
비-휘발성 메모리는 다수의 데이터 기록, 판독 및 소거 동작들의 특성들을 제공하며, 비록 전력이 차단된 경우라도 저장된 정보를 유지할 수 있다. 그 결과로, 상기 비-휘발성 메모리는 개인용 컴퓨터들 및 컴퓨터 전자 제품들에 널리 사용되고 있다. 비-휘발성 메모리의 집적도가 높아지게 되면, 그의 임계 치수가 작아지게 된다. 리도그래피 프로세스에서 광원 분해능(light source resolution)의 한계를 돌파하기 위해, 소위 스페이서 자기-정렬 이중 패터닝(spacer self-aligned double patterning; SADP) 방법이 상기 장치의 집적도를 높이기 위해 개발되었다. 그러나, 메모리의 워드 라인들이 현재의 기법들을 가지고 한정되는 경우에는, 주변 영역에 인접한 워드 라인들의 라인-폭이 상기 주변 영역에 영향을 받으므로 타깃 값으로 정확하게 제어될 수 없다. 그러므로, 상기 워드 라인들의 임계 치수 균일도(critical dimension uniformity; CDU)가 나빠지게 되고 장치 성능이 그에 따라 감소하게 된다.
따라서, 본 발명은 메모리의 워드 라인들이 양호한 임계 치수 균일도(CDU)를 가지고 제조될 수 있는 패터닝 방법 및 메모리 장치를 형성하는 방법에 관한 것이다.
본 발명은 패터닝 방법을 제공하며, 상기 패터닝 방법은, 제1 영역 및 제2 영역을 지니는 기판을 제공하는 단계; 상기 기판상에 타깃층, 희생층 및 제1 마스크층을 순차적으로 형성하는 단계로서, 상기 제1 마스크층은 상기 제1 영역에 위치해 있는 복수 개의 제1 마스크 패턴들 및 상기 제2 영역에 위치해 있는 복수 개의 제2 마스크 패턴들을 지니는, 단계; 마스크로서 상기 제1 마스크층을 사용하여 상기 희생층의 일부분을 제거하여 복수 개의 희생 패턴들을 형성하는 단계; 상기 제1 마스크층을 제거하는 단계; 상기 희생 패턴들 각각의 측벽 상에 스페이서를 형성하는 단계; 상기 희생 패턴들을 제거하는 단계; 적어도 상기 제2 영역 내의 스페이서들을 제거하는 단계; 상기 기판상에 제2 마스크층을 형성하여 상기 제2 영역에 인접한 제1 영역의 일부분을 도포하는 단계; 마스크로서 상기 제2 마스크층 및 남아 있는 스페이서들을 사용하여 상기 타깃층의 일부분을 제거하여 상기 제1 영역 내에 복수 개의 제1 타깃 패턴들을 형성하고 상기 제2 영역에 인접한 상기 제1 영역의 일부분 내에 제2 타깃 패턴을 형성하는 단계; 및 상기 제2 마스크층 및 상기 남아 있는 스페이서들을 제거하는 단계;를 포함한다.
본 발명의 한 실시예에 의하면, 상기 제2 타깃 패턴의 한 측면-에지(side-edge)는 상기 남아 있는 스페이서들 중 하나의 스페이서에 의해 한정되며 상기 제2 타깃 패턴의 다른 한 측면-에지는 상기 제2 마스크층에 의해 한정된다.
본 발명의 한 실시예에 의하면, 상기 제1 영역은 셀 영역이며 상기 제2 영역은 주변 영역이다.
본 발명의 한 실시예에 의하면, 상기 기판은 제3 영역을 더 지니며, 상기 제2 영역은 상기 제1 영역 및 상기 제3 영역 사이에 위치해 있고; 상기 제1 마스크층은 상기 제3 영역에 위치해 있는 복수 개의 제3 마스크 패턴들을 더 지니며; 상기 제2 마스크층은 상기 제2 영역에 인접한 상기 제3 영역의 일부분을 더 도포하고; 마스크로서 상기 제2 마스크층 및 상기 남아 있는 스페이서들을 사용하여 상기 타깃층의 일부분을 제거하는 단계는 상기 제3 영역 내에 복수 개의 제3 타깃 패턴들을 형성하며 상기 제2 영역에 인접한 상기 제3 영역의 일부분 내에 다른 한 제2 타깃 패턴을 형성하는 단계를 더 포함한다.
본 발명의 한 실시예에 의하면, 상기 제1 영역 및 상기 제3 영역은 셀 영역들이고 상기 제2 영역은 주변 영역이다.
본 발명의 한 실시예에 의하면, 상기 다른 한 제2 타깃 패턴의 한 측면-에지는 상기 남아 있는 스페이서들 중 하나의 스페이서에 의해 한정되고 상기 다른 한 제2 타깃 패턴의 다른 한 측면-에지는 상기 제2 마스크층에 의해 한정된다.
본 발명의 한 실시예에 의하면, 상기 제1 마스크 패턴들 및 상기 제3 마스크 패턴들은 동일한 선-폭(line-width) 및 동일한 피치(pitch)를 지닌다.
본 발명의 한 실시예에 의하면, 상기 제1 마스크 패턴들 및 상기 제3 마스크 패턴들은 서로 다른 선-폭들 및 서로 다른 피치들을 지닌다.
본 발명의 한 실시예에 의하면, 상기 제1 영역에 인접한 상기 제2 마스크 패턴들의 일부분 및 상기 제1 영역 내의 제1 마스크 패턴들은 동일한 선-폭 및 동일한 피치를 지닌다.
본 발명의 한 실시예에 의하면, 상기 제3 영역에 인접한 상기 제2 마스크 패턴들의 일부분 및 상기 제3 영역 내의 제3 마스크 패턴들은 동일한 선-폭 및 동일한 피치를 지닌다.
본 발명의 한 실시예에 의하면, 적어도 상기 제2 영역 내의 스페이서들을 제거하는 단계는 상기 기판상에 제3 마스크층을 형성하여 상기 제1 영역의 일부분 및 상기 제3 영역의 일부분을 도포하고 적어도 상기 제2 영역을 노출하는 단계; 마스크로서 상기 제3 마스크층을 사용하여 상기 제2 영역 내의 스페이서들을 제거하는 단계로서, 상기 제2 영역 내의 스페이서들을 제거하는 단계는 상기 제1 영역 및 상기 제3 영역 내의 스페이서들 중 일부분을 동시에 제거하여 상기 희생 패턴들의 단부들에 상응하는 스페이서들의 루프들을 단절시키는, 단계; 및 상기 제3 마스크층을 제거하는 단계;를 포함한다.
본 발명의 한 실시예에 의하면, 상기 기판은 제4 영역을 더 지니며, 상기 제1 영역은 상기 제2 영역 및 상기 제4 영역 사이에 위치해 있고; 상기 제1 마스크층은 상기 제4 영역에 위치해 있는 복수 개의 제3 마스크 패턴들을 더 지니며; 상기 제2 마스크층은 상기 제4 영역에 인접한 상기 제1 영역의 일부분을 더 도포하고; 마스크로서 상기 제2 마스크층 및 상기 남아 있는 스페이서들을 사용하여 상기 타깃층의 일부분을 제거하는 단계는 상기 제4 영역에 인접한 상기 제1 영역의 일부분 내에 다른 한 제2 타깃 패턴을 형성하는 단계를 더 포함한다.
본 발명의 한 실시예에 의하면, 상기 제1 영역은 셀 영역이며, 상기 제2 영역 및 제4 영역은 주변 영역들이다.
본 발명의 한 실시예에 의하면, 상기 다른 한 제2 타깃 패턴의 한 측면-에지는 상기 남아 있는 스페이서들 중 하나의 스페이서에 의해 한정되며 상기 다른 한 제2 타깃 패턴의 다른 한 측면-에지는 상기 제2 마스크층에 의해 한정된다.
본 발명의 한 실시예에 의하면, 상기 제1 영역에 인접한 상기 제2 마스크 패턴들의 일부분, 상기 제1 영역에 인접한 상기 제3 마스크 패턴들의 일부분, 및 상기 제1 영역 내의 제1 타깃 패턴들은 동일한 선-폭 및 동일한 피치를 지닌다.
본 발명의 한 실시예에 의하면, 적어도 상기 제2 영역 내의 스페이서들을 제거하는 단계는, 상기 기판상에 제3 마스크층을 형성하여 상기 제1 영역의 일부분을 도포하며 적어도 상기 제2 영역 및 상기 제4 영역을 노출하는 단계; 마스크로서 상기 제3 마스크층을 사용하여 상기 제2 영역 내 및 상기 제4 영역 내의 스페이서들을 제거하는 단계로서, 상기 제2 영역 내 및 상기 제4 영역 내의 스페이서들을 제거하는 단계는 상기 제1 영역 내의 스페이서들 중 일부분을 동시에 제거하여, 상기 희생 패턴들의 단부들에 상응하는 스페이서들의 루프들을 단절시키는, 단계; 및 상기 제3 마스크층을 제거하는 단계;를 포함한다.
본 발명의 한 실시예에 의하면, 상기 제1 영역에 인접한 상기 제2 마스크 패턴들의 일부분 및 상기 제1 영역 내의 제1 마스크 패턴들은 동일한 선-폭 및 동일한 피치를 지닌다.
본 발명의 한 실시예에 의하면, 상기 제1 마스크층을 형성하는 단계 후에 그리고 상기 희생 패턴들을 형성하는 단계 전에, 상기 방법은 상기 제1 마스크층을 트리밍(trimming)하는 단계를 더 포함하며, 상기 제1 마스크층을 트리밍하는 단계는 에칭 프로세스를 수행하는 단계를 포함한다.
본 발명의 한 실시예에 의하면, 적어도 상기 제2 영역 내의 스페이서들을 제거하는 단계는 상기 기판상에 제3 마스크층을 형성하여 상기 제1 영역의 일부분을 도포하고 적어도 상기 제2 영역을 노출하는 단계; 마스크로서 상기 제3 마스크층을 사용하여 상기 제2 영역 내의 스페이서들을 제거하는 단계로서, 상기 제2 영역 내의 스페이서들을 제거하는 단계는 상기 제1 영역 내의 스페이서들 중 일부분을 동시에 제거하여 상기 희생 패턴들의 단부들에 상응하는 스페이서들의 루프들을 단절시키는 단계; 및 상기 제3 마스크층을 제거하는 단계;를 포함한다.
본 발명의 한 실시예에 의하면, 상기 제1 마스크층을 형성하는 단계는 포토마스크를 통해 상기 희생층 상에 상기 제1 마스크층을 형성하는 단계를 포함한다.
본 발명의 한 실시예에 의하면, 상기 포토레지스트를 형성하는 단계는 원래의 포토마스크 데이터로부터 상기 제2 타깃 패턴을 한정하기 위한 데이터를 제거하는 단계; 및 제거된 영역 및 인접한 개방 영역 내에 복수 개의 더미 패턴(dummy pattern)들을 포함하는 데이터를 추가하는 단계;를 포함한다.
본 발명은 메모리 장치를 형성하는 방법을 부가적으로 제공하며, 상기 메모리 장치를 형성하는 방법은, 적어도 하나의 셀 영역 및 적어도 하나의 주변 영역을 지니는 기판을 제공하는 단계; 상기 기판상에 타깃층, 희생층 및 제1 마스크층을 순차적으로 형성하는 단계로서, 상기 제1 마스크층은 상기 셀 영역에 위치해 있는 복수 개의 제1 마스크 패턴들 및 상기 주변 영역에 위치해 있는 복수 개의 제2 마스크 패턴들을 지니는, 단계; 마스크로서 상기 제1 마스크층을 사용하여 상기 희생층의 일부분을 제거하여 복수 개의 희생 패턴들을 형성하는 단계; 상기 제1 마스크층을 제거하는 단계; 상기 희생 패턴들 각각의 측벽 상에 스페이서를 형성하는 단계; 상기 희생 패턴들을 제거하는 단계; 적어도 상기 주변 영역 내의 스페이서들을 제거하는 단계; 상기 기판상에 제2 마스크층을 형성하여 상기 주변 영역에 인접한 상기 셀 영역의 일부분을 도포하는 단계; 마스크로서 상기 제2 마스크층 및 남아 있는 스페이서들을 사용하여 상기 타깃층의 일부분을 제거하여 상기 셀 영역 내에 복수 개의 워드 라인들을 형성하고 상기 주변 영역에 인접한 상기 셀 영역의 일부분 내에 복수 개의 선택 게이트들을 형성하는 단계; 및 상기 제2 마스크층 및 상기 남아 있는 스페이서들을 제거하는 단계;를 포함한다.
본 발명의 한 실시예에 의하면, 상기 제1 마스크 패턴들 및 상기 제2 마스크 패턴들은 동일한 선-폭 및 동일한 피치를 지닌다.
본 발명의 한 실시예에 의하면, 상기 셀 영역에 인접한 상기 제2 마스크 패턴들의 일부분 및 상기 셀 영역 내의 제1 마스크 패턴들은 동일한 선-폭 및 동일한 피치를 지닌다.
본 발명의 한 실시예에 의하면, 상기 주변 영역 내의 제2 마스크 패턴들은 거울 대칭(mirror symmetry)을 이룬다.
본 발명의 한 실시예에 의하면, 상기 제1 마스크층을 형성하는 단계 후에 그리고 상기 희생 패턴들을 형성하는 단계 전에, 상기 방법은 상기 제1 마스크층을 트리밍(trimming)하는 단계를 더 포함하며, 상기 제1 마스크층을 트리밍하는 단계는 에칭 프로세스를 수행하는 단계를 포함한다.
본 발명의 한 실시예에 의하면, 적어도 상기 주변 영역 내의 스페이서들을 제거하는 단계는 상기 기판상에 제3 마스크층을 형성하여 상기 셀 영역의 일부분을 도포하고 적어도 상기 주변 영역을 노출하는 단계; 마스크로서 상기 제3 마스크층을 사용하여 상기 주변 영역 내의 스페이서들을 제거하는 단계로서, 상기 주변 영역 내의 스페이서들을 제거하는 단계는 상기 셀 영역 내의 스페이서들 중 일부분을 동시에 제거하여 상기 희생 패턴들의 단부들에 상응하는 스페이서들의 루프들을 단절시키는, 단계; 및 상기 제3 마스크층을 제거하는 단계;를 포함한다.
본 발명의 한 실시예에 의하면, 선택 게이트들 각각의 한 측면-에지는 상기 남아 있는 스페이서들 중 하나의 스페이서에 의해 한정되며 선택 게이트들 각각의 다른 한 측면-에지는 상기 제2 마스크층에 의해 한정된다.
본 발명의 한 실시예에 의하면, 상기 제1 마스크층을 형성하는 단계는 포토마스크를 통해 상기 희생층 상에 상기 제1 마스크층을 형성하는 단계를 포함한다.
본 발명의 한 실시예에 의하면, 상기 포토마스크를 형성하는 단계는 원래의 포토마스크 데이터로부터 상기 선택 게이트들을 한정하기 위한 데이터를 제거하는 단계; 및 제거된 영역 및 인접한 개방 영역 내에 복수 개의 더미 패턴들을 포함하는 데이터를 추가하는 단계;를 포함한다.
위의 설명을 고려하면, 본 발명의 패터닝 방법 및 메모리 장치의 형성 방법에서는, 밀집 영역들의 선택 게이트들은 원래의 포토마스크 데이터로부터 제거된다. 다음으로 동일한 선-폭 및 동일한 피치를 갖는 다수의 더미 패턴들은 상기 제거된 영역 및 상기 밀집 영역들에 인접한 개방 영역 내에 추가됨으로써 변형된 포토마스크 데이터가 형성된다. 상기 더미 패턴들이 상기 개방 영역 내에 추가됨으로써 상기 변형된 포토마스크가 형성되기 때문에, 상기 변형된 포토마스크를 사용하여 워드 라인들이 한정될 경우에, 상기 주변 영역에 인접한 워드 라인들의 선-폭이 상기 주변 영역에 영향을 받게 되는 종래의 문제점이 해결될 수 있다. 그 결과로, 본 발명은 종래의 불량한 CDU 문제점을 회피할 수 있다. 그러므로, 상기 메모리의 워드 라인들은 상기 포토마스크들의 개수를 변경시키지 않고 양호한 CDU를 가지고 제조될 수 있다.
본 발명의 특징들 및 이점들을 더 잘 이해하기 위해, 본 발명은 이하 실시예들 및 첨부 도면들을 참조하여 상세하게 설명될 것이다.
도 1a 내지 도 1m은 본 발명의 한 실시예에 따른 패터닝 방법을 보여주는 단면도들이다.
도 2a 내지 도 2c는 본 발명의 한 실시예에 따른 제1 포토마스크를 설계하기 위한 프로세스 흐름도들이다.
도 2ca 및 도 2cb는 본 발명의 다른 한 실시예에 따른 제1 포토마스크의 설계를 각각 예시하는 도면들이다.
도 3a 내지 도 3g는 본 발명의 다른 한 실시예에 따른 패터닝 방법을 보여주는 단면도들이다.
도 4는 본 발명의 패터닝 방법 및 종래의 방법 간의 CDU 결과 비교도이다.
도 1a 내지 도 1m은 본 발명의 한 실시예에 따른 패터닝 방법을 보여주는 단면도들이다.
도 1a를 참조하면, 기판(100)이 제공된다. 상기 기판(100)은 제1 영역(110), 제2 영역(120) 및 제3 영역(130)을 지닌다. 상기 제2 영역(120)은 상기 제1 영역(110) 및 상기 제3 영역(130) 사이에 위치해 있다. 상기 기판(100)은 예를 들면 실리콘 기판 또는 적합한 반도체 기판이다. 한 실시예에서, 상기 제1 영역(110) 및 제3 영역(130)은 메모리의 셀 영역들일 수 있지만, 상기 제2 영역(120)은 상기 메모리의 개방 영역 또는 주변 영역일 수 있다. 다음으로는, 타깃층(102) 및 희생층(104)이 상기 기판(100) 상에 순차적으로 형성된다. 상기 타깃층(102)은 예를 들면 단일층 또는 적층으로 이루어진 층이다. 한 실시예에서는, 상기 타깃층(102)이 단일층일 경우에, 그의 재료가 도핑된 폴리실리콘일 수 있다. 다른 한 실시예에서는, 상기 타깃층(102)이 유전층 및 도전층을 포함하는 적층으로 이루어진 층일 경우에, 상기 유전층의 재료는 산화 실리콘을 포함하고 상기 도전층의 재료는 도핑된 폴리실리콘을 포함한다. 상기 타깃층(102)을 형성하는 단계는 화학적 기상 증착(chemical vapor deposition; CVD) 프로세스를 수행하는 단계를 포함한다. 상기 희생층(104)의 재료는 탄소 또는 적합한 재료일 수 있으며, 그의 형성 단계는 CVD 프로세스를 수행하는 단계를 포함한다.
이때, 상기 희생층(104) 상에는 마스크층(106)이 형성된다. 상기 마스크층(106)은 리도그래피 프로세스를 통해 형성되는 패터닝된 포토레지스트층일 수 있다. 상기 마스크층(106)은 상기 제1 영역(110) 내의 복수 개의 제1 마스크 패턴들(106a), 상기 제2 영역(120) 내의 복수 개의 제2 마스크 패턴들(106b) 및 상기 제3 영역(130) 내의 복수 개의 제3 마스크 패턴들(106c)을 지닌다. 상기 제2 마스크 패턴들(106b)은 제2 마스크 패턴들(106b-1) 및 제2 마스크 패턴들(106b-2)을 포함한다. 그 외에도, 상기 제1 영역(110)에 인접한 제2 마스크 패턴들(106b-1)의 일부분 및 상기 제1 영역(110) 내의 제1 마스크 패턴들(106a)은 동일한 선-폭 및 동일한 피치를 지니고, 상기 제2 마스크 패턴들(106b-2)의 일부분 및 상기 제3 영역(130) 내의 제3 마스크 패턴들(106c)은 동일한 선-폭 및 동일한 피치를 지닌다.
한 실시예에서는, 상기 제1 마스크 패턴들(106a) 및 상기 제3 마스크 패턴들(106c)은 상기 메모리의 워드 라인들을 형성하는데 사용됨으로써, 상기 제1 마스크 패턴들(106a) 및 상기 제3 마스크 패턴들(106c)이 동일한 선-폭 및 동일한 피치를 지닐 수 있게 된다. 다시 말하면, 상기 제1 마스크 패턴(106a)의 선-폭(Wa) 및 피치(Pa)는 각각 상기 제3 마스크 패턴(106c)의 선-폭(Wc) 및 피치(Pc)와 동일하다. 그 외에도, 상기 제1 영역(110)에 인접한 제2 마스크 패턴들(106b-1)의 일부분 및 상기 제1 영역(110) 내의 제1 마스크 패턴들(106a)은 동일한 선-폭 및 동일한 피치를 지니고, 상기 제3 영역(130)에 인접한 제2 마스크 패턴들(106b-2)의 일부분 및 상기 제3 영역 내의 제3 마스크 패턴들(106c)은 동일한 선-폭, 및 동일한 피치를 지님으로써, 선-폭들(Wa,Wb,Wc)은 동일하며 피치들(Pa,Pb,Pc) 또한 동일하다. 예를 들면, 선-폭들(Wa,Wb,Wc)은 약 10 nm 내지 150 nm이며, 피치들(Pa,Pb,Pc)은 약 20 nm 내지 300 nm이다. 그러나, 본 발명은 이에 국한되지 않는다. 다른 한 실시예에서는, 상기 제1 마스크 패턴들(106a) 및 상기 제3 마스크 패턴들(106c)은 서로 다른 선-폭들 및 서로 다른 피치들을 지닐 수 있다. 그러한 경우에, 상기 제1 영역(110)에 인접한 제2 마스크 패턴들(106b-1)의 일부분 및 상기 제3 영역(130)에 인접한 제2 마스크 패턴들(106b-2)의 일부분은 서로 다른 선-폭들 및 서로 다른 피치들을 지닐 수 있다.
이러한 실시예에서는, 상기 마스크 층(106)을 형성하는 단계가 제1 포토마스크(도시되지 않음)를 통해 상기 희생층(104) 상에 마스크층(106)을 형성하는 단계를 포함한다.
상기 마스크층(106)을 형성하는 제1 포토마스크는 상기 마스크층(106) 내에서 상기 제1 영역(110)에 인접한 제2 마스크 패턴들(106b-1)의 일부분 및 상기 제1 영역(110) 내의 제1 마스크 패턴들(106a)이 동일한 선-폭 및 동일한 피치를 지닐 수 있게 하며, 상기 제3 영역(130)에 인접한 제2 마스크 패턴(106b-2)의 일부분 및 상기 제3 영역(130) 내의 제3 마스크 패턴들(106c)이 동일한 선-폭 및 동일한 피치를 지닐 수 있게 하도록 설계된다. 한 실시예에서는, 상기 제1 마스크 패턴(106a) 및 상기 제3 마스크 패턴들(106c)이 동일한 선-폭 및 동일한 피치를 지니는 경우에, 상기 제2 마스크 패턴들(106b-1)의 일부분 및 상기 제2 마스크 패턴들(106b-2)의 일부분 또한 동일한 선-폭 및 동일한 피치를 지닌다. 이때, 상기 제2 영역(120)의 중심 부위에 위치해 있는 제2 마스크 패턴들(106b) 중 적어도 하나는 상기 제2 마스크 패턴들(106b-1)의 일부분 및 상기 제2 마스크 패턴들(106b-2)의 일부분의 선-폭 및 피치와 동일한 선-폭 및 동일한 피치를 지닐 수 있다. 변형적으로는, 상기 제2 영역(120)의 중심 부위에 위치해 있는 제2 마스크 패턴들(106b) 중 적어도 하나는 상기 제2 마스크 패턴들(106b-1)의 일부분 및 상기 제2 마스크 패턴들(106b-2)의 일부분의 선-폭 및 피치와는 다른 선-폭 및 피치를 지닐 수 있다. 다른 한 실시예에서는, 상기 제1 마스크 패턴들(106a)의 선-폭 및 피치가 상기 제3 마스크 패턴(106c)의 선-폭 및 피치와 다른 경우에, 상기 제2 마스크 패턴들(106b-1)의 일부분 및 상기 제2 마스크 패턴들(106b-2)의 일부분은 또한 서로 다른 선-폭들 및 피치들을 지닌다. 이때, 상기 제2 영역(120)의 중심 부위에 위치해 있는 제2 마스크 패턴들(106b) 중 적어도 하나는 상기 제2 마스크 패턴들(106b-1)만의 일부분의 선-폭 및 피치, 또는 상기 제2 마스크 패턴들(106b-2)의 일부분의 선-폭 및 피치와 동일한 선-폭 및 동일한 피치를 지닐 수 있다. 변형적으로는, 상기 제2 영역(120)의 중심 부위에 위치해 있는 제2 마스크 패턴들(106b) 중 적어도 하나는 상기 제2 마스크 패턴들(106b-1)의 일부분 및 상기 제2 마스크 패턴들(106b-2)의 일부분의 선-폭 및 피치와는 다른 선-폭 및 피치를 지닌다. 이하에서는 일례로서 제1 포토마스크의 설계 흐름이 설명되는데, 이 경우에 상기 제1 마스크 패턴들(106a) 및 상기 제3 마스크 패턴들(106c)은 동일한 선-폭 및 동일한 피치를 지닌다.
도 2a 내지 도 2c에는 본 발명의 한 실시예에 따른 제1 포토마스크의 설계 프로세스 흐름이 예시되어 있다. 도 2a 내지 도 2c를 참조하면, 제1 포토마스크를 형성하는 단계는 다음과 같은 단계들을 포함한다. 원래의 포토마스크 데이터(202)는 2개의 밀집 영역(210) 및 하나의 개방 영역(220)을 지닌다. 상기 개방 영역(220)은 2개의 밀집 영역(210) 사이에 배치된다. 상기 제1 타깃 패턴 데이터(202a), 상기 제2 타깃 패턴 데이터(202b) 및 상기 제3 타깃 패턴 데이터(202c)는 상기 밀집 영역들(210)에 위치해 있다. 예를 들면, 상기 제1 데이터 패턴 데이터(202a) 및 상기 제3 타깃 패턴 데이터(202c)는 워드 라인 데이터이며, 상기 제2 타깃 패턴 데이터(202b)는 선택 게이트 데이터이다.
상기 제2 타깃 패턴 데이터(202b)는 상기 원래의 포토마스크 데이터(202)로부터 제거되어 도 2b에 도시된 바와 같이 포토마스크(204)가 형성된다. 이때, 복수 개의 더미 패턴들을 포함하는 데이터(202d)는 상기 제거된 영역(211) 및 상기 인접한 개방 영역(220) 내에 추가됨으로써 포토마스크 데이터(206)가 도 2c에 도시된 바와 같이 형성된다.
한 실시예에서는, 상기 제거된 영역(211) 내 및 상기 인접한 개방 영역(220) 내의 데이터(202d)의 더미 패턴들은 거울 대칭을 이룬다. 그러나, 본 발명은 이에 국한되지 않는다. 예를 들면, 상기 제거된 영역(211) 내 및 상기 인접한 개방 영역(220) 내의 데이터(202d)의 더미 패턴들(202d)은 거울 대칭을 이루지 않을 수 있다. 한 실시예에서, 상기 제거된 영역(211) 및 상기 인접한 개방 영역(220)을 포함하는 공간이 동일한 선-폭 및 동일한 피치를 지니는 더미 패턴들을 포함하는 데이터의 추가를 허용하는 경우에, 도 2c의 레이아웃이 확립된다. 다른 한 실시예에서는, 상기 제거된 영역(211) 및 상기 인접한 개방 영역(220)을 포함하는 공간이 동일한 선-폭 및 동일한 피치를 지니는 더미 패턴들을 포함하는 데이터의 추가를 허용하지 않을 경우에는, 2개의 더미 패턴의 데이터(202e) 및 한 더미 패턴의 데이터(202f)가 상기 개방 영역(220)의 중심 부위에 추가될 수 있다. 특히, 2개의 더미 패턴의 데이터(202e)가 상기 개방 영역(220)의 중심 부위에 추가되는 경우에, 데이터(202d,202e)의 더미 패턴들은 도 2ca의 포토마스크 데이터(206-1)에 도시된 바와 같이 거울 대칭을 이룬다. 한 더미 패턴의 데이터(202f)가 상기 개방 영역(220)의 중심 부위에 추가될 경우에, 데이터(202d,202f)의 더미 패턴들은 도 2cb의 포토마스크 데이터(206-2)에 도시된 바와 같이 거울 대칭을 이룬다.
도 1b를 참조하면, 이러한 실시예에서는, 상기 마스크층(106)을 형성한 후에는, 상기 마스크층(106)이 트리밍(trimming)되어 트리밍된 마스크층(116)이 형성된다. 상기 마스크층(106)을 트리밍하는 단계는 건식-에칭(dry-etching) 프로세스와 같은 에칭 프로세스를 수행하는 단계를 포함한다. 상기 트리밍된 마스크층(116)의 선-폭은 예를 들면 약 5 nm 내지 80 nm이다. 그러나, 본 발명은 이에 국한되지 않는다. 상기 마스크층(106)을 트리밍하는 단계는 필요에 따라 생략될 수 있다.
도 1c 및 도 1d를 참조하면, 상기 희생층(104)의 일부분은 마스크로서 상기 트리밍된 마스크층(116)을 사용하여 제거되어 복수 개의 희생 패턴들(114)이 형성된다. 상기 희생층(104)의 일부분을 제거하는 단계는 건식-에칭 프로세스 또는 습식-에칭(wet-etching) 프로세스를 수행하는 단계를 포함한다. 더욱이, 상기 트리밍된 마스크층(116)은 습식-에칭 프로세스를 통해 제거된다.
도 1e 및 도 1f를 참조하면, 상기 희생 패턴들(114) 각각 상에 그리고 상기 타깃층(102) 상에 스페이서층(108)이 일치하여 형성된다. 그리고나서, 상기 스페이서층(108) 상에 이방성(anisotropic) 에칭 프로세스가 수행됨으로써, 상기 희생 패턴들(114)의 측벽들(114a) 상에 각각 복수 개의 스페이서들(118)이 형성된다. 상기 스페이서층(108)의 재료는 이산화 실리콘 또는 적합한 절연 재료일 수 있으며 그의 형성 단계는 CVD 프로세스를 수행하는 단계를 포함한다. 상기 스페이서층(108)의 두께는 약 5 내지 80 nm일 수 있으며 상기 스페이서들(118)의 선-폭은 약 5 내지 80 nm일 수 있다. 상기 스페이서들(118)의 필요한 선-폭은 스페이서층(108)의 두께에 의해 제어될 수 있다.
도 1g를 참조하면, 희생 패턴들(114)이 제거된다. 제거 단계는 건식-에칭 프로세스 또는 습식 에칭 프로세스를 수행하는 단계를 포함한다.
도 1h-도 1j를 참조하면, 적어도 상기 제2 영역(120) 내의 스페이서들(118)이 제거된다. 제거 단계는 건식-에칭 프로세스 또는 습식 에칭 프로세스를 수행하는 단계를 포함한다. 이러한 실시예에서는, 적어도 제2 영역(120) 내의 스페이서들(118)을 제거하는 단계가 다음과 같은 단계들을 포함한다. 기판(100) 상에 마스크층(142)이 형성됨으로써 상기 제1 영역(110)의 일부분 및 상기 제3 영역(130)의 일부분이 도포되고 상기 제1 영역(110) 및 상기 제3 영역(130) 내의 희생 패턴들(114)의 단부들에 상응하는 스페이서(118)(도시되지 않음) 및 상기 제2 영역(120)이 노출된다. 상기 마스크층(142)은 패터닝된 포토레지스트층일 수 있으며 그의 형성 단계는 제2 포토마스크(도시되지 않음)를 사용하여 리도그래피 프로세스를 수행하는 단계를 포함한다. 상기 제2 영역(120) 내의 스페이서들(118)은 마스크로서 상기 마스크층(142)을 사용하여 제거된다. 상기 제2 영역(120) 내의 스페이서들(118)을 제거하는 단계 동안, 상기 제1 영역(110) 및 상기 제3 영역 내의 스페이서들(118)들 중 일부분은 동시에 제거됨으로써, 상기 희생 패턴들(114)의 단부들에 상응하는 스페이서들(118)의 루프들이 단절된다. 이때, 상기 마스크층(142)은 습식-에칭 프로세스를 통해 제거된다.
도 1k 내지 도 1m을 참조하면, 상기 기판(100) 상에 마스크층(150)이 형성됨으로써 양자 모두가 상기 제2 영역(120)에 인접한, 상기 제1 영역(110)의 일부분 및 상기 제3 영역(130)의 일부분이 도포된다. 상기 마스크층(150)은 패터닝된 포토레지스트층일 수 있으며 그의 형성 단계는 제3 포토마스크(도시되지 않음)를 사용함으로써 리도그래피 프로세스를 수행하는 단계를 포함한다. 상기 타깃층(102)의 일부분은 마스크로서 상기 마스크층(150) 및 남아 있는 스페이서들(118)을 사용하여 제거됨으로써 패터닝된 타깃층(112)이 형성된다. 상기 타깃층(102)의 일부분을 제거하는 단계는 건식-에칭 프로세스를 수행하는 단계를 포함한다. 좀더 구체적으로 기술하면, 상기 타깃층(102)의 일부분은 마스크로서 상기 마스크층(150) 및 상기 남아 있는 스페이서들(118)을 사용하여 제거됨으로써 상기 제1 영역(110) 내에 복수 개의 제1 타깃 패턴들(112a)이 형성되고, 상기 제3 영역(130) 내에 복수 개의 제3 타깃 패턴들(112c)이 형성되며, 상기 제2 영역(120)에 인접한 제1 영역(110)의 일부분 내에 그리고 상기 제2 영역(120)에 인접한 제3 영역(130)의 일부분 내에 각각 제2 타깃 패턴들(120b)이 형성된다. 한 실시예에서는, 상기 제2 타깃 패턴들(112b) 각각의 한 측면-에지(111a)가 상기 남아 있는 스페이서들(118) 중 하나에 의해 한정되고, 상기 제2 타깃 패널들(112b) 각각의 다른 한 측면-에지(111b)는 상기 마스크층(150)(또는 상기 제3 포토마스크)에 의해 한정된다. 상기 제1 타깃 패턴들(112a)의 선-폭은 약 5-80 nm일 수 있으며, 상기 제2 타깃 패턴들(112b)의 선-폭은 약 80 nm 보다 커야 하고, 상기 제3 타깃 패턴들(112c)의 선-폭은 약 5-80 nm일 수 있다. 한 실시예에서는, 상기 제1 타깃 패턴들(112a) 및 상기 제3 타깃 패턴들(112c)은 상기 메모리의 워드 라인으로서의 기능을 하고, 상기 제2 타깃 패턴들(112b)은 상기 메모리의 선택 게이트들로서의 기능을 한다. 그 후에, 상기 마스크층(150) 및 상기 남아 있는 스페이서들(118)은 제거된다. 상기 마스크층(150)을 제거하는 단계는 습식-에칭 프로세스를 수행하는 단계를 포함한다. 상기 남아 있는 스페이서들(118)을 제거하는 단계는 건식-에칭 프로세스 또는 습식-에칭 프로세스를 수행하는 단계를 포함한다.
상기 제1 영역(110) 및 상기 제3 영역(130)이 셀 영역들이고 상기 제1 영역(110) 및 상기 제3 영역(130) 간의 제2 영역(120)이 상기 메모리의 주변 영역(또는 개방 영역)인 위에서 언급한 실시예들은 예시를 위해 제공된 것들이며 본 발명을 한정하는 것으로 해석되어서는 안 된다. 한 실시예에서는, 본 발명의 주변 영역(또는 개방 영역)은 2개의 셀 영역 사이에 배치되어야 할 필요가 없다. 본 발명은 메모리 셀 및 주변 영역(또는 개방 영역)을 지니는 장치용 패터닝 프로세스에 적용될 수 있음으로써, 상기 주변 영역에 인접한 패턴들의 선-폭이 상기 주변 영역에 영향을 받음으로써 야기되는 종래의 불량한 CDU 문제점이 회피된다. 2개의 상이한 실시예가 예를 들면 이하에 제공되어 있지만 이에 국한되지 않는다.
도 1m을 참조하면, 다른 한 실시예에 있어서, 상기 기판(100)은 상기 제1 영역(110) 및 상기 제2 영역(120)을 포함하지만 상기 제3 영역(130)을 포함하지 않는데, 이 경우에 상기 제1 영역(110)은 상기 메모리의 셀 영역이고, 상기 제2 영역(120)은 메모리의 주변 영역(또는 개방 영역)이다. 이러한 실시예에서, 상기 패터닝 방법은 도 1a 내지 도 1m의 개시된 방법과 동일할 수 있으므로, 그 세부 내용이 본원 명세서에서 중복기재되지 않는다.
도 3a 내지 도 3g는 본 발명의 다른 한 실시예에 따른 패터닝 방법을 보여주는 단면도들이다.
도 3a를 참조하면, 여전히 다른 한 실시예에서, 상기 기판(100)은 제1 영역(110), 제2 영역(120) 및 제4 영역(140)을 포함하지만, 도 1a의 제3 영역(130)을 포함하지 않는다. 상기 제1 영역(110)은 상기 제4 영역(140) 및 상기 제2 영역(120) 사이에 위치해 있다. 한 실시예에서는, 상기 제1 영역(110)이 상기 메모리의 셀 영역일 수 있으며, 상기 제2 영역(120) 및 상기 제4 영역(140)이 상기 메모리의 주변 영역일 수 있다. 이때, 상기 타깃층(102) 및 상기 스페이서들(118)은 도 1a 내지 도 1g에 개시된 방법에 따라 상기 제1 영역(110) 내에, 상기 제2 영역(120) 내에 그리고 상기 제4 영역(140) 내에 형성된다.
도 3b 내지 도 3d를 참조하면, 적어도 상기 제2 영역 내 그리고 상기 제4 영역(140) 내의 스페이서들(118)이 제거된다. 상기 스페이서들(118)을 제거하는 단계는 건식-에칭 프로세스 또는 습식-에칭 프로세스를 수행하는 단계를 포함한다. 이러한 실시예에서, 적어도 상기 제2 영역(120) 내 그리고 상기 제4 영역(140) 내의 스페이서들(118)을 제거하는 단계는 다음과 같은 단계들을 포함한다. 상기 기판(100) 상에 마스크층(242)이 형성됨으로써 상기 제1 영역(110)의 일부분이 도포되고 상기 제2 영역(120) 내 그리고 상기 제4 영역(140) 내의 스페이서들(118) 및 상기 희생 패턴들(114)의 단부들에 상응하는 제1 영역(110)의 일부분의 스페이서들(도시되지 않음)이 노출된다. 상기 마스크층(242)은 패터닝된 포토레지스트층일 수 있으며 그의 형성 단계는 제2 포토마스크(도시되지 않음)을 사용하여 리도그래피 프로세스를 수행하는 단계를 포함한다. 상기 제2 영역(120) 내 그리고 상기 제4 영역(140) 내의 스페이서들(118)은 마스크로서 상기 마스크층(242)을 사용하여 제거된다. 상기 제2 영역(120) 내 및 상기 제4 영역(140) 내의 스페이서들을 제거하는 단계 동안, 상기 제1 영역(110) 내의 스페이서들(118)의 일부분이 동시에 제거됨으로써, 상기 희생 패턴들(114)의 단부들에 상응하는 스페이서들(118)의 루프들이 단절된다. 이때, 상기 마스크층(242)은 습식 에칭 프로세스를 통해 제거된다.
도 3e 내지 도 3g를 참조하면, 상기 기판(100) 상에 마스크층(250)이 형성됨으로써 상기 제2 영역(120) 및 상기 제4 영역(140)에 인접한 제1 영역(110)의 일부분이 도포된다. 상기 마스크층(250)은 패터닝된 포토레지스트층일 수 있으며 그의 형성 단계는 제3 포토마스크(도시되지 않음)를 사용하여 리도그래피 프로세스를 수행하는 단계를 포함한다. 상기 타깃층(102)의 일부분은 마스크로서 상기 마스크층(250) 및 상기 남아 있는 스페이서들(118)을 사용하여 제거됨으로써, 패터닝된 타깃층(112)이 형성된다. 상기 타깃층(102)의 일부분을 제거하는 단계는 건식-에칭 프로세스를 수행하는 단계를 포함한다. 좀더 구체적으로 기술하면, 상기 타깃층(102)의 일부분은 마스크로서 상기 마스크층(250) 및 상기 남아 있는 스페이서들(118)을 사용하여 제거됨으로써, 상기 제1 영역(110) 내에 복수 개의 제1 타깃 패턴들(112a)이 형성되고 상기 제2 영역(120) 및 상기 제4 영역(140)에 인접한 제1 영역(110)의 일부분 내에 제2 타깃 패턴들(112b)이 형성된다. 한 실시예에서는, 상기 제2 타깃 패턴들(112b) 각각의 한 측면-에지(111a)는 남아 있는 스페이서들(118) 중 하나에 의해 한정되며, 상기 제2 타깃 패턴들(112b) 각각의 다른 한 측면-에지(111b)는 상기 마스크층(250)(또는 제3 포토마스크)에 의해 한정된다. 상기 제1 타깃 패턴들(112a)의 선-폭은 약 5-80 nm일 수 있으며, 상기 제2 타깃 패턴들(112b)의 선-폭은 약 80 nm보다 클 수 있다. 한 실시예에서는, 상기 제1 타깃 패턴들(112a)이 상기 메모리의 워드 라인들로서의 기능을 하고, 상기 제2 타깃 패턴들(112b)은 상기 메모리의 선택 게이트들로서의 기능을 한다. 그 후에, 상기 마스크층(250) 및 상기 남아 있는 스페이서들(118)이 제거된다. 상기 마스크층(250)을 제거하는 단계는 습식-에칭 프로세스를 수행하는 단계를 포함한다. 상기 남아 있는 스페이서들(118)을 제거하는 단계는 건식-에칭 프로세스 또는 습식-에칭 프로세스를 수행하는 단계를 포함한다.
도 4는 종래의 방법 및 본 발명의 패터닝 방법 간의 CDU 결과 비교도이다. 도 4의 곡선(410)은 종래의 방법에 의해 얻어지는 임계 치수들(즉, 워드 라인들의 선-폭들)의 분포를 나타낸 것이며, 도 4의 곡선(420)은 본 발명의 패터닝 방법에 의해 얻어지는 임계 치수(즉, 워드 라인들의 선-폭들)의 분포를 나타낸 것이다. 도 4에서, 상기 워드 라인들의 위치들은 셀 영역(들) 내의 워드 라인들의 실제 위치들을 나타내는 순서로 열거되어 있는데, 이 경우에 곡선들(410,420)의 2개의 단부가 주변 영역에 인접한 셀 영역(들)의 2개의 워드 라인들을 각각 나타낸다. 도 4의 곡선(410)에서, (마스크층을 형성하도록 도 2a에 도시된 원래의 포토마스크 데이터(202)를 사용하는) 종래의 방법으로 얻어지는 CD들의 분포 범위가 10 nm보다 크다. 그러나, (도 2c 또는 도 2ca 또는 도 2cb의 포토마스크 데이터(206 또는 206-1 또는 206-2)를 사용하는) 본 발명의 패터닝 방법으로 얻어지는 CD들의 분포 범위는 3 nm 미만이다. 그러므로, 본 발명의 패터닝 방법은 상기 워드 라인들의 가장 먼 에지들에서의 CD들이 불안하게 제어되는 종래의 문제점을 감소시켜 양호한 CDU 성능을 제공할 수 있다.
요약하면, 본 발명의 패터닝 방법 및 메모리 장치의 형성 방법에서는, 밀집 영역들의 선택 게이트들이 원래의 포토마스크 데이터로부터 제거된다. 이때, 동일한 선-폭 및 동일한 피치를 지니는 다수의 더미 패턴은 상기 밀집 영역들에 인접한 제거된 영역 및 개방 영역 내에 추가됨으로써, 변형된 포토마스크 데이터가 형성된다. 상기 변형된 포토마스크에 의해 워드 라인들이 한정된다. 가장 먼 에지들에 있는 워드 라인들은 동일한 선-폭 및 동일한 피치를 지니는 더미 패턴들에 인접해 있다. 본 발명의 패터닝 방법 및 메모리 장치의 형성 방법을 통해, 상기 워드 라인들의 가장 먼 에지들에서의 CD들이 불안하게 제어되는 종래의 문제점(즉, 불량한 CDU)이 해결될 수 있다. 이때, 바람직하지 않은 더미 패턴들이 제거되고, 이어서 선택 게이트들이 형성된다. 그 결과로, 상기 메모리의 워드 라인들이 포토마스크들의 횟수를 변경시키지 않고 양호한 CDU로 제조될 수 있다.
당업자라면 위의 설명들이 단지 본 발명의 여러 바람직한 실시예들일 뿐이며 본 발명의 구현 범위를 한정하지 않는다는 점을 알 수 있을 것이다. 본 발명의 범위 또는 정신으로부터 벗어나지 않고서도 본 발명의 구성에 대한 여러 변형 및 변경이 이루어질 수 있다. 본 발명의 청구범위는 이하의 청구항들에 의해 한정된다.

Claims (30)

  1. 패터닝 방법에 있어서,
    상기 패터닝 방법은,
    제1 영역 및 제2 영역을 지니는 기판을 제공하는 단계;
    상기 기판상에 타깃층, 희생층 및 제1 마스크층을 순차적으로 형성하는 단계로서, 상기 제1 마스크층은 상기 제1 영역에 위치해 있는 복수 개의 제1 마스크 패턴들 및 상기 제2 영역에 위치해 있는 복수 개의 제2 마스크 패턴들을 지니는, 단계;
    마스크로서 상기 제1 마스크층을 사용하여 상기 희생층의 일부분을 제거하여 복수 개의 희생 패턴들을 형성하는 단계;
    상기 제1 마스크층을 제거하는 단계;
    상기 희생 패턴들 각각의 측벽 상에 스페이서를 형성하는 단계;
    상기 희생 패턴들을 제거하는 단계;
    상기 제2 영역 내의 스페이서들을 제거하는 단계;
    상기 기판상에 제2 마스크층을 형성하여 상기 제2 영역에 인접한 상기 제1 영역의 일부분을 도포하는 단계;
    마스크로서 상기 제2 마스크층 및 남아 있는 스페이서들을 사용하여 상기 타깃층의 일부분을 제거하여 상기 제1 영역 내에 복수 개의 제1 타깃 패턴들을 형성하고 상기 제2 영역에 인접한 상기 제1 영역의 일부분 내에 제2 타깃 패턴을 형성하는 단계; 및
    상기 제2 마스크층 및 상기 남아 있는 스페이서들을 제거하는 단계;
    를 포함하는, 패터닝 방법.
  2. 제1항에 있어서, 상기 제2 타깃 패턴의 한 측면-에지(side-edge)는 상기 남아 있는 스페이서들 중 하나의 스페이서에 의해 한정되며 상기 제2 타깃 패턴의 다른 한 측면-에지는 상기 제2 마스크층에 의해 한정되는, 패터닝 방법.
  3. 제1항에 있어서, 상기 제1 영역은 셀 영역이며 상기 제2 영역은 주변 영역인, 패터닝 방법.
  4. 제1항에 있어서, 상기 기판은 제3 영역을 더 지니며, 상기 제2 영역은 상기 제1 영역 및 상기 제3 영역 사이에 위치해 있고;
    상기 제1 마스크층은 상기 제3 영역에 위치해 있는 복수 개의 제3 마스크 패턴들을 더 지니며;
    상기 제2 마스크층은 상기 기판상에 상기 제2 마스크 층을 형성하는 동안 상기 제2 영역에 인접한 상기 제3 영역의 일부분을 더 도포하도록 형성되고;
    마스크로서 상기 제2 마스크층 및 상기 남아 있는 스페이서들을 사용하여 상기 타깃층의 일부분을 제거하는 단계는 상기 제3 영역 내에 복수 개의 제3 타깃 패턴들을 형성하며 상기 제2 영역에 인접한 상기 제3 영역의 일부분 내에 다른 한 제2 타깃 패턴을 형성하는 단계를 더 포함하는, 패터닝 방법.
  5. 제4항에 있어서, 상기 제1 영역 및 상기 제3 영역은 셀 영역들이고 상기 제2 영역은 주변 영역인, 패터닝 방법.
  6. 제4항에 있어서, 상기 다른 한 제2 타깃 패턴의 한 측면-에지는 상기 남아 있는 스페이서들 중 하나의 스페이서에 의해 한정되고 상기 다른 한 제2 타깃 패턴의 다른 한 측면-에지는 상기 제2 마스크층에 의해 한정되는, 패터닝 방법.
  7. 제4항에 있어서, 상기 제1 마스크 패턴들 및 상기 제3 마스크 패턴들은 동일한 선-폭(line-width) 및 동일한 피치(pitch)를 지니는, 패터닝 방법.
  8. 제4항에 있어서, 상기 제1 마스크 패턴들 및 상기 제3 마스크 패턴들은 서로 다른 선-폭들 및 서로 다른 피치들을 지니는, 패터닝 방법.
  9. 제4항에 있어서, 상기 제1 영역에 인접한 상기 제2 마스크 패턴들의 일부분 및 상기 제1 영역 내의 제1 마스크 패턴들은 동일한 선-폭 및 동일한 피치를 지니는, 패터닝 방법.
  10. 제4항에 있어서, 상기 제3 영역에 인접한 상기 제2 마스크 패턴들의 일부분 및 상기 제3 영역 내의 제3 마스크 패턴들은 동일한 선-폭 및 동일한 피치를 지니는, 패터닝 방법.
  11. 제4항에 있어서, 상기 제2 영역 내의 스페이서들을 제거하는 단계는,
    상기 기판상에 제3 마스크층을 형성하여 상기 제1 영역의 일부분 및 상기 제3 영역의 일부분을 도포하고 상기 제2 영역을 노출하는 단계;
    마스크로서 상기 제3 마스크층을 사용하여 상기 제2 영역 내의 스페이서들을 제거하는 단계로서, 상기 제2 영역 내의 스페이서들을 제거하는 단계는 상기 제1 영역 및 상기 제3 영역 내의 스페이서들 중 일부분을 동시에 제거하여 상기 희생 패턴들의 단부들에 상응하는 스페이서들의 루프들을 단절시키는, 단계; 및
    상기 제3 마스크층을 제거하는 단계;
    를 포함하는, 패터닝 방법.
  12. 제1항에 있어서, 상기 기판은 제4 영역을 더 지니며, 상기 제1 영역은 상기 제2 영역 및 상기 제4 영역 사이에 위치해 있고;
    상기 제1 마스크층은 상기 제4 영역에 위치해 있는 복수 개의 제3 마스크 패턴들을 더 지니며;
    상기 제2 마스크층은 상기 기판상에 상기 제2 마스크 층을 형성하는 동안 상기 제4 영역에 인접한 상기 제1 영역의 일부분을 더 도포하도록 형성되고;
    마스크로서 상기 제2 마스크층 및 상기 남아 있는 스페이서들을 사용하여 상기 타깃층의 일부분을 제거하는 단계는 상기 제4 영역에 인접한 상기 제1 영역의 일부분 내에 다른 한 제2 타깃 패턴을 형성하는 단계를 더 포함하는, 패터닝 방법.
  13. 제12항에 있어서, 상기 제1 영역은 셀 영역이며, 상기 제2 영역 및 제4 영역은 주변 영역들인, 패터닝 방법.
  14. 제12항에 있어서, 상기 다른 한 제2 타깃 패턴의 한 측면-에지는 상기 남아 있는 스페이서들 중 하나의 스페이서에 의해 한정되며 상기 다른 한 제2 타깃 패턴의 다른 한 측면-에지는 상기 제2 마스크층에 의해 한정되는, 패터닝 방법.
  15. 제12항에 있어서, 상기 제1 영역에 인접한 상기 제2 마스크 패턴들의 일부분, 상기 제1 영역에 인접한 상기 제3 마스크 패턴들의 일부분, 및 상기 제1 영역 내의 제1 타깃 패턴들은 동일한 선-폭 및 동일한 피치를 지니는, 패터닝 방법.
  16. 제12항에 있어서, 상기 제2 영역 내의 스페이서들을 제거하는 단계는,
    상기 기판상에 제3 마스크층을 형성하여 상기 제1 영역의 일부분을 도포하며 상기 제2 영역 및 상기 제4 영역을 노출하는 단계;
    마스크로서 상기 제3 마스크층을 사용하여 상기 제2 영역 내 및 상기 제4 영역 내의 스페이서들을 제거하는 단계로서, 상기 제2 영역 내 및 상기 제4 영역 내의 스페이서들을 제거하는 단계는 상기 제1 영역 내의 스페이서들 중 일부분을 동시에 제거하여, 상기 희생 패턴들의 단부들에 상응하는 스페이서들의 루프들을 단절시키는, 단계; 및
    상기 제3 마스크층을 제거하는 단계;
    를 포함하는, 패터닝 방법.
  17. 제1항에 있어서, 상기 제1 영역에 인접한 상기 제2 마스크 패턴들의 일부분 및 상기 제1 영역 내의 제1 마스크 패턴들은 동일한 선-폭 및 동일한 피치를 지니는, 패터닝 방법.
  18. 제1항에 있어서, 상기 제1 마스크층을 형성하는 단계 후에 그리고 상기 희생 패턴들을 형성하는 단계 전에, 상기 패터닝 방법은, 상기 제1 마스크층을 트리밍(trimming)하는 단계;를 더 포함하며, 상기 제1 마스크층을 트리밍하는 단계는 에칭 프로세스를 수행하는 단계를 포함하는, 패터닝 방법.
  19. 제1항에 있어서, 상기 제2 영역 내의 스페이서들을 제거하는 단계는,
    상기 기판상에 제3 마스크층을 형성하여 상기 제1 영역의 일부분을 도포하고 상기 제2 영역을 노출하는 단계;
    마스크로서 상기 제3 마스크층을 사용하여 상기 제2 영역 내의 스페이서들을 제거하는 단계로서, 상기 제2 영역 내의 스페이서들을 제거하는 단계는 상기 제1 영역 내의 스페이서들 중 일부분을 동시에 제거하여 상기 희생 패턴들의 단부들에 상응하는 스페이서들의 루프들을 단절시키는 단계; 및
    상기 제3 마스크층을 제거하는 단계;
    를 포함하는, 패터닝 방법.
  20. 제1항에 있어서, 상기 제1 마스크층을 형성하는 단계는 포토마스크를 통해 상기 희생층 상에 상기 제1 마스크층을 형성하는 단계를 포함하는, 패터닝 방법.
  21. 제20항에 있어서, 상기 패터닝 방법은, 상기 제1 마스크층을 형성하기 전에 상기 포토마스크를 형성하는 단계;를 더 포함하며, 상기 포토마스크를 형성하는 단계는,
    원래의 포토마스크 데이터로부터 상기 제2 타깃 패턴을 한정하기 위한 데이터를 제거하는 단계; 및
    제거된 영역 및 인접한 개방 영역 내에 복수 개의 더미 패턴(dummy pattern)들을 포함하는 데이터를 추가하는 단계;
    를 포함하는, 패터닝 방법.
  22. 메모리 장치를 형성하는 방법에 있어서,
    상기 메모리 장치를 형성하는 방법은,
    하나의 셀 영역 및 하나의 주변 영역을 지니는 기판을 제공하는 단계;
    상기 기판상에 타깃층, 희생층 및 제1 마스크층을 순차적으로 형성하는 단계로서, 상기 제1 마스크층은 상기 셀 영역에 위치해 있는 복수 개의 제1 마스크 패턴들 및 상기 주변 영역에 위치해 있는 복수 개의 제2 마스크 패턴들을 지니는, 단계;
    마스크로서 상기 제1 마스크층을 사용하여 상기 희생층의 일부분을 제거하여 복수 개의 희생 패턴들을 형성하는 단계;
    상기 제1 마스크층을 제거하는 단계;
    상기 희생 패턴들 각각의 측벽 상에 스페이서를 형성하는 단계;
    상기 희생 패턴들을 제거하는 단계;
    상기 주변 영역 내의 스페이서들을 제거하는 단계;
    상기 기판상에 제2 마스크층을 형성하여 상기 주변 영역에 인접한 상기 셀 영역의 일부분을 도포하는 단계;
    마스크로서 상기 제2 마스크층 및 남아 있는 스페이서들을 사용하여 상기 타깃층의 일부분을 제거하여 상기 셀 영역 내에 복수 개의 워드 라인들을 형성하고 상기 주변 영역에 인접한 상기 셀 영역의 일부분 내에 복수 개의 선택 게이트들을 형성하는 단계; 및
    상기 제2 마스크층 및 상기 남아 있는 스페이서들을 제거하는 단계;
    를 포함하는, 메모리 장치의 형성 방법.
  23. 제22항에 있어서, 상기 제1 마스크 패턴들 및 상기 제2 마스크 패턴들은 동일한 선-폭 및 동일한 피치를 지니는, 메모리 장치의 형성 방법.
  24. 제22항에 있어서, 상기 셀 영역에 인접한 상기 제2 마스크 패턴들의 일부분 및 상기 셀 영역 내의 제1 마스크 패턴들은 동일한 선-폭 및 동일한 피치를 지니는, 메모리 장치의 형성 방법.
  25. 제24항에 있어서, 상기 주변 영역 내의 제2 마스크 패턴들은 거울 대칭을 이루는, 메모리 장치의 형성 방법.
  26. 제22항에 있어서, 상기 제1 마스크층을 형성하는 단계 후에 그리고 상기 희생 패턴들을 형성하는 단계 전에, 상기 메모리 장치의 형성 방법은, 상기 제1 마스크층을 트리밍(trimming)하는 단계;를 더 포함하며, 상기 제1 마스크층을 트리밍하는 단계는 에칭 프로세스를 수행하는 단계를 포함하는, 메모리 장치의 형성 방법.
  27. 제22항에 있어서, 상기 주변 영역 내의 스페이서들을 제거하는 단계는,
    상기 기판상에 제3 마스크층을 형성하여 상기 셀 영역의 일부분을 도포하고 상기 주변 영역을 노출하는 단계;
    마스크로서 상기 제3 마스크층을 사용하여 상기 주변 영역 내의 스페이서들을 제거하는 단계로서, 상기 주변 영역 내의 스페이서들을 제거하는 단계는 상기 셀 영역 내의 스페이서들 중 일부분을 동시에 제거하여 상기 희생 패턴들의 단부들에 상응하는 스페이서들의 루프들을 단절시키는, 단계; 및
    상기 제3 마스크층을 제거하는 단계;
    를 포함하는, 메모리 장치의 형성 방법.
  28. 제22항에 있어서, 선택 게이트들 각각의 한 측면-에지는 상기 남아 있는 스페이서들 중 하나의 스페이서에 의해 한정되며 선택 게이트들 각각의 다른 한 측면-에지는 상기 제2 마스크층에 의해 한정되는, 메모리 장치의 형성 방법.
  29. 제22항에 있어서, 상기 제1 마스크층을 형성하는 단계는 포토마스크를 통해 상기 희생층 상에 상기 제1 마스크층을 형성하는 단계를 포함하는, 메모리 장치의 형성 방법.
  30. 제29항에 있어서, 상기 포토마스크를 형성하는 단계는,
    원래의 포토마스크 데이터로부터 상기 선택 게이트들을 한정하기 위한 데이터를 제거하는 단계; 및
    제거된 영역 및 인접한 개방 영역 내에 복수 개의 더미 패턴들을 포함하는 데이터를 추가하는 단계;
    를 포함하는, 메모리 장치의 형성 방법.
KR20130052367A 2013-03-01 2013-05-09 패터닝 방법 및 메모리 장치를 형성하는 방법 KR101508368B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
TW102107353A TWI487004B (zh) 2013-03-01 2013-03-01 圖案化的方法及記憶體元件的形成方法
TW102107353 2013-03-01

Publications (2)

Publication Number Publication Date
KR20140109212A KR20140109212A (ko) 2014-09-15
KR101508368B1 true KR101508368B1 (ko) 2015-04-07

Family

ID=51421133

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20130052367A KR101508368B1 (ko) 2013-03-01 2013-05-09 패터닝 방법 및 메모리 장치를 형성하는 방법

Country Status (5)

Country Link
US (1) US8877647B2 (ko)
JP (1) JP5703339B2 (ko)
KR (1) KR101508368B1 (ko)
CN (1) CN104022021B (ko)
TW (1) TWI487004B (ko)

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9177910B2 (en) 2012-04-18 2015-11-03 Micron Technology, Inc. Interconnect structures for integrated circuits and their formation
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150243511A1 (en) * 2014-02-21 2015-08-27 Kabushiki Kaisha Toshiba Method of forming pattern and photo mask used therein
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) * 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
TWI621210B (zh) * 2014-08-27 2018-04-11 聯華電子股份有限公司 一種製作半導體元件的方法
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
KR102323456B1 (ko) 2014-12-26 2021-11-10 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
CN105845574A (zh) * 2015-01-14 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
KR102323251B1 (ko) 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
KR102343859B1 (ko) * 2015-01-29 2021-12-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9946827B2 (en) 2015-07-16 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for mandrel and spacer patterning
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102491661B1 (ko) 2016-01-12 2023-01-26 삼성전자주식회사 반도체 장치의 제조 방법
KR20170091833A (ko) 2016-02-01 2017-08-10 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9847339B2 (en) * 2016-04-12 2017-12-19 Macronix International Co., Ltd. Self-aligned multiple patterning semiconductor device fabrication
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
TWI592759B (zh) * 2016-06-08 2017-07-21 力晶科技股份有限公司 結構上的光阻圖案製程
US10354873B2 (en) * 2016-06-08 2019-07-16 Tokyo Electron Limited Organic mandrel protection process
CN107706095B (zh) * 2016-06-20 2020-10-16 中芯国际集成电路制造(北京)有限公司 自对准双重构图方法、半导体器件及其制作方法、电子装置
KR102436634B1 (ko) * 2016-06-27 2022-08-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107634062B (zh) * 2016-07-18 2020-11-17 中芯国际集成电路制造(北京)有限公司 半导体器件及其制作方法、电子装置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
CN108933140B (zh) * 2017-05-26 2020-07-28 中芯国际集成电路制造(天津)有限公司 半导体器件的制造方法
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10727056B2 (en) 2017-11-23 2020-07-28 Yangtze Memory Technologies Co., Ltd. Method and structure for cutting dense line patterns using self-aligned double patterning
CN107968047A (zh) * 2017-11-23 2018-04-27 长江存储科技有限责任公司 一种sadp页缓冲器切断方法及结构
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
DE112017008330T5 (de) 2017-12-27 2020-09-03 Intel Corporation Integrierte schaltungen (ics) mit elektromigrations (em) -resistenten segmenten in einer verbindungsebene
EP3732704A4 (en) * 2017-12-27 2021-07-28 INTEL Corporation INTEGRATED LINE BREAKAGE AND LINE BRIDGE CIRCUITS IN A SINGLE INTERCONNECTION LEVEL
CN111133599A (zh) 2017-12-27 2020-05-08 英特尔公司 多层金属-绝缘体-金属(mim)结构
US10971393B2 (en) 2017-12-27 2021-04-06 Intel Corporation Metal-insulator-metal (MIM) structure supporting high voltage applications and low voltage applications
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20200043722A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN111063611B (zh) * 2018-10-17 2024-05-10 长鑫存储技术有限公司 微图案刻蚀方法
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109904062A (zh) * 2019-02-03 2019-06-18 中国科学院微电子研究所 纳米结构的制备方法
CN109950140B (zh) * 2019-04-18 2021-11-05 上海华力微电子有限公司 一种自对准双层图形的形成方法
CN110828466B (zh) * 2019-11-11 2022-03-29 上海华力微电子有限公司 字线制作方法
US11024511B1 (en) * 2020-04-21 2021-06-01 Winbond Electronics Corp. Patterning method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080099995A (ko) * 2007-05-11 2008-11-14 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
KR20090009312A (ko) * 2006-05-10 2009-01-22 램 리써치 코포레이션 피치 감소
US20100248153A1 (en) 2009-03-31 2010-09-30 Hynix Semiconductor Inc. Method for forming pattern of semiconductor device
KR20120062385A (ko) * 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
JP2007150166A (ja) 2005-11-30 2007-06-14 Toshiba Corp 半導体装置の製造方法
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7763932B2 (en) * 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
CN101276818A (zh) * 2007-03-30 2008-10-01 奇梦达股份公司 存储器件和导电线的阵列及其制造方法
JP2009054956A (ja) 2007-08-29 2009-03-12 Toshiba Corp 半導体メモリ
KR100914289B1 (ko) * 2007-10-26 2009-08-27 주식회사 하이닉스반도체 스페이서를 이용한 반도체 메모리소자의 패턴 형성방법
KR101565796B1 (ko) * 2008-12-24 2015-11-06 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
JP2010153481A (ja) 2008-12-24 2010-07-08 Toshiba Corp 半導体記憶装置
US8383479B2 (en) * 2009-07-21 2013-02-26 Sandisk Technologies Inc. Integrated nanostructure-based non-volatile memory fabrication
KR20110087976A (ko) * 2010-01-28 2011-08-03 삼성전자주식회사 반도체 소자용 배선 구조물의 형성방법 및 이를 이용하는 비휘발성 메모리 소자의 제조방법
JP5523912B2 (ja) 2010-04-19 2014-06-18 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
KR101736983B1 (ko) 2010-06-28 2017-05-18 삼성전자 주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
KR101756226B1 (ko) * 2010-09-01 2017-07-11 삼성전자 주식회사 반도체 소자 및 그 반도체 소자의 패턴 형성방법
US8455341B2 (en) * 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
JP2012178378A (ja) 2011-02-25 2012-09-13 Tokyo Electron Ltd 半導体装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090009312A (ko) * 2006-05-10 2009-01-22 램 리써치 코포레이션 피치 감소
KR20080099995A (ko) * 2007-05-11 2008-11-14 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US20100248153A1 (en) 2009-03-31 2010-09-30 Hynix Semiconductor Inc. Method for forming pattern of semiconductor device
KR20120062385A (ko) * 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법

Also Published As

Publication number Publication date
KR20140109212A (ko) 2014-09-15
TW201435977A (zh) 2014-09-16
TWI487004B (zh) 2015-06-01
JP2014170912A (ja) 2014-09-18
JP5703339B2 (ja) 2015-04-15
CN104022021B (zh) 2016-09-28
US20140248773A1 (en) 2014-09-04
US8877647B2 (en) 2014-11-04
CN104022021A (zh) 2014-09-03

Similar Documents

Publication Publication Date Title
KR101508368B1 (ko) 패터닝 방법 및 메모리 장치를 형성하는 방법
US11748540B2 (en) Method and structure for mandrel and spacer patterning
TWI556384B (zh) 用於製造後段製程(beol)互連之改良覆蓋的對角線硬遮罩
KR101077453B1 (ko) 반도체 소자의 패턴 형성 방법
JP5677653B2 (ja) 半導体素子及びそのパターン形成方法
US7723807B2 (en) Semiconductor device and a manufacturing method thereof
TWI488238B (zh) 一種半導體線路製程
KR101225086B1 (ko) 핀 전계 효과 트랜지스터 (finfet) 소자를 제조하는 방법
US8871104B2 (en) Method of forming pattern, reticle, and computer readable medium for storing program for forming pattern
TWI531032B (zh) 記憶體線路結構以及其半導體線路製程
KR20100098135A (ko) 반도체 소자의 패턴 형성 방법
US8168520B2 (en) Method of manufacturing semiconductor device
KR20100104861A (ko) 반도체 소자의 패턴 형성 방법
TW200901441A (en) Transistor of semiconductor device and method for fabricating the same
JP2011258822A (ja) 半導体装置の製造方法
JP6677464B2 (ja) 半導体素子及びその製造方法
US9911606B2 (en) Mandrel spacer patterning in multi-pitch integrated circuit manufacturing
TWI525752B (zh) 非揮發性記憶體及其製作方法
KR20120041989A (ko) 반도체 소자의 제조 방법
US7902079B2 (en) Method for fabricating recess pattern in semiconductor device

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20180130

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190307

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20200226

Year of fee payment: 6