DE112017008330T5 - Integrierte schaltungen (ics) mit elektromigrations (em) -resistenten segmenten in einer verbindungsebene - Google Patents

Integrierte schaltungen (ics) mit elektromigrations (em) -resistenten segmenten in einer verbindungsebene Download PDF

Info

Publication number
DE112017008330T5
DE112017008330T5 DE112017008330.3T DE112017008330T DE112017008330T5 DE 112017008330 T5 DE112017008330 T5 DE 112017008330T5 DE 112017008330 T DE112017008330 T DE 112017008330T DE 112017008330 T5 DE112017008330 T5 DE 112017008330T5
Authority
DE
Germany
Prior art keywords
line segment
line
metal
mask
mask layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112017008330.3T
Other languages
English (en)
Inventor
Kevin Lin
Christopher J. Jezewski
Manish Chandhok
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112017008330T5 publication Critical patent/DE112017008330T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Integrierte-Schaltungs (IC) -Verbindungsleitungen weisen verbesserten Elektromigrationswiderstand auf. Mehrfach-Strukturierung kann verwendet werden, um eine erste Maskenstruktur zu definieren. Die erste Maskenstruktur kann basierend auf einer zweiten Maskenschicht durch eine prozessbasierte, selektive Okklusion von Öffnungen, die in der zweiten Maskenschicht definiert sind, die unter einer Schwellen-Minimum-Lateralbreite sind, verfüllt und ferner strukturiert werden. Abschnitte des Materials, das unter den Öffnungen liegt, die in der zweiten Maskenschicht definiert sind, die die Schwelle überschreiten, werden entfernt. Erste Gräben in einer darunter liegenden dielektrischen Materialschicht können basierend auf einer Vereinigung des Rests der ersten Maskenschicht und der teilweise verschlossenen zweiten Maskenschicht geätzt werden. Die ersten Gräben können dann mit einem ersten leitfähigen Material verfüllt werden, um erste Leitungssegmente zu bilden. Zusätzliche Gräben in der Unterschicht können dann geätzt und mit einem zweiten leitfähigen Material verfüllt werden, um zweite Leitungssegmente zu bilden, die durch die ersten Leitungssegmente zusammengekoppelt werden.

Description

  • HINTERGRUND
  • Bauelementdichte in integrierten Schaltungen (ICs; integrated circuits) nimmt weiterhin zu. Integrierte Schaltungen (z.B. Mikroprozessoren, Chipsatzkomponenten, Grafikchips, Speicherchips, optische Chips etc.) umfassen herkömmlicherweise elektrisch leitfähige Leiterbahnen, deren einzelne Schichten durch Vias miteinander gekoppelt sind. Bislang werden leitfähige Leiterbahnen typischerweise in Gräben gebildet, die durch einen lithographischen Prozess definiert sind, bei dem eine Photoresistschicht über eine dielektrische Schicht schleuderbeschichtet (spin-coated) werden kann. Die Photoresistschicht kann durch eine strukturierte Maske Strahlung ausgesetzt werden, und der belichtete Photoresist wird so entwickelt, dass er eine Öffnung bildet. Als nächstes kann durch Verwenden der Photoresistschicht als eine Ätzmaske eine Öffnung für den Graben in die dielektrische Schicht geätzt werden. Diese Öffnung wird als ein Graben bezeichnet. Schließlich kann der Graben mit einem oder mehreren Metallen oder anderen leitfähigen Materialien verfüllt werden, um eine leitfähige Leiterbahn, die innerhalb der dielektrischen Schicht eingebettet ist, zu bilden.
  • Ein Maß für die Größe einer Leiterbahn ist die kritische Abmessung (CD; critical dimension). Ein Maß für die Beabstandung eines Satzes von Leiterbahnen ist ihr Abstand (pitch). In der Vergangenheit haben die Größen und die Beabstandung von Leiterbahnen allmählich abgenommen. Bei dem Strukturieren extrem kleiner Leiterbahnenden, die extrem kleine Abstände mit lithografischen Prozessen aufweisen, ergeben sich jedoch mehrere Herausforderungen, insbesondere wenn die Abstände ~70 Nanometer (nm) oder weniger sind und/oder wenn die kritischen Abmessungen der Leitungsenden ~35 nm oder weniger sind. Eine Herausforderung besteht darin, dass Elektromigrationsfehler wahrscheinlicher werden, wenn der Querschnittsbereich der Verbindungsleitungen abnimmt. Techniken, um einen Widerstand einer Verbindungsleitung gegen Elektromigrationsfehler zu verbessern, sind daher vorteilhaft.
  • Figurenliste
  • Das hierin beschriebene Material ist beispielhaft und nicht einschränkend in den beiliegenden Figuren dargestellt. Der Einfachheit und Klarheit der Darstellung halber sind die in den Figuren dargestellten Elemente nicht notwendigerweise maßstabsgetreu gezeichnet.
  • Beispielsweise können die Abmessungen mancher Elemente relativ zu anderen Elementen der Klarheit halber übertrieben dargestellt sein. Auch können der Klarheit der Erörterung halber verschiedene physische Merkmale in ihren vereinfachten „idealen“ Formen und Geometrien dargestellt sein, aber dennoch versteht sich, dass praktische Implementierungen sich den dargestellten Idealen nur annähern können. Beispielsweise können glatte Oberflächen und quadratische Schnittpunkte unter Vernachlässigung von endlicher Rauheit, Eckenrundung und unvollkommenen Winkelschnittpunkten gezeichnet sein, die für Strukturen, die durch Nanofabrikationstechniken gebildet werden, charakteristisch sind. Ferner wurden, wo es angemessen erscheint, Bezugszeichen in den Figuren wiederholt, um entsprechende oder analoge Elemente anzuzeigen. Bei den Figuren gilt:
    • 1A ist gemäß einigen Ausführungsbeispielen eine isometrische Darstellung eines Abschnitts einer IC-Struktur, umfassend eine IC-Verbindungsebene mit Verbindungsleitungssegmenten, die durch elektromigrationsresistente Klammern gekoppelt sind;
    • 1B ist gemäß einigen Ausführungsbeispielen eine Querschnittsdarstellung eines Abschnitts einer IC-Struktur, umfassend eine IC-Verbindungsebene aufweisend Verbindungsleitungssegmente, die durch elektromigrationsresistente Klammern gekoppelt sind;
    • 2 ist gemäß einigen Ausführungsbeispielen ein Flussdiagramm, das Verfahren zum fertigen einer IC-Verbindungsebene darstellt, die Verbindungsleitungssegmente aufweist, die durch elektromigrationsresistente Klammern gekoppelt sind;
    • 3, 4 und 5A sind gemäß einigen Ausführungsbeispielen Querschnittsansichten einer IC-Verbindungsebene, die sich entwickelt, wenn Arbeitsschritte in den Verfahren, die in 2 dargestellt sind, ausgeführt werden;
    • 5B ist gemäß einigen Ausführungsbeispielen eine Draufsicht einer IC-Verbindungsebene, nachdem ein ausgewählter Arbeitsschritt in den Verfahren, die in 2 dargestellt sind, ausgeführt wird;
    • 6, 7, 8, 9, 10, 11, 12 und 13 sind gemäß einigen Ausführungsbeispielen Querschnittsansichten einer IC-Verbindungsebene, die sich entwickelt, wenn Arbeitsschritte in den Verfahren, die in 2 dargestellt sind, ausgeführt werden;
    • 14 stellt gemäß einigen Ausführungsbeispielen eine mobile Rechenplattform und eine Daten-Server-Maschine dar, die eine IC, umfassend Verbindungsleitungen mit einer Zusammensetzungsvariation, verwendet; und
    • 15 ist ein funktionales Blockdiagramm einer elektronischen Rechenvorrichtung, gemäß einigen Ausführungsbeispielen.
  • DETAILLIERTE BESCHREIBUNG
  • Eines oder mehr Ausführungsbeispiele werden Bezug nehmend auf die beigefügten Figuren beschrieben. Obwohl spezielle Konfigurationen und Anordnungen dargestellt sind und detailliert besprochen werden, versteht sich, dass dies jedoch nur zu darstellenden Zwecken getan wird. Fachleute auf dem relevanten Gebiet erkennen, dass andere Konfigurationen und Anordnungen möglich sind, ohne von dem Wesen und Schutzbereich der Beschreibung abzuweichen. Für Fachleute auf dem relevanten Gebiet ist es offensichtlich, dass die hierin beschriebenen Techniken und/oder Anordnungen in einer Vielzahl von anderen Systemen und Anwendungen verwendet werden können, als das, was hierin detailliert beschrieben ist.
  • In der nachfolgenden detaillierten Beschreibung wird Bezug auf die beiliegenden Zeichnungen genommen, die einen Teil derselben bilden und beispielhafte Ausführungsbeispiele darstellen. Ferner wird darauf hingewiesen, dass andere Ausführungsbeispiele verwendet werden können und strukturelle und/oder logische Änderungen ausgeführt werden können, ohne von dem Schutzbereich des beanspruchten Gegenstands abzuweichen. Es sollte auch darauf hingewiesen werden, dass Richtungen und Bezüge, zum Beispiel, oben, unten, Oberseite, Unterseite, und so weiter, nur verwendet werden sollen, um die Beschreibung der Merkmale in den Zeichnungen zu erleichtern. Daher soll die folgende detaillierte Beschreibung nicht in einem einschränkenden Sinne genommen werden und der Schutzbereich des beanspruchten Gegenstands ist nur durch die beigefügten Ansprüche und ihre Entsprechungen definiert.
  • In der folgenden Beschreibung werden zahlreiche Details ausgeführt. Für den Fachmann ist es jedoch offensichtlich, dass die vorliegende Erfindung in der Praxis ohne diese spezifischen Details ausgeführt werden kann. In einigen Fällen sind bekannte Verfahren und Vorrichtungen in Blockdiagrammform und nicht im Detail gezeigt, um das Verunklaren der vorliegenden Erfindung zu vermeiden. Durchgehend in dieser Beschreibung bedeutet Bezugnahme auf „ein Ausführungsbeispiel“ oder „ein einzelnes Ausführungsbeispiel“ oder „einige Ausführungsbeispiele“, dass ein bestimmtes Merkmal, eine bestimmte Struktur, Funktion oder Charakteristik, das/die in Verbindung mit dem Ausführungsbeispiel beschrieben ist, bei zumindest einem Ausführungsbeispiel der Erfindung umfasst ist. Somit bezieht sich das Auftreten der Phrase „bei einem Ausführungsbeispiel“ oder „bei einem einzelnen Ausführungsbeispiel“ oder „einigen Ausführungsbeispielen“ an verschiedenen Stellen durchgehend in dieser Beschreibung nicht zwingend auf das gleiche Ausführungsbeispiel der Erfindung. Ferner können die bestimmten Merkmale, Strukturen, Funktionen oder Charakteristika in irgendeiner geeigneten Weise bei einem oder mehreren Ausführungsbeispielen kombiniert werden. Beispielsweise kann ein erstes Ausführungsbeispiel mit einem zweiten Ausführungsbeispiel überall kombiniert werden, wo die bestimmten Merkmale, Strukturen, Funktionen oder Charakteristika, die den beiden Ausführungsbeispielen zugeordnet sind, sich nicht gegenseitig ausschließen.
  • Nach Gebrauch in der Beschreibung und den beigefügten Ansprüchen sollen die Singularformen „ein, eine“ und „das, der, die“ auch die Pluralformen umfassen, sofern aus dem Zusammenhang nicht eindeutig etwas anderes hervorgeht. Es wird auch darauf hingewiesen, dass der Ausdruck „und/oder“ nach hiesigem Gebrauch sich auf jegliche und alle möglichen Kombinationen von einem oder mehreren der zugehörigen, aufgeführten Elemente bezieht, und diese miteinschließt.
  • Die Ausdrücke „gekoppelt“ und „verbunden“ und verwandte Ausdrücke können hierin verwendet werden, um funktionale oder strukturelle Beziehungen zwischen Komponenten zu beschreiben. Es versteht sich, dass diese Ausdrücke nicht als Synonyme füreinander vorgesehen sind. Vielmehr kann bei bestimmten Ausführungsbeispielen „verbunden“ verwendet werden, um anzuzeigen, dass zwei oder mehr Elemente in direktem physischem, optischem oder elektrischem Kontakt miteinander sind. „Gekoppelt“ kann verwendet werden, um anzuzeigen, dass zwei oder mehr Elementen in entweder direktem oder indirektem (mit anderen, dazwischenliegenden Elementen zwischen ihnen) physischen oder elektrischen Kontakt miteinander sind, und/oder dass die zwei oder mehr Elemente miteinander kooperieren oder interagieren (z.B. wie bei einer Ursache/Wirkung-Beziehung).
  • Die Ausdrücke „über“, „unter“, „zwischen“ und „auf“ beziehen sich nach hiesigem Gebrauch auf eine relative Position einer Komponente oder eines Materials im Hinblick auf andere Komponenten oder Materialien, wo solche physischen Beziehungen erwähnenswert sind. Beispielsweise kann in dem Kontext von Materialien ein Material oder ein Material, das über oder unter einem anderen angeordnet ist, in direktem Kontakt sein oder eines oder mehr dazwischenliegende Materialien aufweisen. Außerdem kann ein Material, das zwischen zwei Materialien angeordnet ist, direkt mit den zwei Schichten in Kontakt sein, oder kann eine oder mehrere dazwischenliegende Schichten aufweisen. Im Gegensatz dazu ist ein erstes Material „auf“ einem zweiten Material in direktem Kontakt mit diesem zweiten Material/Material. Ähnliche Unterscheidungen sind in dem Kontext von Komponentenanordnungen zu machen.
  • Gemäß der Verwendung in dieser Beschreibung und in den Patentansprüchen kann eine Liste von Elementen, die durch den Ausdruck „zumindest eines von“ oder „eines oder mehrere von“ verbunden ist, irgendeine Kombination der aufgezählten Ausdrücke bedeuten. Zum Beispiel kann der Ausdruck „zumindest eines von A, B oder C“ A; B; C; A und B; A und C; B und C; oder A, B und C bedeuten.
  • Nachfolgend sind Verfahren und Architekturen beschrieben, die Verbindungsleitungsklammern zugeordnet sind, die einen Elektromigrationswiderstand der Verbindungsleitung verbessern. Verfahren und Architekturen, die Elektromigrationswiderstands-Verbindungsleitungs"„klammern“ zugeordnet sind, die zwei Segmente einer einzelnen leitfähigen Verbindungsleiterbahn überbrücken, sind ferner nachfolgend beschrieben. Eine „Klammer“ ist ein leitfähiges Verbindungsleitungssegment, das zwei benachbarte, kollineare leitfähige Segmente einer Verbindungsleitung oder einer gegebenen Verbindungsebene koppelt, und die kollinearen Leitungssegmente mit einem oder mehreren leitfähigen Materialien überbrückt. Die Klammer und/oder die durch die Klammer verbundenen kollinearen Leitungssegmente können ein leitfähiges Material umfassen, das einen überlegenen Elektromigrationswiderstand aufweist als ein anderes leitfähiges Material. Die Kombination von Klammern und kollinearen Leitungssegmenten bildet eine Struktur, die eine periodische Zusammensetzung aufweist, die die durchgehende Länge eines leitfähigen Materials begrenzt, das sich sonst über Distanzen erstrecken würde, bei denen Elektromigration ohne die Schnittstellen und/oder Materialveränderungen, die durch die Klammer und/oder den Klammerprozess eingeführt wurden, leichter auftreten würde. Gemäß einigen Ausführungsbeispielen ist eine Bildung der Klammern selektiv, und somit umfasst möglicherweise nur eine Teilmenge von Verbindungsleitungen, die am anfälligsten für Elektromigrationsfehler ist (z.B. solche, die während des IC-Betriebs hohen Strömen ausgesetzt sind), die Klammern. Andere Verbindungsleitungen, die weniger anfällig für Elektromigrationsfehler sind (z.B. diese, die nur mit Transistor-Gate-Elektroden gekoppelt sind), können von einem geringeren Leitungswiderstand profitieren, der ohne die Klammern möglich ist. Gemäß beispielhaften Ausführungsbeispielen liegen die Verbindungsleitungsklammern in der Ebene oder in der gleichen Verbindungsebene vor, wie die kollinearen Leitungssegmente, die durch die Klammer überbrückt werden. Wie nachfolgend weiter beschrieben wird, können Klammern vorteilhaft mit einer einzigen Maskenebene definiert werden, die auch verwendet werden kann, um Unterbrechungen oder Plugs in den leitfähigen Verbindungsleitungen zu definieren. Ausführungsbeispiele hierin können daher verbesserten Elektromigrationswiderstand bieten, ohne eine Maskenanzahl zu erhöhen.
  • Gemäß einigen weiter unten beschriebenen Ausführungsbeispielen, kann eine lithografische Platte oder ein Retikel, das Photoresistmaskenstrukturen in einem Photoresist mit einem gegebenen Abstand (P; pitch) druckt, verwendet werden, zum Beispiel mit einer abstanderhaltenden Strukturierungstechnik oder einer abstandssplittenden Strukturierungstechnik, um Leitungs(graben)breiten und Räume zwischen Leitungslängen zu definieren. Beispielhafte Abstands-Splitting-Techniken umfassen Abstandshalbierungs (P/2) oder Abstandsviertelungs (P/4) -Hartmasken-Strukturierungstechniken, die beide verwendet werden können, um eine erste Maskenschicht zu strukturieren. Ein anderer Maskierungsarbeitsschritt definiert dann Plugs und Klammern, die entweder benachbarte der ersten Maskenschichtleitungen überbrücken oder eine Segmentierung eines gegebenen Raums zwischen jeweils benachbarten der ersten Maskenschichtleitungen erleichtern. Die resultierenden segmentierten Leitungen und verbundenen Räume können dann in eine Grabenstruktur in einer darunterliegenden Materialschicht (z.B. irgendeinem geeigneten Dielektrikum) übersetzt werden, die dann mehrmals mit leitfähigem Material verfüllt werden kann, um leitfähige Leiterbahnsegmente zu bilden, die entlang der Länge einer Leiterbahn unterschiedliche Zusammensetzungen aufweisen. Die Plugs ermöglichen es, dass eine komplexe Damascene-artige Verbindungsstruktur aus einer einfachen Leitungsstruktur hergestellt wird. Aufgrund der Fertigungstechnik können die Verbindungsstrukturen gemäß Ausführungsbeispielen hierin an den verkleinerten Geometrien hergestellt werden, die mit Abstandssplitting-Mehrfachstrukturierungstechniken erreichbar sind. Einige nachfolgend beschriebenen beispielhaften Ausführungsbeispiele stellen die Verwendung von eindimensionalen (1D) Gittermaskenstrukturen dar. Eine Gittermaske ist vorteilhaft sowohl für Direktstrukturierung als auch für Abstandssplitting-Techniken (z.B. P/2- und P/4-Strukturierungstechniken) veränderbar. Die nachfolgend beschriebenen beispielhaften Ausführungsbeispiele stellen die Fertigung von 1D- nicht durchgehenden, leitfähigen Leiterbahnen aus zwei Maskierungsschichten dar, wobei eine der Maskierungsschichten ein ID-Gitter ist und die andere eine 2D-Maske ist, die Polygone beliebiger Form und lateraler Abmessungen definiert. Eine zusätzliche Verbindungsebene kann dann verwendet werden, um benachbarte der Verbindungsleitungen zu verbinden, wobei auf Wunsch ein 2D-Verbindungsrouting gebildet wird. Eines oder mehrere der nachfolgend beschriebenen Merkmale können gemäß Ausführungsbeispielen, die weiter hierin beschrieben sind, ausgeführt werden, um zu Verbindungsstrukturen zu gelangen, die eines oder mehrere der hierin beschriebenen strukturellen Merkmale aufweisen.
  • Einige beispielhafte Ausführungsbeispiele, die nachfolgend beschrieben sind, stellen strukturelle Merkmale dar, die anzeigend für Fertigungsprozesse sind, die die hierin gelehrten Prinzipien anwenden. Während also verschiedene Maskenstrukturen, die nachfolgend beschrieben sind, möglicherweise flüchtig sind, sind die finalen Strukturen in der IC, die als ein bleibender Abdruck des Fertigungsprozesses dienen, hervorgehoben. Zum Beispiel können dielektrische Plugs, die wie nachfolgend beschrieben hergestellt werden, erste laterale Breiten oder Längen in einer ersten Dimension aufweisen, die diskrete, ganzzahlige Funktionen von Leitungsbeabstandung und der Leitungsbreite der Verbindungsleitungen, die durch die Plugs beendet werden, sind. Die dielektrischen Plugs können ferner zweite laterale Breiten oder Längen in einer zweiten Dimension orthogonal zu der ersten Dimension, die nicht diskret sind, aufweisen. Leitfähige Klammern und umgebenden Leiterbahnsegmente, die wie nachfolgend beschrieben hergestellt werden, können erste laterale Breiten oder Längen in der ersten Dimension aufweisen, die im Wesentlichen gleich sind, sodass die Hinzufügung von Klammern keinen Verlust des Verbindungsleitungsabstands verursacht. Die leitfähigen Klammern können ferner zweite laterale Breiten oder Längen in der zweiten Dimension aufweisen, die gleich oder ungleich zu den zweiten lateralen Breiten oder Längen der Plugs sind. Die Klammern können zweite laterale Breiten oder Längen aufweisen, die um einen willkürlichen, nicht diskreten Betrag größer sind als die zweiten lateralen Breiten oder Längen der Plugs. Die Unterschiede bei den lateralen Abmessungen zwischen Plugs und Klammern sind weiter anzeigend für die Einzel-Masken-Ebenen-Technik, die hierin beschrieben ist. Wie weiter unten beschrieben ist, können Plugs aus einem Polygon gefertigt werden, das kleiner als eine laterale Schwellenlänge und/oder -breite ist, während Klammern aus einem Polygon hergestellt werden können, das größer als die Schwellen-Lateralabmessung ist.
  • 1 ist eine perspektivische Ansicht eines Abschnitts einer IC, umfassend eine IC-Verbindungsstruktur 101, die Leiterbahnsegmente 103 über einem dielektrischen Material 105 aufweist. Leiterbahnsegmente 103 sind in einer Ebene (z.B. x-y-Dimension) einer einzelnen Verbindungsebene. Die IC-Verbindungsstruktur 101 kann ein Abschnitt irgendeiner IC-Verbindungsebene über irgendeinem Substrat 102 sein. Zum Beispiel kann die IC-Verbindungsstruktur 101 eine erste Verbindungsebene (z.B. Metall 0) oder eine höhere Verbindungsebene (z.B. Metall 1-7) sein. Das Substrat 102 kann, zum Beispiel, ferner aktive Bauelemente umfassen, wie beispielsweise, aber nicht beschränkt auf Transistoren (z.B. CMOS-Feldeffekttransistoren), die Anschlüsse aufweisen, die mit verschiedenen der Leiterbahnsegmente 103 gekoppelt sind. Innerhalb der Verbindungsstruktur 101 erstrecken sich die Leitungssegmente 103 längs in einer ersten Dimension (z.B. in der y-Dimension). Bei beispielhaften Ausführungsbeispielen sind die Leitungssegmente 103 parallel und können als ein Gitter, das mit Leitungssegmentlöschungen oder -unterbrechungen modifiziert ist, betrachtet werden. Die Leitungssegmente 103 können aus irgendeinem leitfähigen Material sein. Beispielsweise können die Leitungssegmente 103 ein oder mehrere Metalle (z.B. Ta, Ti, W, Cu, Al, Ru etc.) oder dotierte Halbleiter (z.B. Polysilizium etc.) umfassen. Bei einigen beispielhaften Ausführungsbeispielen umfassen die Leitungssegmente 103 einen Liner aus einem ersten leitfähigen Material (z.B. umfassend Ta) und eine Füllung aus einem zweiten leitfähigen Material (z.B. umfassend Cu), wie nachfolgend ferner in dem Zusammenhang von 1B beschrieben wird. Wie ferner in 1A gezeigt ist, können die Leitungssegmente 103 ein Array aus irgendeiner Anzahl von benachbarten, nicht kollinearen elektrischen Leiterbahnen bilden. Ein dazwischenliegendes dielektrisches Material 110 trennt Seitenwände benachbarter Leitungssegmente 103. Das dielektrische Material 110 kann die gleiche Zusammensetzung wie das dielektrische Material 105 aufweisen, das unterhalb der Leitungssegmente 103 ist. Das dielektrische Material 110 und/oder das dielektrische Material 105 können beide Zwischenschichtdielektrikums (ILD; interlayer dielectric) -Materialien sein, zum Beispiel. Alternativ kann das dielektrische Material 105 bei einem anderen Beispiel ein Flache-Graben-Isolations (STI; shallow trench isolation) -Material sein. Das dielektrische Material 110 und das dielektrische Material 105 können beide irgendein Material sein, von dem bekannt ist, dass es ausreichende elektrische Isolation zwischen den Leitungssegmenten 103 bereitstellt, wie beispielsweise, aber nicht beschränkt auf SiC, SiN, SiCN, SiO, SiON, SiOC, HSQ, MSQ oder ähnliches. Für diese Verbindungen kann auch der Gruppe-IV-Bestandteil ersetzt werden (z.B. Germaniumnitride, Metallgermanide etc.). Verschiedene Leicht- und Übergangsmetalloxide (z.B. AlOx, HfOx, ZrOx, TiOx) und deren Silikate sind ebenfalls möglich.
  • Die transversale Breite (z.B. x-Achse) der Leitungssegmente 103 kann je nach Technologieknoten variieren. Bei einigen Ausführungsbeispielen weisen die Leitungssegmente 103 einen Abstand Pi von 80 Nanometer (nm) oder weniger auf. Der Abstand P1 kann über einen Abschnitt oder eine Gesamtheit eines IC-Bereichs im Wesentlichen konstant sein. Der Abstand Pi ist gleich der Leitungsbreite L, summiert mit der Raumbreite S. Die Leitungsbreite L kann über einen Abschnitt oder eine Gesamtheit eines IC-Bereichs im Wesentlichen konstant sein. Bei einigen Ausführungsbeispielen, bei denen der Abstand Pi 80 nm oder weniger ist, ist die Leitungsbreite L 40 nm oder weniger. Zum Beispiel kann die Leitungsbreite L 5-40 nm sein. Dielektrisches Material 110 erstreckt sich längs in die erste Richtung (z.B. in die y-Dimension), wobei die transversale Breite des dielektrischen Materials 110 gleich der Raumbreite S ist. Die Raumbreite S kann über einen Abschnitt oder die Gesamtheit eines IC-Bereichs im Wesentlichen konstant sein. Bei beispielhaften Ausführungsbeispielen, bei denen der Abstand P1 80 nm oder weniger ist, ist die Raumbreite S 40 nm oder weniger. Bei einigen Ausführungsbeispielen ist die Raumbreite S ungleich der Leitungsbreite L. Zum Beispiel kann die Raumbreite S größer (z.B. zumindest 5 nm) sein als die Leitungsbreite L (z.B. nicht mehr als 8 nm). Alternativ kann die Raumbreite S kleiner (z.B. nicht mehr als 8 nm) sein als die Leitungsbreite L (z.B. zumindest 5 nm). Bei anderen Ausführungsbeispielen ist die Raumbreite S im Wesentlichen gleich zu der Leitungsbreite L.
  • Drauf- und Schnittansichten der dielektrischen Plugs 130A, 130B und 130C sind in 1A ferner dargestellt. Der Plug 130A überspannt die Breite zwischen benachbarten Strecken aus dielektrischem Material 110 in der zweiten Dimension der Verbindungsebene (z.B. x-Dimension). Da Plugs 130 die gleiche Zusammensetzung wie das dielektrische Material 110 (z.B. umfassend Sauerstoff und Silizium) aufweisen können, ist möglicherweise kein Material oder keine mikrostrukturelle Schnittstelle (d.h. ein homogenes Material) mit dem Plug 130A verbunden. Daher können die Geometrie und/oder die lateralen Abmessungen und/oder die Positionen der Plugs 130 relativ zu anderen Strukturen der/die primäre(n) Indikator(en) des Fertigungsprozesses sein.
  • In der ersten Dimension der Verbindungsebene weist der Plug 130A eine Breite auf, die zumindest gleich der Leiterbahnbreite L ist. In dieser Dimension überbrückt der Plug 130A zwei benachbarte Strecken aus dielektrischem Material 110, derart, dass benachbarte nicht kollineare Leiterbahnsegmente 103 um eine Pluglänge LP,1 voneinander beabstandet sind, die ein ganzzahliges Mehrfaches (z.B. N) der Raumbreite S, summiert mit einem kleineren ganzzahligen Mehrfachen (z.B. N-1) der Leitungsbreite L ist. Genauer, Plug-Länge LP,1=2S+L. Alle der Plugs 130 (z.B. 130A, 130B, 130C) innerhalb eines Teilbereichs oder über einen gesamten Bereich eines ICs können eine Plug-Länge LP aufweisen, die die Funktion (N)S+(N-1)L erfüllt. Bei der anderen Dimension (z.B. y-Dimension) trennt der Plug 130A die Enden der kollinearen Leitungssegmente 103 durch eine Plug-Breite WP,1. Im Gegensatz zu LP,1, das durch die feste Leitungs- und Raumbreite der Leitungssegmente 103 diskretisiert wird, ist die Plug-Breite WP,1 zumindest gleich der Raumbreite S, aber kann ansonsten ohne Einschränkung variieren.
  • 1A stellt ferner eine Draufsicht von zusätzlichen Leitungssegmenten oder „Klammern“ 140A, 140B und 140C dar. Die Leitungssegmente 140A, 140B wechseln sich mit den Leitungssegmenten 103A, 103B und 103C ab. Die Leitungssegmente 140A, 140B sind deshalb in der gleichen Ebene oder Verbindungsebene wie die Segmente 103A, 103B und 103C. Leitungssegment 140C ist ein alternierendes Segment zwischen zwei anderen Segmenten einer anderen Leiterbahn 103. Wie die Leitungssegmente 103A-103C können auch die Leitungssegmente 140A-140C aus irgendeinem leitfähigen Material bestehen, das für IC-Verbindungen geeignet ist. Beispielsweise können die Leitungssegmente 140A-C ein oder mehrere Metalle (z.B. Ta, Ti, W, Cu, Al, Ru etc.) oder dotierte Halbleiter (z.B. Polysilizium etc.) umfassen. Die Leitungssegmente 140A-140C weisen in der ersten Dimension der Verbindungsebene (z.B. x-Dimension) eine Länge auf, die im Wesentlichen gleich der transversalen Leitungsbreite L ist. Das Leitungssegment 140A schneidet und überbrückt die beiden kollinearen Leitungssegmente 103A und 103B. Das dazwischenliegende Leitungssegment 140A ist somit zwischen einem ersten Ende des Leitungssegments 103A und einem Ende des Leitungssegments 103B. Ein anderes Leitungssegment 140B schneidet und überbrückt die kollinearen Leiterbahnsegmente 103A und 103C. Das Leitungssegment 140B ist somit zwischen einem zweiten Ende des Leitungssegments 103A und einem Ende des Leitungssegments 103C. Leitungssegmente 140A-140C weisen eine beliebige Klammerbreite WS,1 in der zweiten Dimension der Verbindungsebene (z.B. y-Dimension) auf. Bei einigen Ausführungsbeispielen ist die Klammerbreite WS,1 unabhängig von der Plug-Breite WP,1. Zum Beispiel muss die Klammerbreite WS,1 nicht ein diskretes ganzzahliges Mehrfaches der Plug-Breite WP,1 sein.
  • Bei einigen vorteilhaften Ausführungsbeispielen weisen die Leitungssegmente 140 eine unterschiedliche Zusammensetzung auf, als die der Leitungssegmente 103. Bei einigen Ausführungsbeispielen weisen die Leitungssegmente 140A-140C eine im Wesentlichen homogene Zusammensetzung auf. Bei einigen beispielhaften Ausführungsbeispielen umfassen die Leitungssegmente 140A-140C jedoch einen Liner, der eine erste Materialzusammensetzung aufweist, und eine Füllung, die eine zweite Materialzusammensetzung aufweist. Bei dem dargestellten Beispiel definiert ein Liner 150 einen Umfang von Leitungssegmenten 140A, 140B und/oder Leitungssegmenten 103A, 103B, 103C. Im Gegensatz zu dielektrischen Plugs 130A-C grenzt daher eine Material- und/oder mikrostrukturelle Schnittstelle, die dem Liner 150 zugeordnet ist, Leiterbahnsegmente 140 von Leiterbahnsegmenten 103 ab. Wenn kein Liner vorliegt, ist die Füll- oder Bulkmaterialzusammensetzung einer ersten Teilmenge von kollinearen Leitungssegmenten (z.B. 140A-C) unterschiedlich zu der Materialzusammensetzung einer zweiten Teilmenge von kollinearen Leitungssegmenten (z.B. 103A-C), die durch die erste Teilmenge von Leitungssegmenten miteinander verbunden sind.
  • Leitungssegmente 103 und Leitungssegmente 140 verbinden sich zu einer leitfähigen Verbindungsleitung, die eine periodische Zusammensetzungsvariation aufweist, die zum Beispiel entworfen sein kann, um den Elektromigrationswiderstand der Verbindungsleitung über das hinaus zu verbessern, was für eine äquivalente Leitungslänge, die Zusammensetzungshomogenität aufweist, möglich wäre. Zumindest ein Abschnitt eines der Leitungssegmente 103 und der Leitungssegmente 140 kann ein Material umfassen, das einen höheren Elektromigrationswiderstand aufweist als andere Materialien, die innerhalb eines oder beider der Segmente 103 und 140 vorliegen. Anders ausgedrückt ist die Segmentierung derart, dass eine segmentierte Verbindungsleitung periodisch einen Abschnitt eines Materials umfasst, das eine höhere Elektromigrations-Aktivierungsenergie umfasst als andere Abschnitte, die an diesen Abschnitt angrenzen. Bei einigen Ausführungsbeispielen umfassen die Leitungssegmente 140 ein Material, das einer höheren Elektromigrations-Aktivierungsenergie zugeordnet ist, als die Leitungssegmente 103. Dieses Material innerhalb der Leitungssegmente 140 kann dann durch eine Distanz D beabstandet werden, die vorteilhafterweise geringer ist als die Blechlänge die den Leitungssegmenten 103 zugeordnet ist. Typischerweise ist die Blechlänge nur eine Überlegung bei dem Entwurf von elektrischen Test (E-Test) -Strukturen, wobei die Blechlänge die Mindestlänge für Leitungen einer Elektromigrationsteststruktur ist. Gemäß Ausführungsbeispielen hierin setzt die Blechlänge jedoch die maximale Länge eines einzelnen Verbindungsleitungssegments fest, das Enden aufweist, die an das Material mit höherem Elektromigrationswiderstand angrenzen. Viele solcher Segmente können durch dazwischenliegende Leitungssegmente, die eine höherer Elektromigrations-Aktivierungsenergie für eine IC-Verbindungsleitung von beliebiger Gesamtlänge aufweisen, miteinander verbunden werden. Für das dargestellte Beispiel gilt, dass, wenn die Leitungssegmente 103 kürzer als die Blechlänge sind, höhere Ströme durch die Leitungssegmente 103 aufrechterhalten werden können, bevor es zu einem elektromigrationsbedingten Fehler kommt.
  • Bei einigen anderen Ausführungsbeispielen ist zumindest ein Abschnitt der Leitungssegmente 103 einer höheren Elektromigrations-Aktivierungsenergie zugeordnet als die Leitungssegmente 140. Die Leitungssegmente 103 können dann durch eine Distanz D beabstandet werden, die vorteilhafterweise geringer ist als die Blechlänge die den Leitungssegmenten 140 zugeordnet ist. Bei einigen Ausführungsbeispielen, z.B. wenn der Liner 150 die einzige Variation in der Zusammensetzung innerhalb einer Länge einer Verbindungslinie einführt, ist der Liner 150 das dazwischenliegende Leitungssegment, und die restlichen Leitungssegmente 103 und 140, die im Wesentlichen die gleiche Zusammensetzung aufweisen, sind so abgemessen, dass sie eine Länge aufweisen, die geringer ist als die Blechlänget (d.h. beide Leitungssegmente 103 und 140 trennen den Liner 150 in einem Abstand D, der unterhalb der Blechlänge liegt).
  • Der elektrische Widerstand einer gegebenen Länge einer Verbindungsleitung kann bei Ausführungsbeispielen, bei denen die Leitungssegmente 140 und/oder die Leitungssegmente 103 den Liner 150 umfassen, minimiert sein, und nur der Liner 150 ist aus einem Material, das einen höheren elektrischen Widerstand (und höheren Elektromigrationswiderstand) aufweist. Zum Beispiel kann der Liner 150 Ta umfassen. Ta und Legierungen von Ta (z.B. TaN) können einen hohen Elektromigrationswiderstand aufweisen. Da das Leitungssegment 103A durch die Leitungssegmente 140A und 140B eingeklammert ist, liegt an zwei gegenüberliegenden Enden des Leitungssegments 103A ein Liner 150 vor, der eine Seitenwandschnittstelle bildet, die sich über den gesamten stromtragenden Querschnitt des Leitungssegments 103A erstreckt. Wenn die Distanz D zwischen zwei Linern 150 geringer ist als die Blechlänge, können innerhalb des Leitungssegments 103A höhere Stromdichten erreicht werden, ohne dass es zu elektromigrationsbedingten Fehlern kommt. Das Leitungssegment 140A ist auch durch die Leitungssegmente 103A und 103B eingeklammert, wobei der Liner 150 an zwei gegenüberliegenden Enden des Leitungssegments 140A vorliegt, wobei er eine Seitenwandschnittstelle bildet, die sich über den gesamten stromtragenden Querschnitt des Leitungssegments 140A erstreckt. Wenn die Distanz D zwischen zwei Linern 150 geringer ist als die Blechlänge, können auch höhere Stromdichten innerhalb des Leitungssegments 140A erreicht werden, ohne dass es zu elektromigrationsbedingten Fehlern kommt. Bei einigen Ausführungsbeispielen, bei denen das Leitungssegment 103A einen Liner (z.B. umfassend Ta) und eine Füllung (z.B. umfassend Cu) aufweist, und Leitungssegmente 140A, 140B auch einen Liner (z.B. umfassend Ta) und eine Füllung (z.B. umfassend Cu) aufweisen, kombinieren die Leitungssegmente 140A, 140B somit mit den Leitungssegmenten 103A, 103B, 103C, um einen Liner, der sich durch den stromtragenden Querschnitt einer Verbindungsleitung erstreckt, einzuführen. Dieser Liner an den Enden der Segmente 140 und 103 funktioniert dann als ein dazwischenliegendes Leitungssegment, das die periodische Materialzusammensetzungsvariation die Länge einer segmentierten Leitung hinunter einführt. Bei einigen solchen Ausführungsbeispielen, bei denen die Breite WS,1 ungefähr gleich zu der Distanz D ist, kann die elektrische Widerstandsbeeinträchtigung des Liners 150 minimiert werden, so dass er ungefähr gleich zu einer Länge einer Verbindungsleitung geteilt durch die Blechlänge, die dem Füllmetall zugeordnet ist, das in beiden Segmenten 103 und 140 verwendet wird, multipliziert mit dem elektrischen Widerstand des Liners 150 ist, der außerdem eine Funktion der Linerzusammensetzung und -dicke ist.
  • Bei einigen weiteren Ausführungsbeispielen umfasst eine Verbindungsebene sowohl segmentierte Leiterbahnen, die eine periodisch variierende Zusammensetzung aufweisen, als auch nicht segmentierte Leiterbahnen, denen eine solche periodisch variierende Zusammensetzung fehlt. Wie nachfolgend weiter beschrieben wird, kann die kollineare Segmentierung einer Leitung selektiv gemacht werden, und deshalb müssen nicht alle Leitungen einer gegebenen Verbindungsebene segmentiert werden. Stattdessen sind gemäß einigen Ausführungsbeispielen nur diese Leitungen einer Verbindungsebene segmentiert, die Stromdichten, die einige vorbestimmte Schwellen während einer IC-Operation überschreiten aufrechterhalten sollen, während die übrigen Leitungen der Verbindungsebene, die während des IC-Betriebs nur Sub-Schwellen-Stromdichten aufrechterhalten müssen, nicht segmentiert werden. Somit kann der elektrische Widerstand von Verbindungsleitungen, die keinen höheren Elektromigrationswiderstand benötigen, minimiert werden (d.h. es kommt zu keiner Widerstandsbeeinträchtigung, die einer Segmentierung zugeordnet ist). Zum Beispiel, wie in 1A gezeigt, weißt die Leiterbahn 103D, unmittelbar benachbart zu einer segmentierten Linie, die eine periodische Zusammensetzungsvariation aufweist, eine Länge auf, die gleich zu einer Summe von Leitungssegmenten 103A, 103B, 103C, 140A und 140B ist. Die Länge der Linie 103D übersteigt daher die Distanz D bei weitem und kann weit über der entsprechenden Blechlänge sein. Die Leiterbahn 103D kann zum Beispiel mit einer Gate-Elektrode eines Transistors (nicht abgebildet) in dem Substrat 102 gekoppelt sein. Eine segmentierte Leiterbahn, die die Leiterbahnsegmente 103A-103C und 140A-140B umfasst, kann zum Beispiel mit einer Source-Elektrode dieses Transistors (oder eines anderen Transistors) in dem Substrat 102 gekoppelt sein. Auf diese Weise kann ein parasitärer elektrische Widerstand für eine Niedrigerer-Strom-Gate-Elektrode innerhalb einer ICs minimiert werden, während ein Elektromigrationswiderstand einer Höherer-Strom-Source-Leitung innerhalb dieser IC maximiert wird.
  • 1B ist gemäß einigen Ausführungsbeispielen eine Querschnittsdarstellung eines Abschnitts der IC-Struktur 101 entlang der A-A'-Linie, die auch in 1A dargestellt ist. Wie gezeigt, erstreckt sich die A-A-Linie länglich durch die segmentierte Verbindungsleitung, die von links nach rechts abwechselnde Segmente als 103B, 140A, 103A, 140B, 103C umfasst. Wie ferner gezeigt ist, ist zwischen jedem Segment ein Liner 150. Bei dem dargestellten Ausführungsbeispiel umfasst der Liner 150 eine Dicke (entlang der y-Achse), die größer ist als die Dicke (entlang der z-Achse) eines Liners 170 zwischen einer Unterseite der Segmente 103A, 103B und 103C und dem dielektrischen Material 105. Der Liner 150 weist auch eine Dicke auf, die größer ist als eine Dicke eines Liners 160 zwischen einer Unterseite der Segmente 140A, 140B und dem dielektrischen Material 105. Bei einigen beispielhaften Ausführungsbeispielen ist der Liner 150 gleich der summierten Dicken der Liner 160 und 170. Wo die Liner 160 und 170 die gleiche Dicke aufweisen, ist der Liner 150 doppelt so dick wie die Liner 160, 170. Bei einigen weiteren Ausführungsbeispielen, bei denen die Liner 160 und 170 die gleiche Zusammensetzung (z.B. umfassend Ta, und/oder ist TaN) aufweisen, weist der Liner 150 die gleiche Zusammensetzung wie die Liner 160 und 170 (z.B. umfassend Ta, und/oder ist TaN) auf. Wenn die Liner 160 und 170 unterschiedliche Zusammensetzungen aufweisen, kann der Liner 150 eine Doppelschicht sein, die eine Schicht, die die Zusammensetzung von Liner 160 aufweist und eine andere Schicht, die die Zusammensetzung von Liner 170 aufweist, umfasst. Obwohl die Leitungssegmente 140A und 140B mit unterschiedlichen Feldlinien dargestellt sind, um zu betonen, dass ihre Zusammensetzung völlig unterschiedlich sein kann als die der Leitungssegmente 103A-103C, weisen bei einigen Ausführungsbeispielen die Leitungssegmente 140A, 140B, 103A, 103B, 103C alle die gleiche Zusammensetzung (z.B. alle umfassend Cu, alle umfassend Ru, alle umfassend W etc.) auf.
  • Bei einigen Ausführungsbeispielen ist die vertikale Höhe H (z.B. entlang der z-Achse) der Leitungssegmente 103A, 103B und 130C immer im Wesentlichen gleich (d.h. innerhalb von 10% voneinander). Bei einigen Ausführungsbeispielen ist die vertikale Höhe H der Leitungssegmente 140A und 140B im Wesentlichen gleich. Bei dem in 1B dargestellten beispielhaften Ausführungsbeispiel ist die vertikale Höhe H für alle Leitungssegmente 103A, 103B, 103C, 140A und 140B im Wesentlichen gleich. Bei anderen Ausführungsbeispielen ist die vertikale Höhe H der Leitungssegmente 140A und 140B im Wesentlichen gleich, aber unterschiedlich zu der vertikalen Höhe H der Leitungssegmente 103A, 103B und 103C. Zum Beispiel kann die vertikale Höhe H der Leitungssegmente 140A und 140B größer sein als die vertikale Höhe H der Leitungssegmente 103A, 103B und 103C. Alternativ kann die vertikale Höhe H der Leitungssegmente 140A und 140B geringer sein als die vertikale Höhe H der Leitungssegmente 103A, 103B und 103C.
  • Eine Anzahl von Verfahren kann verwendet werden, um die Strukturen herzustellen, die in dem Zusammenhang der Verbindungsstruktur 101 beschrieben sind. 2 ist ein Flussdiagramm, das beispielhafte Verfahren 200 zum Herstellen von Verbindungsstrukturen darstellt. Bei einigen Ausführungsbeispielen wird die Verbindungsstruktur 101 gemäß den Verfahren 200 hergestellt. Bei den Verfahren 200 werden irgendwelche Maskenstrukturen, die zumindest die minimalen lateralen Schwellenabmessungen aufweisen, letztendlich in Leitungssegment (Klammern) übersetzt, die mehrere kollineare Leitungssegmente zusammenfügen können, während irgendwelche Maskenstrukturen, die nicht mindestens die minimalen lateralen Schwellenabmessungen aufweisen, letztendlich nicht in Leitungssegmente übersetzt werden und stattdessen möglicherweise einen Plug erzeugen, der ein oder mehrere Leitungssegmente stört. 3-12 stellen ferner gemäß einigen beispielhaften Ausführungsbeispielen Ansichten einer IC-Struktur mit einer Verbindungsebene dar, die sich bei Ausführung der Arbeitsschritte in den Verfahren 200 entwickeln.
  • Zunächst Bezug nehmend auf 2 beginnen die Verfahren 200 bei Arbeitsschritt 201, wobei ein Werkstück empfangen wird. Das Werkstück kann irgendeines sein, von dem bekannt ist, dass für die nachfolgende Verarbeitung geeignet ist. Bei einigen beispielhaften Ausführungsbeispielen ist bekannt, dass das Werkstück ein für die IC-Herstellung geeignetes ist. Bei einigen solchen Ausführungsbeispielen umfasst das bei Arbeitsschritt 201 erhaltene Werkstück ein kristallines Halbleitersubstrat, wie beispielsweise, aber nicht beschränkt auf, einen kristallinen Siliziumwafer. Das bei Arbeitsschritt 201 erhaltene Werkstück umfasst eine erste Maskenschicht, die erste Maskenstrukturen aufweist, und eine oder mehrere Unterschichten, die anschließend zumindest teilweise basierend auf den ersten Maskenstrukturen strukturiert werden sollen. Die ersten Maskenstrukturen können aus irgendeinem Material sein, das sich als eine Maske für einen nachfolgenden Strukturierungsprozess eignet. Die Unterschichten können Teil eines Handhabungssubstrats (z.B. kristalliner Halbleiter) sein und/oder können geeignete Dünnfilme umfassen, wie beispielsweise, aber nicht beschränkt auf eine oder mehrere Zwischenschicht-Dielektrikumsmaterialien, Metalle oder Halbleiterbauelementschichten.
  • 3 ist ein beispielhaftes Werkstück, das entlang der B-B'-Linie, die auch in 1A sichtbar ist, dargestellt ist. Wie in 3 gezeigt ist, umfasst die IC-Struktur 301 erste Maskenstrukturen 210 über einer unstrukturierten Maskenschicht 305. Die Maskenschicht 305 ist über dem dielektrischen Material 105, und das dielektrische Material 105 ist über dem Substrat 102. Maskenmaterialien, die sich für die Maskenstrukturen 210 und die Maskenschicht 305 eignen, umfassen kohlenstoffbasierte Hartmaskenmaterialien (CHM; carbon-based hardmask materials), Siliziumoxide (z. B. umfassend Silizium und Sauerstoff), Siliziumnitride (umfassend Silizium und Stickstoff), Siliciumcarbide (umfassend Silizium und Kohlenstoff), kohlenstoffdotierte Oxide (umfassend Silicium, Sauerstoff, Kohlenstoff und Wasserstoff). Andere Materialien, umfassend Metalle, wie beispielsweise aber nicht beschränkt auf TiN (umfassend Titan und Stickstoff), können ebenfalls verwendet werden. Wie vorangehend beschrieben wurde, kann das dielektrische Material 105 irgendein dielektrisches Material sein, von dem bekannt ist, dass es sich als ein IC ILD eignet (z.B. Siliziumoxide, kohlenstoffdotierte Oxide, andere Low-k-Materialien etc.).
  • Die ersten Maskenstrukturen, die bei Arbeitsschritt 201 (2) empfangen wurden, umfassen Strukturen einer ersten lateralen Breite (d.h. in der Ebene des Werkstücks). Bei einigen Ausführungsbeispielen weisen die ersten Maskenstrukturen eine „minimale laterale Breite“ auf, die nur dem Namen nach (d.h. nominell) ist, da einzelne Maskenstrukturen mit dieser minimalen lateralen Breite nicht unbedingt exakt die gleichen tatsächlichen lateralen Breiten aufweisen. Die ersten Maskenstrukturen mit der nominellen minimalen lateralen Breite gehören zur gleichen Klasse von Strukturen, die auf eine minimale laterale Breite abzielen. Bei einigen Ausführungsbeispielen weist eine überwiegende Mehrheit, wenn nicht sogar alle, der ersten Maskenstrukturen im Wesentlichen die gleiche tatsächliche minimale laterale Breite mit einer gewissen Verteilung um die minimale laterale Zielbreite als ein Ergebnis von Prozesstoleranzen auf. Nach hiesigem Gebrauch, wird die Bezeichnung „im Wesentlichen“ in der Erkenntnis verwendet, dass Herstellungsprozesse eine Population ausgeben, die durch einige Verteilungsstatistiken gekennzeichnet ist. In diesem Zusammenhang weist eine überwiegende Mehrheit, wenn nicht sogar alle, der ersten Maskenstrukturen, die bei Arbeitsschritt 201 erhalten wurden, eine minimale laterale Zielbreite von +/- 10% dieser minimalen lateralen Zielbreite auf. Diese ersten Maskenstrukturen können ferner irgendeine laterale Länge aufweisen, um irgendeine Grundfläche über das Werkstück einzunehmen, da Ausführungsbeispiele hierin nicht auf diesen Zusammenhang begrenzt sind.
  • Bei dem in 3 gezeigten Beispiel weisen die Maskenstrukturen 210 eine nominelle laterale Leitungsbreite L auf und sind durch eine nominelle Raumbreite S derart voneinander beabstandet, dass die Maskenstrukturen 210 durch einen nominellen Gitterabstand PG charakterisiert sind. Die Maskenstrukturen 210 können unter Verwendung irgendeiner geeigneten Technik definiert worden sein. Zum Beispiel können ein oder mehrere lithographische Prozesse (z.B. Extrem-UV) und/oder subtraktive Prozesse (z.B. Hartmaskenätzen) und/oder additive Prozesse (z.B. Dünnfilmabscheidung) vorgeschaltet durchgeführt worden sein, um Maskenstrukturen 210 zu erzeugen. Bei einigen Ausführungsbeispielen werden die Maskenstrukturen 210 durch einen Multi-Strukturierungsprozess erzeugt, wie beispielsweise aber nicht beschränkt auf, durch Abstandsviertelung. Solche Techniken können zum Beispiel eine minimale laterale Zielbreite von 5-40 nm erreichen. Die laterale Abstandsbreite S kann gleich, größer oder geringer sein als die laterale Zielbreite der Strukturen. Bei einigen beispielhaften Ausführungsbeispielen ist die laterale Raumbreite S 5-40 nm.
  • Erneut Bezug nehmend auf 2, fahren die Verfahren 200 bei Arbeitsschritt 205 fort, wobei das Maskenabdeckungsmaterial über die ersten Maskenschichtstrukturen abgeschieden wird. Das Maskenabdeckungsmaterial kann irgendein Material sein, von dem bekannt ist, dass es sich als ein Maskenmaterial eignet, das mit der nachfolgenden Verarbeitung kompatibel ist. Das Maskenabdeckungsmaterial kann vorteilhafterweise eine Zusammensetzung aufweisen, die selektiv (d.h. mit einer höheren Rate) relativ zu den ersten Maskenstrukturen und relativ zu der/den Unterschicht(en) entfernt werden kann. Das Maskenabdeckungsmaterial kann mit irgendeiner Technik abgeschieden werden, von der bekannt ist, dass sie für das Material geeignet ist. Bei einigen vorteilhaften Ausführungsbeispielen wird das Maskenabdeckungsmaterial mit einem super-konformen Prozess abgeschieden, um Räume zwischen den ersten Maskenstrukturen vollständig zu verfüllen. Fließfähige Abscheidungen und Aufschleudertechniken können zum Beispiel verwendet werden. Optional kann das Maskenabdeckungsmaterial weiteren Planarisierungsprozessen (z.B. chemisch-mechanischem Polieren) unterzogen werden. Bei dem Beispiel, das in 4 weiter dargestellt ist, umfasst Struktur 401 Struktur 301 und Maskenabdeckungsmaterial 410, das die Maskenstrukturen 210 verfüllt. Bei beispielhaften Ausführungsbeispielen weist das Maskenabdeckungsmaterial 410 eine unterschiedliche Zusammensetzung auf als die Maskenstrukturen 210, wobei dieser Unterschied eine Basis der Ätzselektivität zwischen den beiden bereitstellt. Das Maskenabdeckungsmaterial 410 kann mit den Maskenstrukturen 210 planarisiert werden, oder kann die Maskenstrukturen 210 einkapseln, wie in 4 dargestellt.
  • Erneut Bezug nehmend auf 2, fahren die Verfahren 200 bei Arbeitsschritt 210 fort, wobei erste und zweite Öffnungen in einer zweiten Maskenschicht über der ersten Maskenschicht strukturiert werden. Alle ersten Öffnungen weisen lateral Abmessungen auf, die kleiner als eine Schwelle sind. Alle zweiten Öffnungen sind größer als die ersten Öffnungen und weisen laterale Abmessungen auf, die zumindest größer als die Schwelle sind. Die zweite Maskenschicht kann irgendein geeignetes photoempfindliches oder Hartmaskemanterial sein. Falls das zweite Maskenschichtmaterial eine Hartmaske ist, wie beispielsweise SiO, SiN, SiON etc., kann es gemäß irgendeiner geeigneten Technik strukturiert werden. Zum Beispiel können Öffnungen in die zweite Maskenschicht geätzt werden, basierend auf einer darüberliegenden photoempfindlichen Materialschicht, die lithografisch strukturiert wurde. In dem in 5A gezeigten Beispiel umfasst die IC-Struktur 501 die Struktur 401 und ein Maskenmaterial 505. Eine Öffnung 510A und eine andere Öffnung 512A wurden in ein Maskenmaterial 505 strukturiert. Das Maskenmaterial 505 kann irgendeine Zusammensetzung aufweisen, aber bei einigen vorteilhaften Ausführungsbeispielen weist das Maskenmaterial 505 eine unterschiedliche Zusammensetzung auf als sowohl die Maskenstrukturen 210 und das Maskenabdeckungsmaterial 410, um eine gewisse Ätzselektivität (z.B. Maskenmaterial 505 kann selektiv geätzt werden) relativ zu den Maskenstrukturen 210 und dem Maskenabdeckungsmaterial 410 zu gewährleisten.
  • 5B ist eine Draufsicht der IC-Struktur 501. Die Querschnittsebene B-B' von 5A ist in 5B weiter als gestrichelte Linie gezeigt. Die Öffnung 510A weist eine laterale Breite W1 auf, während die Öffnung 512A eine laterale Breite W2 aufweist, die größer als die Breite W1 ist. Die Öffnung 510A legt eine Maskenstruktur 210 und einen benachbarten Streifen eines Maskenabdeckungsmaterials 410 frei. Die Öffnung 512A legt zwei benachbarte Maskenstrukturen 210 und einen Streifen eines Maskenabdeckungsmaterials 410 dazwischen frei. Wie ferner in 5B gezeigt ist, weist die Öffnung 510A eine laterale Länge L1 auf, während die Öffnung 512A eine laterale Länge L2 aufweist, die größer als die Länge L 1 ist. Bei beispielhaften Ausführungsbeispielen ist zumindest eines von L1 und W1 kleiner als eine Schwellen-Lateralabmessung, während beide von L2 und W2 größer als die Schwellen-Lateralabmessung sind. Wie ferner in 5B gezeigt ist, ist die Öffnung 510A eine einer Mehrzahl von Öffnungen 510. Zumindest eine der Länge oder Breite aller Öffnungen 510 (z.B. Öffnungen 510B, 510B und 510C) ist kleiner als die Schwellen-Lateralabmessung. Die Öffnung 512A ist ebenfalls eine einer Mehrzahl von Öffnungen 512. Sowohl die Länge als auch die Breite aller Öffnungen 512 (z.B. die Öffnungen 512A, 512B und 512C) sind größer als die Schwellen-Lateralabmessung. Insbesondere die Öffnungen 510 und 512 sind 2D-Polygone (d.h. keine ID-Gitterstrukturen), die unabhängig voneinander so bemessen sein können, dass sie beliebige Längen L und beliebige Breiten W aufweisen können.
  • Die Verfahren 200 (2) fahren bei Arbeitsschritt 215 fort, wobei ein Dünnfilm über die Seitenwände der Öffnungen abgeschieden wird, die in die zweite Maskenschicht strukturiert wurden. Der Dünnfilm wird vorteilhaft mit einem konformen Abscheidungsprozess abgeschieden, so dass die Filmdicken auf den Seitenwänden der Öffnungen gut kontrolliert sind und kann ungefähr (z.B. +/- 10%) gleich einer Zieldicke sein, die über die oberen Oberflächen der zweiten Maskenschicht abgeschieden wird. Der Dünnfilm wird bis zu einer Dicke abgeschieden, die ausreicht, um Öffnungen in der zweiten Maskenschicht, die unterhalb der Schwellenabmessung abgemessen sind, vollständig zu verschließen oder zu verfüllen, aber nicht ausreicht, um Öffnungen in der zweiten Maskenschicht, die oberhalb der Schwellenabmessung abgemessen sind, vollständig zu verschließen. Der Dünnfilm-Abscheidungsprozess bei Arbeitsschritt 215 kann daher darauf abzielen, einen Film abzuscheiden, der eine Dicke aufweist, die ungefähr die Hälfte der Schwellenwertabmessung, oder mit einer Marge größer als die Hälfte der Schwellenwertdimension ist. Wo beispielsweise die Schwellenöffnungsabmessung 8 nm ist, kann bei Arbeitsschritt 215 ein 4-5 nm Dünnfilm abgeschieden werden. Der Dünnfilm, der bei Arbeitsschritt 215 abgeschieden wurde, kann irgendein Material sein, von dem bekannt ist, dass es für eine solche Anwendung geeignet ist. Zum Beispiel kann irgendein dielektrisches Material (z.B. Metalloxid, CHM-Material, SiO, SiN, SiON, etc.), Halbleitermaterial (z.B. Ge, SiGe, etc.) oder Metall (z.B. W), das konform abgeschieden werden kann (z.B. durch chemische Gasphasenabscheidung oder Atomschichtabscheidung), bei Arbeitsschritt 215 abgeschieden werden. Bei einigen vorteilhaften Ausführungsbeispielen weist der Dünnfilm, der bei Arbeitsschritt 215 abgeschieden wurde, eine unterschiedliche Zusammensetzung auf als die des Maskenmaterials 505.
  • In dem in 6 weiter gezeigten Beispiel umfasst die IC-Struktur 601 die IC Struktur 501, die mit einem Dünnfilmmaterial 615 bedeckt ist. Das Dünnfilmmaterial 615 weist eine Seitenwanddicke T1 auf, die ausreicht, um die Öffnung 510A vollständig zu verschließen, aber lediglich die seitlichen Abmessungen der Öffnung 512A auf eine Aussparung, die eine laterale Abmessung von etwa W2-2T1 aufweist, reduziert. Obwohl nicht dargestellt, reduziert das Dünnfilmmaterial 615 die lateralen Abmessungen der Öffnungen 510A und 512A in der orthogonalen Dimension (z.B. y-Dimension), so dass, falls eine der beiden lateralen Abmessungen unterhalb der Schwelle ist, die Öffnung vollständig verschlossen wird. Obwohl die Öffnung 510A eine Breite W1 aufweist, die unter der Schwelle ist, um die Verschließung durch das Dünnfilmmaterial 615 darzustellen, wird die Öffnung 510A selbst dann verschlossen wenn die Breite W1 irgendeine Größe aufweist, wenn die zweite Dimension der Öffnung 510A (z.B. L1 in 5B) unter der Schwelle ist. Ähnlich, obwohl die Öffnung 512A eine Breite W2 über der Schwelle aufweist, wird dargestellt, wie das Dünnfilmmaterial 615 die Öffnung 512A bedeckt, aber nicht vollständig ausfüllt. Die zweite Abmessung der Öffnung 512A (z.B. L2 in 5B) ist ebenfalls über der Schwelle.
  • Erneut Bezug nehmend auf 2, fahren die Verfahren 200 bei Arbeitsschritt 220 fort, wo der Dünnfilm, der bei Arbeitsschritt 220 abgeschieden wurde, geätzt wird, um eine obere Oberfläche der zweiten Maskenschicht sowie eine Unterseite der Öffnungen, die in der zweiten Maskenschicht gebildet sind, freizulegen. Diese Ätzung kann anisotrop sein, wobei in diesem Fall entlang der Seitenwände der Öffnungen ein Restabstandshalter verbleiben kann. Die lateralen Abmessungen des Restabstandshalters sind abhängig von der Seitenwanddicke des Dünnfilms und der Ätzvorspannung des Abstandhalter-Rückätz-Prozesses und können abgestimmt sein, um irgendeine gewünschte geeignete laterale Abstandhalterbreite zu erreichen. Irgendein anisotroper Ätzprozess (z.B. Trockenplasmaätzen oder -entwickeln, etc.) kann durchgeführt werden, da Ausführungsbeispiele in diesem Zusammenhang nicht eingeschränkt sind. In dem in 7 weiter dargestellten Beispiel umfasst die IC-Struktur 701 die IC Struktur 501, mit der Zufügung von Seitenwand-Abstandhaltern 715. Wie ferner dargestellt ist, hinterlässt der Abstandhalter-Rückätzprozess, dort wo Dünnfilmmaterial 615 die Öffnung 510A vollständig verschlossen hat, eine Plug-Maske 720, die die Öffnung 510A immer noch vollständig verschließt. Die größeren lateralen Abmessungen der Öffnung 512A erlaubten es der Abstandhalter-Rückätzung jedoch, eine obere Oberfläche der Maskenstrukturen 210 und irgendwelches umgebenden Maskenabdeckungsmaterials 410 wieder freizulegen. Eine seitliche Abmessung (z.B. Durchmesser) der Öffnung 512A wird jedoch um ungefähr das Doppelte der lateralen Breite des Abstandhalters 715 reduziert, was zum Beispiel eine Funktion von T1 ist.
  • Optional kann, um die laterale Breite der Öffnung 512A (z.B. W2 in 5) zurückzugewinnen, zusätzliche Verarbeitung durchgeführt werden, um irgendwelche übrigen Abstandhalter zu entfernen. Zum Beispiel kann eine andere Dünnfilmmaske anisotrop abgeschieden werden, um die oberen Oberflächen des zweiten Maskenmaterials 505 und die oberen Oberflächen des verbleibenden Dünnfilmmaterials 615 (und die oberen Oberflächen des Abstandhalters 715) mit einem Abdeckungsmaterial 725 abzudecken. Das Abdeckungsmaterial 725 kann zum Beispiel mit einer physikalischen Gasphasenabscheidung (PVD; physical vapor deposition) oder einem anderen Abscheidungsprozess mit ähnlicher Anisotropie derart abgeschieden werden, dass nur wenig oder gar kein Abdeckungsmaterial 725 auf Seitenwände des Abstandhalters 715 abgeschieden wird (z. B. als eine Folge von Raumwinkelschattierung etc.). Das Abdeckungsmaterial 725 kann irgendeine Zusammensetzung (z.B. Dielektrikum, Halbleiter, Metall) aufweisen, da Ausführungsbeispiele in dieser Hinsicht nicht beschränkt sind, abgesehen davon, dass es vorteilhaft sein kann, dass die Zusammensetzung des Abdeckungsmaterials 725 sich von der des Abstandshalters 715 unterscheidet, so dass Abschnitte des Abstandshalters 715, die von dem Abdeckungsmaterial 725 unbedeckt bleiben, selektiv entfernt (z.B. isotrop geätzt) werden können. Nach dem Entfernen des Abstandhalters kann das Abdeckungsmaterial 725 dann absichtlich abgestreift werden (z.B. mit einer selektiven Ätzung) oder ihm wird einfach erlaubt, während der nachfolgenden Verarbeitung zu erodieren.
  • Alternativ kann ein isotropischer Ätzprozess bei Arbeitsschritt 220 verwendet werden. Bei solchen Ausführungsbeispielen kann das Dünnfilmmaterial 615 mit einer isotropen Ätzung entfernt werden, die auf die Nenndicke des Dünnfilms abzielt (mit geeigneter Überätzung), um Oberflächen freizulegen, die nur mit der nominellen Abdeckungsschichtdicke bedeckt sind. Während des Arbeitsschritts 220 wird Abdeckungsschichtmaterial innerhalb der Unterschwellenöffnungen 510A wegen der größeren effektiven Dicke des Dünnfilmmaskenmaterials 615 innerhalb solcher Öffnungen nicht vollständig entfernt. Für Superschwellenöffnungen werden Seitenwände der zweiten Maskenstruktur, die mehr als die Schwellendistanz voneinander entfernt sind, freigelegt. Somit kann die ursprüngliche laterale Breite der Maskenöffnungen für all diese Maskenöffnungen wiederhergestellt werden, die größer als die Schwellen-Lateralabmessung sind. Unabhängig von der Rückätztechnik, die bei Arbeitsschritt 220 angewendet wird, wird der einzelne Strukturierungsprozess, der verwendet wird, um Öffnungen unterschiedlicher Abmessungen in der zweiten Maskenschicht zu bilden, genutzt, um sowohl Plug-Masken als auch Öffnungen zu bilden, die darunter liegenden Materialien freilegen. Ausrichtungen zwischen diesen Plug-Masken und Öffnungen sind somit alle perfekt zueinander (selbst-)justiert.
  • Erneut Bezug nehmend auf 2 fahren die Verfahren 200 bei Arbeitsschritt 225 fort, wobei zumindest ein Teil des darunterliegenden Maskenabdeckungsmaterials, das die ersten Maskenschichtstrukturen umgibt, durch ein Übersetzen der Öffnungen in der zweiten Maskenschicht in das umgebende Maskenabdeckungsmaterial entfernt wird. Bei den beispielhaften Ausführungsbeispielen, bei denen die darunterliegenden Maskenstrukturen Gitterleitungen umfassen, wird zumindest ein Teil des Verfüllmaterials zwischen den Leitungen in der ersten Maskenschicht, der zwischen den Öffnungen in der zweiten Maskenschicht positioniert ist, während Arbeitsschritt 225 entfernt, zum Beispiel mit einem geeigneten selektiven und/oder anisotropen Ätzen. Dann werden bei Arbeitsschritt 230 Abschnitte der darunterliegenden ILD-Schicht, die nicht durch den Rest der verfüllten Leitungsstruktur geschützt sind, unter Verwendung irgendeines Ätzverfahrens, das für die ILD-Zusammensetzung geeignet ist, geätzt.
  • Bei dem Beispiel, das ferner in 8 dargestellt ist, umfasst IC-Struktur 801 die IC-Struktur 501 und die Plug-Maske 720. Wie gezeigt ist, wurden Abstandhalter 715 und Abdeckungsmaterial 725 entfernt, so dass die Öffnung 512A die laterale Breite W2 zurückgewonnen hat. Ein Abschnitt eines Streifens des Maskenabdeckungsmaterials 410, freigelegt innerhalb der Öffnung 512A, wurde weggeätzt, nach unten durch das Hartmaskenmaterial 205 und in das dielektrische Material 105. Eine Vereinigung der Öffnung 512A und der Maskenstruktur 210 wurde somit in das dielektrische Material 105 strukturiert.
  • Erneut Bezug nehmend auf 2, fahren die Verfahren 201 bei Arbeitsschritt 235 fort, wobei der Graben, der bei Arbeitsschritt 230 in dem ILD-Material gebildet wird, mit einer ersten Verbindungsmetallisierung verfüllt wird, um diskrete Leitungssegmente zu bilden. Die zweite Maskenschicht und das verbleibende Maskenabdeckungsmaterial können dann von zwischen den Leitungen der ersten Maskenschicht entfernt werden. Die Verbindungsmetallisierung, die bei Arbeitsschritt 235 abgeschieden wurde, ist für alle ersten Segmente der Verbindungsleitungen innerhalb der Verbindungsebene. Ein oder mehrere leitfähige Materialien können bei Arbeitsschritt 235 abgeschieden werden. In dem in 9 dargestellten Beispiel wird Liner 160 zunächst entlang einer Unterseite und einer Seitenwand des Grabens innerhalb der Öffnung 512A abgeschieden. Ein Füllmetall 1103 wird dann über den Liner 160 abgeschieden, um das Leitungssegment 140A zu vervollständigen. Bei einigen solchen Ausführungsbeispielen wird ein Ta-basierter Liner abgeschieden und Cu-basiertes Füllmetall wird über den Ta-basierten Liner plattiert. Bei einigen anderen Ausführungsbeispielen wird ein einzelnes homogenes Material (z. B. Ru, W etc.) während des Arbeitsschritts 235 abgeschieden, wobei in diesem Fall der Liner 160 fehlen würde.
  • Wenn nun die ersten Leitungssegmente gebildet sind, können Maskenmaterial 505 und Maskenabdeckungsmaterial 410, nicht durch die Plug-Maske 720 geschützt, entfernt werden, wie in 10 weiter gezeigt ist. Bei vorteilhaften Ausführungsbeispielen wird Maskenmaterial 505 und ungeschütztes Maskenabdeckungsmaterial 410 mit einer Ätzung entfernt, die zu allen verbleibenden Maskenstrukturen 210 selektiv ist. Wie in 10 gezeigt ist, umfasst die IC-Struktur 1001 die IC-Struktur 901, weniger Maskenmaterial 505 und Maskenabdeckungsmaterial 410, das beispielsweise mit einem Ätzprozess entfernt werden kann, der Maskenmaterial 505 und Maskenabdeckungsmaterial 410 mit einer Rate entfernt, die deutlich höher ist als die Plug-Maske 720 und Maskenstrukturen 210. Nachfolgend auf diesen Maskenstreifen wird die Unterschicht (z.B. Maskenschicht 305) durch einen Rest von Maskenstrukturen 210, summiert mit der Plug-Maske 720, maskiert. So lange die Plug-Maske 720 ausreichende laterale Abmessungen aufweist, um benachbarte Maskenstrukturen 210 zu überlappen, weist die Vereinigung der Plug-Maske 720 mit den Maskenstrukturen 210 diskrete lokale Abmessungen auf, die ganzzahlige Mehrfache der Leitungsbreite L und der Raumbreite S sind, die der ersten Maskenebene zugeordnet sind. Insbesondere bei 10 weist eine Vereinigung der Plug-Maske 720 und der zwei benachbarten Maskenstrukturen 210 eine laterale Abmessung von 2L+S auf.
  • Erneut Bezug nehmend auf 2 fahren die Verfahren 200 bei Arbeitsschritt 240 fort, wobei die Unterschicht(en) nun basierend auf einer Vereinigung oder Summierung der verbleibenden ersten Maskenstrukturen und des verbleibenden Maskenabdeckungsmaterials, das durch die Plug-Maske geschützt wurde, strukturiert werden. Arbeitsschritt 240 kann irgendeinen oder mehrere Ätzprozesse umfassen, von dem/denen bekannt ist, dass er/sie geeignet ist/sind, nicht maskierte Abschnitte der Unterschicht(en) zu entfernen. Zum Beispiel können die gleichen Ätzprozesse, die bei Arbeitsschritt 230 verwendet wurden, bei Arbeitsschritt 240 wiederholt werden. Bei einigen Ausführungsbeispielen kann eine anisotrope Grabenätzung, die eine geeignete Selektivität für die Unterschicht(en) relativ zu sowohl den ersten Maskenstrukturen als auch dem Abdeckungsschichtrest aufweist, bei Arbeitsschritt 240 verwendet werden, um Abschnitte der Unterschicht(en) zu entfernen, die weder durch die ersten Maskenstrukturen noch durch den Maskenabdeckungsmaterialrest maskiert sind. Die resultierenden Strukturen, die in der/den Unterschicht(en) hergestellt wurden, können sowohl diskret bemessene Blöcke als auch diskret bemessene Leitungssegmente einer ILD-Graben-Struktur umfassen, die die Basis für eine einzelne 2D-Verbindungs-Routing-Ebene sein können. Bei dem Beispiel, das ferner in 11 dargestellt ist, umfasst IC-Struktur 1101 IC-Struktur 1001, nach einer Ätzung eines Hartmaskenmaterials 205 und eines dielektrischen Materials 105.
  • Erneut Bezug nehmend auf 2 werden die Verfahren 200 bei Arbeitsschritt 245 vervollständigt, bei dem die Strukturen, die bei Arbeitsschritt 240 erzeugt wurden, gemäß irgendwelchen Metallisierungstechniken weiterverarbeitet werden, von denen bekannt ist, dass sie geeignet sind, um die Struktur zu füllen, die bei Arbeitsschritt 240 in der Unterschicht gebildet wurde. Zum Beispiel kann das bei Arbeitsschritt 240 gebildete ILD-Grabenmuster mit einer zweiten Metallisierung verfüllt werden, um zweite Leitungssegmente zu bilden, die an die ersten Leitungssegmente angrenzen, die bei Arbeitsschritt 235 gebildet wurden. Durchgehende, nicht segmentierte Leitungen können auch bei Arbeitsschritt 240 hergestellt werden. Ein oder mehrere leitfähige Materialien können bei Arbeitsschritt 245 abgeschieden werden. Bei einigen Ausführungsbeispielen wird der gleiche Metallisierungsprozess, der bei Arbeitsschritt 235 verwendet wurde, bei Arbeitsschritt 245 wiederholt. Bei dem in 12 dargestellten Beispiel wird Liner 170 zunächst entlang einer Unterseite und einer Seitenwand des Grabens innerhalb der Öffnung 512A abgeschieden. Wo der Liner 170 auf eine Seitenwand des Liner 160 abscheidet, wird der Liner 150 gebildet. Ein Füllmetall wird dann über den Liner 170 abgeschieden, um die Leiterbahnsegmente 103 (z.B. Leitungssegment 103D) zu vervollständigen. Bei einigen solchen Ausführungsbeispielen wird ein Ta-basierter Liner abgeschieden und Cu-basiertes Füllmetall wird über den Ta-basierten Liner plattiert. Bei einigen anderen Ausführungsbeispielen wird ein einzelnes homogenes Material (z. B. Ru, W etc.) während des Arbeitsschritts 245 abgeschieden, wobei in diesem Fall die Liner 150 und 170 fehlen würden.
  • Wie ferner in 13 gezeigt ist, wird die IC-Struktur 101, wie sie vorangehend in dem Zusammenhang mit 1A und 1B eingeführt wurde, bei der Durchführung irgendeines Planarisierungsprozesses, der für Damascene- oder Dual-Damascene-Betrieb geeignet ist, erzeugt. Wie in 13 gezeigt ist, wird die Metallisierung und die dielektrische Überbelastung, die dem Fertigungsprozess zugeordnet ist, entfernt, zum Beispiel mit einer chemisch-mechanischen Planarisierung, die obere Oberflächen der Leitungssegmente 140A und 103D im Wesentlichen planar mit einer oberen Oberfläche aus dielektrischem Material 105 lässt.
  • Obwohl nicht in 13 dargestellt, kann das Substrat 102 auch zusätzliche (z.B. niedrigere) Metallisierungs-Verbindungsschichten für integrierte Schaltungen oder elektronische Bauelemente umfassen. Das Substrat 102 kann auch die elektronischen Bauelemente, wie beispielsweise Transistoren, Speicher, Kondensatoren, Widerstände, optoelektronische Bauelemente, Schalter oder irgendwelche anderen aktiven oder passiven elektronischen Bauelemente umfassen.
  • Obwohl die Verfahren 200 vorangehend in dem spezifischen Kontext von Verbindungsstrukturen beschrieben werden, um verschiedene Aspekte der Verfahren klar zu vermitteln und Strukturen hervorzuheben, die das Ausführen der Verfahren anzeigen, können die Verfahren 200 auch ohne weiteres auf die Herstellung anderer IC-Strukturen angewandt werden. Zum Beispiel können die Verfahren 200 auf ein Herstellen von Transistoranschlüssen (z.B. Gate-Elektroden) oder anderen Elementen einer IC anwendbar sein. Es versteht sich, dass ein Fachmann auf dem Gebiet in der Lage sein sollte, die Verfahren 200 basierend auf den vorangehend beschriebenen Verbindungsausführungsbeispielen auf irgendwelche dieser Anwendungen anzuwenden.
  • 14 stellt gemäß hierin beschriebenen Ausführungsbeispielen eine mobile Rechenplattform und eine Daten-Server-Maschine dar, die eine IC, umfassend elektromigrationsresistente skalierte Verbindungsstrukturen, zum Beispiel umfassend Leitungssegmente mit einer Zusammensetzungsvariation, verwenden. Die Servermaschine 1406 kann irgendein kommerzieller Server sein, beispielsweise umfassend irgendeine Anzahl von Hochperformance-Rechenplattformen, die innerhalb eines Racks angeordnet und miteinander für elektronische Datenverarbeitung vernetzt sind, was bei dem beispielhaften Ausführungsbeispiel ein gepackagtes, monolithisches SoC 1450 umfasst. Die mobile Rechenplattform 1405 kann irgendeine tragbare Vorrichtung sein, die für jedes von elektronischer Datenanzeige, elektronischer Datenverarbeitung, drahtloser elektronischer Datenübertragung, oder Ähnliches ausgebildet ist. Beispielsweise kann die mobile Rechenplattform 1405 irgendeines von einem Tablet, einem Smartphone, einem Laptop-Computer etc. sein, und kann einen Anzeige-Bildschirm (z.B. einen kapazitiven, induktiven, resistiven oder optischen Touchscreen), ein Chip-Ebene- oder Package-Ebene-integriertes System 1410 und eine Batterie 1415 umfassen.
  • Entweder innerhalb des integrierten Systems 1410, das in der erweiterten Ansicht 1420 dargestellt ist, oder als ein alleinstehender gepackagter Chip innerhalb der Servermaschine 1406 angeordnet, umfasst das monolithische SoC 1450 einen Speicherschaltungsanordnungsblock (z.B. RAM), einen Prozessorschaltungsanordnungsblock (z.B. einen Mikroprozessor, einen Multikern-Mikroprozessor, einen Grafikprozessor oder Ähnliches), wobei einer oder beide davon Verbindungsstrukturen (z.B. Leitungssegmente mit Zusammensetzungsvariation) umfassen, gemäß hierin beschriebenen Ausführungsbeispielen. Das monolithische SoC 1450 kann ferner gekoppelt sein mit einer Platine, einem Substrat oder einem Interposer 1460, zusammen mit einer oder mehreren aus einer Leistungsverwaltungs-integrierten Schaltung (PMIC; power management integrated circuit) 1430, RF (drahtlos) integrierten Schaltung (RFIC; RF (wireless) integrated circuit) 1425 umfassend einen Breitband-RF- (drahtlos) Sender und/oder Empfänger (TX/RX) (z.B. umfassend ein digitales Basisband, und ein analoges Front-End-Modul umfasst ferner einen Leistungsverstärker auf einem Sendepfad und einen rauscharmen Verstärker auf einem Empfängerpfad) und einer Steuerung 1435. Irgendwelche oder alle von RFIC 1425 und PMIC 1430 können auch Verbindungsstrukturen (z.B. Leitungssegmente mit einer Zusammensetzungsvariation) umfassen, gemäß hierin beschriebenen Ausführungsbeispielen.
  • Funktional kann die PMIC 1430 Batterieleistungsregulierung, DC-zu-DC-Wandlung, etc. ausführen, und weist so einen Eingang auf, der mit der Batterie 1415 gekoppelt ist, und wobei ein Ausgang anderen funktionalen Modulen eine Stromversorgung bereitstellt. Wie weiter dargestellt ist, umfasst bei dem beispielhaften Ausführungsbeispiel die RFIC 1425 einen Ausgang, der mit einer Antenne (nicht gezeigt) gekoppelt ist, um irgendeinen von einer Anzahl von drahtlosen Standards oder Protokollen zu implementieren, umfassend aber nicht beschränkt auf Wi-Fi (IEEE 802.11 Familie), WiMAX (IEEE 802.16 Familie), IEEE 802.20, Long Term Evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, und Ableitungen davon, sowie irgendwelche anderen drahtlosen Protokolle, die bezeichnet werden als 3G, 4G, 4G, und darüber hinaus. Insbesondere kann jedes dieser Platinenebenen-IC-Module 1425, 1430, 1435 auf separate ICs integriert werden oder in das monolithische SoC 1450 integriert werden.
  • 15 ist ein funktionales Blockdiagramm einer elektronischen Rechenvorrichtung 1500, gemäß einigen Ausführungsbeispielen. Die Rechenvorrichtung 1500 kann beispielsweise innerhalb der Plattform 1405 oder der Servermaschine 1406 gefunden werden. Die Vorrichtung 1500 umfasst ferner eine Hauptplatine 1502, die eine Anzahl von Komponenten unterbringt, wie beispielsweise, aber nicht beschränkt auf einen Prozessor 1504 (z.B. einen Anwendungsprozessor), der ferner Verbindungsstrukturen (z.B. Leitungssegmente mit Zusammensetzungsvariation) einlagern kann, gemäß hierin beschriebenen Ausführungsbeispielen. Der Prozessor 1504 kann physisch und/oder elektrisch mit der Hauptplatine 1502 gekoppelt sein. Bei einigen Beispielen umfasst der Prozessor 1504 einen Integrierte-Schaltungs-Die, der innerhalb des Prozessors 1504 gepackaget ist. Allgemein können die Ausdrücke „Prozessor“ oder „Mikroprozessor“ sich auf irgendeine Vorrichtung oder Abschnitt einer Vorrichtung beziehen, die/der elektronische Daten aus Registern und/oder Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten zu transformieren, die in Registern und/oder Speichern ferner gespeichert werden können.
  • Bei verschiedenen Beispielen können ein oder mehrere Kommunikationschips 1506 auch physisch und/oder elektrisch mit der Hauptplatine 1502 gekoppelt sein. Bei weiteren Implementierungen können Kommunikationschips 1506 Teil des Prozessors 1504 sein. Abhängig von ihren Anwendungen kann die Rechenvorrichtung 1500 andere Komponenten umfassen, die physisch und elektrisch mit der Hauptplatine 1502 gekoppelt sein können oder nicht. Diese anderen Komponenten umfassen, sind aber nicht beschränkt auf einen flüchtigen Speicher (z.B. MRAM 1530, DRAM 1532), einen nichtflüchtigen Speicher (z.B. ROM 1535), einen Flash-Speicher, einen Graphikprozessor 1522, einen digitalen Signalprozessor, einen Krypto-Prozessor, einen Chipsatz, eine Antenne 1525, Touchscreen-Anzeige 1515, Touchscreen-Steuerung 1575, Batterie 1510, Audio-Codec, Video-Codec, Leistungsverstärker 1521, GPS-Bauelement (GPS; global positioning system; globales Positionierungssystem) 1540, Kompass 1545, Akzelerometer, Gyroskop, Audio-Lautsprecher 1520, Kamera 1541, und Massenspeichervorrichtung (wie beispielsweise ein Festplattenlaufwerk, Solid-State-Laufwerk (SSD; solid state drive) CD (compact disk), DVD (digital versatile disk) und so weiter) oder ähnliches.
  • Die Kommunikationschips 1506 können drahtlose Kommunikation für die Übertragung von Daten zu und von der Rechenvorrichtung 1500 ermöglichen. Der Ausdruck „drahtlos“ und seine Ableitungen können verwendet werden, um Schaltungen, Bauelemente, Systeme, Verfahren, Techniken, Kommunikationskanäle etc. zu beschreiben, die Daten durch die Verwendung modulierter, elektromagnetischer Strahlung durch ein nicht festes Medium kommunizieren können. Der Ausdruck impliziert nicht, dass die zugeordneten Bauelemente nicht irgendwelche Drähte umfassen, obwohl sie dies bei einigen Ausführungsbeispielen möglicherweise nicht tun. Die Kommunikationschips 1506 können irgendeine Anzahl von drahtlosen Standards oder Protokollen implementieren, umfassend aber nicht beschränkt auf diese, die anderswo hierin beschrieben sind. Wie erörtert, kann die Rechenvorrichtung 1500 eine Mehrzahl von Kommunikationschips 1506 umfassen. Zum Beispiel kann ein erster Kommunikationschip zweckgebunden sein für drahtlose Kommunikation mit kürzerem Bereich, wie beispielsweise Wi-Fi und Bluetooth, und ein zweiter Kommunikationschip kann zweckgebunden sein für drahtlose Kommunikation mit längerem Bereich, wie beispielsweise GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, und andere.
  • Während bestimmte Merkmale, die hierin ausgeführt sind, Bezug nehmend auf verschiedene Implementierungen beschrieben wurden, soll diese Beschreibung nicht in einem einschränkenden Sinn gesehen werden. Somit liegen verschiedene Modifikationen der hierin beschriebenen Implementierungen sowie andere Implementierungen, die für Fachleute auf dem Gebiet, auf das sich die vorliegende Offenbarung bezieht, offensichtlich sind, im Wesen und Schutzbereich der vorliegenden Offenbarung.
  • Es ist offensichtlich, dass die Prinzipien der Offenbarung nicht auf die derart beschriebenen Ausführungsbeispiele beschränkt sind, sondern mit Modifikationen und Veränderungen durchgeführt werden können, ohne von dem Schutzbereich der beigefügten Ansprüche abzuweichen. Beispielsweise können die vorangehenden Ausführungsbeispiele spezielle Kombinationen oder Merkmale umfassen, wie nachfolgend weiter bereitgestellt ist:
    • Bei ersten Beispielen umfasst eine Integrierte-Schaltungs (IC) -Struktur ein dielektrisches Material und eine Verbindungsleitung über dem dielektrischen Material. Die Verbindungsleitung umfasst ein erstes Leitungssegment, das eine Leitungsbreite aufweist. Das erste Leitungssegment umfasst ein erstes Metall. Die IC-Struktur umfasst ein zweites Leitungssegment, kollinear mit dem ersten Leitungssegment. Das zweite Leitungssegment weist die Leitungsbreite auf und umfasst das erste Metall. Die IC-Struktur umfasst ein dazwischenliegendes Leitungssegment. Das dazwischenliegende Leitungssegment ist zwischen einem Ende des ersten Leitungssegments und einem Ende des zweiten Leitungssegments. Das dazwischenliegende Leitungssegment umfasst ein zweites Metall, das sich von dem ersten Metall unterscheidet und proximal zu den Enden des ersten und zweiten Leitungssegments an das erste Metall anstößt.
  • Bei zweiten Beispielen für irgendwelche der ersten Beispiele ist das dazwischenliegende Leitungssegment ein erstes dazwischenliegendes Leitungssegment zwischen einem ersten Ende des ersten Leitungssegments und einem Ende des zweiten Leitungssegments. Die IC-Struktur umfasst ferner ein zweites dazwischenliegendes Leitungssegment. Das zweite dazwischenliegende Leitungssegment ist an einem zweiten Ende des ersten Leitungssegments, gegenüber dem ersten Ende. Das erste und das zweite dazwischenliegende Leitungssegment umfassen das zweite Metall und weisen die Leitungsbreite auf.
  • Bei dritten Beispielen für irgendwelche der zweiten Beispiele ist eine Länge des ersten Leitungssegments zwischen dem ersten und dem zweiten dazwischenliegenden Leitungssegment kleiner als die Blechlänge, die einem ersten Material zugeordnet ist, das das erste Metall umfasst, und ein stromtragender Querschnittsbereich des ersten Leitungssegments.
  • Bei vierten Beispielen für irgendwelche der zweiten bis dritten Beispiele umfasst die erste Verbindungsleitung ferner ein drittes Verbindungsleitungssegment kollinear mit dem ersten und zweiten Leitungssegment und aufweisend die Leitungsbreite. Das dritte Leitungssegment umfasst das erste Metall, und das zweite dazwischenliegende Leitungssegment ist zwischen dem zweiten Ende des ersten Leitungssegments und einem Ende des dritten Leitungssegments.
  • Bei fünften Beispielen für irgendwelche der ersten bis zu den vierten Beispielen ist das erste Metall innerhalb eines ersten Materials, das eine geringere Elektromigrations-Aktivierungsenergie aufweist, als ein zweites Material, das das zweite Metall umfasst.
  • Bei sechsten Beispielen für irgendwelche der fünften Beispiele ist das erste Metall Cu und das zweite Metall ist Ta.
  • Bei siebten Beispielen für irgendwelche der sechsten Beispiele umfasst das dazwischenliegende Verbindungsleitungssegment ein Füllmaterial, das von dem dielektrischen Material getrennt und von dem ersten Metall getrennt ist, durch das zweite Metall.
  • Bei achten Beispielen für irgendwelche der zweiten bis zu den siebten Beispielen sind das erste und das zweite dazwischenliegende Verbindungsleitungssegment eine Barriereschicht, die mit dem ersten Metall über einen gesamten stromtragenden Querschnittsbereich des ersten Leitungssegments in Kontakt ist.
  • Bei neunten Beispielen für irgendwelche der achte Beispiele weist die Barriereschicht eine Dicke auf, die gleich einer doppelten Dicke einer anderen Barriereschicht ist, die zwischen dem ersten Metall und dem dielektrischen Material ist.
  • Bei zehnten Beispielen für irgendwelche der ersten bis neunten Beispiel ist die Verbindungsleitung eine erste Verbindungsleitung und die Struktur umfasst ferner eine zweite Verbindungsleitung über dem dielektrischen Material und benachbart zu der ersten Verbindungsleitung. Die zweite Verbindungsleitung umfasst ein einzelnes Leitungssegment, das eine Länge aufweist, die gleich einer Summe einer Länge des ersten Leitungssegments, einer Länge des zweiten Leitungssegments und einer Länge des ersten dazwischenliegenden Leitungssegments ist. Die zweite Verbindungsleitung umfasst das erste Metall.
  • Bei elften Beispielen für irgendwelche der zehnten Beispiele umfasst die IC-Struktur ferner eine Mehrzahl von Transistoren. Die erste Verbindungsleitung ist mit einer Source eines der Transistoren gekoppelt und die zweite Verbindungsleitung ist mit einem Gate eines der Transistoren gekoppelt.
  • Bei zwölften Beispielen für irgendwelche der ersten bis elften Beispiele ist eine obere Oberfläche der dazwischen liegenden Leitungssegmente koplanar mit einer oberen Oberfläche des ersten, zweiten und dritten Leitungssegments.
  • Bei dreizehnten Beispielen umfasst ein Integrierte-Schaltungs (IC) -Bauelement eine Mehrzahl von Transistoren, einige der Transistoren umfassend eine oder mehrere Schichten von Halbleitermaterial. Das IC-Bauelement umfasst eine Mehrzahl von Verbindungsebenen, die die Transistoren zusammenkoppeln. Eine oder mehrere der Mehrzahl der Verbindungsebenen umfassen ferner eine Verbindungsleitung über einem dielektrischen Material, die Verbindungsleitung umfassend ein erstes Leitungssegment aufweisend eine Leitungsbreite. Das erste Leitungssegment umfasst ein erstes Metall. Ein zweites Leitungssegment ist kollinear mit dem ersten Leitungssegment. Das zweite Leitungssegment weist die Leitungsbreite auf und umfasst das erste Metall. Ein dazwischenliegendes Leitungssegment stößt an ein erstes Ende des ersten Leitungssegments an. Das dazwischenliegende Leitungssegment ist zwischen dem ersten Ende des ersten Leitungssegments und einem Ende des zweiten Leitungssegments. Das dazwischenliegende Leitungssegment umfasst ein zweites Metall, das sich von dem ersten Metall unterscheidet.
  • Bei vierzehnten Beispielen umfasst das IC-Bauelement ein zweites dazwischenliegendes Leitungssegment. Das zweite dazwischenliegende Verbindungsleitungssegment stößt an ein zweites Ende des ersten Leitungssegments, gegenüber dem ersten Ende an. Das dazwischenliegende Leitungssegment weist die Leitungsbreite auf, und das zweite dazwischenliegende Leitungssegment weist die Leitungsbreite auf. Ein Verbindungsfüllmaterial umfasst das erste Metall und eine Verbindungsbarriereschicht umfasst das zweite Metall. Die dazwischenliegenden Verbindungsleitungssegmente umfassen die Verbindungsbarriereschicht.
  • Bei fünfzehnten Beispielen für irgendwelche der vierzehnten Beispiele umfasst die erste Verbindungsleitung ferner ein drittes Verbindungsleitungssegment kollinear mit dem ersten und zweiten Leitungssegment und aufweisend die Leitungsbreite. Das dritte Leitungssegment umfasst das erste Metall. Das zweite dazwischenliegende Leitungssegment ist zwischen dem zweiten Ende des ersten Leitungssegments und einem Ende des dritten Leitungssegments. Bei sechzehnten Beispielen umfasst eine Computerplattform ein Datenspeichermittel, um Daten zu speichern und ein Datenverarbeitungsmittel, das mit dem Datenspeichermittel gekoppelt ist. Das Datenverarbeitungsmittel umfasst die IC-Struktur gemäß einem der ersten bis zwölften Beispiele.
  • Bei siebzehnten Beispielen umfasst die Computerplattform ferner eine Batterie, die mit dem Datenverarbeitungsmittel gekoppelt ist. Ein drahtloses Kommunikationsmittel ist mit dem Datenverarbeitungsmittel gekoppelt.
  • Bei achtzehnten Beispielen umfasst ein Verfahren zum Herstellen einer Integrierte-Schaltungs (IC) -Struktur ein Empfangen eines Werkstücks mit einer Leitungsstruktur in einer ersten Maskenschicht, die Leitungsstruktur umfassend eine Mehrzahl von Leitungen, wobei jede der Leitungen eine Breite aufweist und von benachbarten Leitungen durch einen Raum getrennt ist. Das Verfahren umfasst ein Verfüllen der ersten Maskenschicht mit einem umgebenden Material und ein Strukturieren einer ersten Öffnung und einer zweiten Öffnung in einer zweiten Maskenschicht über die verfüllte erste Maskenschicht, Die erste Öffnung weist eine kleinere laterale Abmessung als die zweite Öffnung auf. Das Verfahren umfasst ein Abscheiden eines Dünnfilms über Seitenwände der zweiten Maskenschicht, wobei der Dünnfilm die laterale Abmessung der zweiten Öffnung reduziert und die erste Öffnung vollständig verschließt, um eine Plug-Maske zu bilden und ein Ätzen des Dünnfilms, um eine obere Oberfläche der zweiten Maskenschicht freizulegen. Das Verfahren umfasst ein Entfernen des umgebenden Materials aus zumindest einem der Räume zwischen den Leitungen der ersten Maskenschicht innerhalb der zweiten Öffnung und ein Ätzen einer ersten Aussparung in ein darunterliegendes dielektrisches Material, wo das dielektrische Material nicht durch die Plug-Maske oder einen Rest der verfüllten Leitungsstruktur geschützt ist. Das Verfahren umfasst ein Verfüllen der ersten Aussparung mit einem ersten leitfähigen Material, das ein erstes Metall umfasst und ein Abstreifen der zweiten Maskenschicht und des umgebenden Materials von den verbleibenden Räumen zwischen den Leitungen der ersten Maskenschicht. Das Verfahren umfasst ein Ätzen einer zweiten Aussparung in das darunterliegende dielektrische Material, wo das dielektrische Material nicht durch die Plug-Maske, ein erstes leitfähiges Material oder Leitungen der ersten Maskenschicht geschützt ist und ein Bilden kollinearer Verbindungsleitungssegmente durch Verfüllen der zweiten Aussparung mit einem zweiten leitfähigen Material, das ein zweites Metall umfasst, das sich von dem ersten Metall unterscheidet.
  • Bei neunzehnten Beispielen für irgendwelche der achtzehnten Beispiele umfasst das Verfahren ein Entfernen des umgebenden Materials aus zumindest einem der Räume was nur einen der Räume selektiv für zwei benachbarte Leitungen der ersten Maskenschicht öffnet; und ein Verfüllen der ersten Aussparung mit dem ersten leitfähigen Material umfasst ein Abscheiden einer Barriereschicht über eine Seitenwand der ILD-Schicht und ein Abscheiden eines Füllmetalls über die Barriereschicht.
  • Bei zwanzigsten Beispielen für irgendwelche der achtzehnten bis neunzehnten Beispiel umfasst ein Verfüllen der zweiten Aussparung mit dem zweiten leitfähigen Material ein Abscheiden einer zweiten Barriereschicht über eine Seitenwand des dielektrischen Materials und ein Abscheiden eines zweiten Füllmetalls über die zweite Barriereschicht.
  • Bei einundzwanzigsten Beispielen für irgendwelche der zwanzigsten Beispiele weisen die erste und zweite Barriereschicht die gleiche Zusammensetzung auf und das erste und zweite Füllmetall weisen die gleiche Zusammensetzung auf.
  • Bei zweiundzwanzigsten Beispielen für irgendwelche der achtzehnten bis zwanzigsten Beispiele weist die zweite Öffnung eine laterale Breite auf, die zumindest gleich einer lateralen Breite eines der Räume, summiert mit einer doppelten Dicke des Dünnfilms, der über die Seitenwände der zweiten Maskenschicht abgeschieden wird, ist.
  • Bei dreiundzwanzigsten Beispielen für irgendwelche der achtzehnten bis zweiundzwanzigsten Beispiele weist die erste Öffnung eine minimale laterale Breite auf, die nicht größer ist als die doppelte Dicke des Dünnfilms.
  • Bei vierundzwanzigsten Beispielen für irgendwelche der achtzehnten bis dreiundzwanzigsten Beispiele umfasst ein Ätzen des Dünnfilms, um die obere Oberfläche der zweiten Maskenschicht freizulegen, ferner ein anisotropes Ätzen des Dünnfilms, wobei das anisotrope Ätzen Seitenwand-Abstandhalter entlang einer Seitenwand der zweiten Öffnung zurücklässt.
  • Bei fünfundzwanzigsten Beispielen für irgendwelche der achtzehnten bis vierundzwanzigsten Beispiele umfasst das Verfahren ferner ein Entfernen der Seitenwand-Abstandhalter vor einem Entfernen des umgebenden Materials aus zumindest einem der Räume zwischen den Leitungen der ersten Maskenschicht.
  • Die vorangehenden Ausführungsbeispiele sind jedoch diesbezüglich nicht eingeschränkt, und bei verschiedenen Implementierungen umfassen die vorangehenden Ausführungsbeispiele möglicherweise das Ausführen von nur einer Teilmenge solcher Merkmale, das Ausführen einer unterschiedlichen Reihenfolge solcher Merkmale, das Ausführen einer unterschiedlichen Kombination solcher Merkmale und/oder das Ausführen zusätzlicher Merkmale als diese Merkmale, die ausdrücklich aufgezählt sind. Der Schutzbereich der Erfindung sollte deshalb Bezug nehmend auf die beigefügten Ansprüche bestimmt werden, zusammen mit dem vollständigen Schutzbereich von Entsprechungen, auf welche solche Ansprüche Anrecht haben.

Claims (25)

  1. Eine Integrierte-Schaltungs- (IC-) Struktur, umfassend: ein dielektrisches Material; und eine Verbindungsleitung über dem dielektrischen Material, die Verbindungsleitung umfassend: ein erstes Leitungssegment aufweisend eine Leitungsbreite, wobei das erste Leitungssegment ein erstes Metall umfasst; ein zweites Leitungssegment, kollinear mit dem ersten Leitungssegment, wobei das zweite Leitungssegment die Leitungsbreite aufweist und das erste Metall umfasst; und ein dazwischenliegendes Leitungssegment, wobei das dazwischenliegende Leitungssegment zwischen einem Ende des ersten Leitungssegments und einem Ende des zweiten Leitungssegments ist und wobei das dazwischenliegende Leitungssegment ein zweites Metall umfasst, das sich von dem ersten Metall unterscheidet und proximal zu den Enden des ersten und zweiten Leitungssegments an das erste Metall anstößt.
  2. Die IC-Struktur gemäß Anspruch 1, wobei das dazwischenliegende Leitungssegment ein erstes dazwischenliegendes Leitungssegment zwischen einem ersten Ende des ersten Leitungssegments und einem Ende des zweiten Leitungssegments ist; und ferner umfassend ein zweites dazwischenliegendes Leitungssegment, wobei das zweite dazwischenliegende Leitungssegment an einem zweiten Ende des ersten Leitungssegments ist, gegenüber dem ersten Ende, und wobei das erste und das zweite dazwischenliegende Leitungssegment das zweite Metall umfassen und die Leitungsbreite aufweisen.
  3. Die IC-Struktur gemäß Anspruch 2, wobei eine Länge des ersten Leitungssegments zwischen dem ersten und dem zweiten dazwischenliegenden Leitungssegment kleiner ist als die Blechlänge, die einem ersten Material zugeordnet ist, das das erste Metall umfasst, und ein stromtragender Querschnittsbereich des ersten Leitungssegments.
  4. Die IC-Struktur gemäß Anspruch 2, wobei die erste Verbindungsleitung ferner ein drittes Verbindungsleitungssegment kollinear mit dem ersten und zweiten Leitungssegment und aufweisend die Leitungsbreite umfasst, wobei das dritte Leitungssegment das erste Metall umfasst, und wobei das zweite dazwischenliegende Leitungssegment zwischen dem zweiten Ende des ersten Leitungssegments und einem Ende des dritten Leitungssegments ist.
  5. Die IC-Struktur gemäß Anspruch 1, wobei das erste Metall innerhalb eines ersten Materials ist, das eine geringere Elektromigrations-Aktivierungsenergie aufweist, als ein zweites Material, das das zweite Metall umfasst.
  6. Die IC-Struktur gemäß Anspruch 5, wobei der erste Metall Cu ist und das zweite Metall Ta ist.
  7. Die IC-Struktur gemäß Anspruch 6, wobei das dazwischenliegende Verbindungsleitungssegment ein Füllmaterial umfasst, das von dem dielektrischen Material getrennt und von dem ersten Metall getrennt ist, durch das zweite Metall.
  8. Die IC-Struktur gemäß Anspruch 6, wobei das erste und das zweite dazwischenliegende Verbindungsleitungssegment eine Barriereschicht sind, die mit dem ersten Metall über einen gesamten stromtragenden Querschnittsbereich des ersten Leitungssegments in Kontakt ist.
  9. Die IC-Struktur gemäß Anspruch 8, wobei die Barriereschicht eine Dicke aufweist, die gleich einer doppelten Dicke einer anderen Barriereschicht ist, die zwischen dem ersten Metall und dem dielektrischen Material ist.
  10. Die IC-Struktur gemäß Anspruch 1, wobei die Verbindungsleitung eine erste Verbindungsleitung ist und die Struktur ferner eine zweite Verbindungsleitung über dem dielektrischen Material und benachbart zu der ersten Verbindungsleitung umfasst, wobei: die zweite Verbindungsleitung ein einzelnes Leitungssegment umfasst, das eine Länge aufweist, die gleich einer Summe einer Länge des ersten Leitungssegments, einer Länge des zweiten Leitungssegments und einer Länge des ersten dazwischenliegenden Leitungssegments ist; und die zweite Verbindungsleitung das erste Metall umfasst.
  11. Die IC-Struktur gemäß Anspruch 10, ferner umfassend eine Mehrzahl von Transistoren, wobei die erste Verbindungsleitung mit einer Source eines der Transistoren gekoppelt ist und die zweite Verbindungsleitung mit einem Gate eines der Transistoren gekoppelt ist.
  12. Die IC-Strukturen gemäß einem der Ansprüche 1-11, wobei eine obere Oberfläche der dazwischen liegenden Leitungssegmente koplanar mit einer oberen Oberfläche des ersten, zweiten und dritten Leitungssegments ist.
  13. Ein Integrierte-Schaltungs (IC) -Bauelement, umfassend: eine Mehrzahl von Transistoren, einige der Transistoren umfassend eine oder mehrere Schichten von Halbleitermaterial; und eine Mehrzahl von Verbindungsebenen, die die Transistoren zusammenkoppeln, eine oder mehrere der Mehrzahl der Verbindungsebenen ferner umfassend: eine Verbindungsleitung über einem dielektrischen Material, die Verbindungsleitung umfassend: ein erstes Leitungssegment aufweisend eine Leitungsbreite, wobei das erste Leitungssegment ein erstes Metall umfasst; ein zweites Leitungssegment, kollinear mit dem ersten Leitungssegment, wobei das zweite Leitungssegment die Leitungsbreite aufweist und das erste Metall umfasst; ein dazwischenliegendes Leitungssegment, das an ein erstes Ende des ersten Leitungssegments anstößt, wobei das dazwischenliegende Leitungssegment zwischen dem ersten Ende des ersten Leitungssegments und einem Ende des zweiten Leitungssegments ist, und wobei das dazwischenliegende Leitungssegment ein zweites Metall umfasst, das sich von dem ersten Metall unterscheidet.
  14. Das IC-Bauelement gemäß Anspruch 13, ferner umfassend: ein zweites dazwischenliegendes Leitungssegment, wobei das zweite dazwischenliegende Verbindungsleitungssegment an ein zweites Ende des ersten Leitungssegments gegenüber dem ersten Ende anstößt, und wobei: das dazwischenliegende Leitungssegment die Leitungsbreite aufweist, und das zweite dazwischenliegende Leitungssegment die Leitungsbreite aufweist; ein Verbindungsfüllmaterial das erste Metall umfasst und eine Verbindungsbarriereschicht das zweite Metall umfasst; und die dazwischenliegenden Verbindungsleitungssegmente die Verbindungsbarriereschicht umfassen.
  15. Das IC- Bauelement gemäß Anspruch 14, wobei die Verbindungsleitung ferner ein drittes Verbindungsleitungssegment kollinear mit dem ersten und zweiten Leitungssegment und aufweisend die Leitungsbreite umfasst, wobei das dritte Leitungssegment das erste Metall umfasst, und wobei das zweite dazwischenliegende Leitungssegment zwischen dem zweiten Ende des ersten Leitungssegments und einem Ende des dritten Leitungssegments ist.
  16. Eine Computerplattform, umfassend: ein Datenspeichermittel, um Daten zu speichern; und ein Datenverarbeitungsmittel, das mit dem Datenspeichermittel gekoppelt ist, wobei das Datenverarbeitungsmittel die IC-Struktur gemäß einem der Ansprüche 1-11 umfasst.
  17. Die Computerplattform gemäß Anspruch 16, ferner umfassend: eine Batterie, die mit dem Datenverarbeitungsmittel gekoppelt ist; und ein drahtloses Kommunikationsmittel, das mit dem Datenverarbeitungsmittel gekoppelt ist.
  18. Ein Verfahren zum Herstellen einer Integrierte-Schaltungs (IC) -Struktur, umfassend: Erhalten eines Werkstücks mit einer Leitungsstruktur in einer ersten Maskenschicht, die Leitungsstruktur umfassend eine Mehrzahl von Leitungen, wobei jede der Leitungen eine Breite aufweist und von benachbarten Leitungen durch einen Raum getrennt ist; Verfüllen der ersten Maskenschicht mit einem umgebenden Material; Strukturieren einer ersten Öffnung und einer zweiten Öffnung in einer zweiten Maskenschicht über die verfüllte erste Maskenschicht, wobei die erste Öffnung eine kleinere laterale Abmessung als die zweite Öffnung aufweist; Abscheiden eines Dünnfilms über Seitenwände der zweiten Maskenschicht, wobei der Dünnfilm die laterale Abmessung der zweiten Öffnung reduziert und die erste Öffnung vollständig verschließt, um eine Plug-Maske zu bilden; Ätzen des Dünnfilms, um eine obere Oberfläche der zweiten Maskenschicht freizulegen; Entfernen des umgebenden Materials aus zumindest einem der Räume zwischen den Leitungen der ersten Maskenschicht innerhalb der zweiten Öffnung; Ätzen einer ersten Aussparung in ein darunterliegendes dielektrisches Material, wo das dielektrische Material nicht durch die Plug-Maske oder einen Rest der verfüllten Leitungsstruktur geschützt ist; Verfüllen der ersten Aussparung mit einem ersten leitfähigen Material, das ein erstes Metall umfasst; Abstreifen der zweiten Maskenschicht und des umgebenden Materials von den verbleibenden Räumen zwischen den Leitungen der ersten Maskenschicht; Ätzen einer zweiten Aussparung in das darunterliegende dielektrische Material, wo das dielektrische Material nicht durch die Plug-Maske, ein erstes leitfähiges Material oder Leitungen der ersten Maskenschicht geschützt ist; und Bilden kollinearer Verbindungsleitungssegmente durch Verfüllen der zweiten Aussparung mit einem zweiten leitfähigen Material, das ein zweites Metall umfasst, das sich von dem ersten Metall unterscheidet.
  19. Das Verfahren gemäß Anspruch 18, wobei: Entfernen des umgebenden Materials aus zumindest einem der Räume nur einen der Räume selektiv für zwei benachbarte Leitungen der ersten Maskenschicht öffnet; und Verfüllen der ersten Aussparung mit dem ersten leitfähigen Material ein Abscheiden einer Barriereschicht über eine Seitenwand des dielektrischen Materials und Abscheiden eines Füllmetalls über die Barriereschicht umfasst.
  20. Das Verfahren gemäß Anspruch 19, wobei ein Verfüllen der zweiten Aussparung mit dem zweiten leitfähigen Material ein Abscheiden einer zweiten Barriereschicht über eine Seitenwand des dielektrischen Materials und ein Abscheiden eines zweiten Füllmetalls über die zweite Barriereschicht umfasst.
  21. Das Verfahren gemäß Anspruch 20, wobei die erste und zweite Barriereschicht die gleiche Zusammensetzung aufweisen und das erste und zweite Füllmetall die gleiche Zusammensetzung aufweisen.
  22. Das Verfahren gemäß Anspruch 18, wobei die zweite Öffnung eine laterale Breite aufweist, die zumindest gleich einer lateralen Breite eines der Räume, summiert mit einer doppelten Dicke des Dünnfilms, der über die Seitenwände der zweiten Maskenschicht abgeschieden wird, ist.
  23. Das Verfahren gemäß Anspruch 18, wobei die erste Öffnung eine minimale laterale Breite aufweist, die nicht größer ist als die doppelte Dicke des Dünnfilms.
  24. Das Verfahren gemäß Anspruch 18, wobei ein Ätzen des Dünnfilms, um die obere Oberfläche der zweiten Maskenschicht freizulegen, ferner ein anisotropes Ätzen des Dünnfilms umfasst, wobei das anisotrope Ätzen Seitenwand-Abstandhalter entlang einer Seitenwand der zweiten Öffnung zurücklässt.
  25. Das Verfahren gemäß Anspruch 22, ferner umfassend ein Entfernen der Seitenwand-Abstandhalter vor einem Entfernen des umgebenden Materials aus zumindest einem der Räume zwischen den Leitungen der ersten Maskenschicht.
DE112017008330.3T 2017-12-27 2017-12-27 Integrierte schaltungen (ics) mit elektromigrations (em) -resistenten segmenten in einer verbindungsebene Pending DE112017008330T5 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2017/068590 WO2019132899A1 (en) 2017-12-27 2017-12-27 Integrated circuits (ics) with electromigration (em)-resistant segments in an interconnect level

Publications (1)

Publication Number Publication Date
DE112017008330T5 true DE112017008330T5 (de) 2020-09-03

Family

ID=67068044

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112017008330.3T Pending DE112017008330T5 (de) 2017-12-27 2017-12-27 Integrierte schaltungen (ics) mit elektromigrations (em) -resistenten segmenten in einer verbindungsebene

Country Status (3)

Country Link
US (1) US11557536B2 (de)
DE (1) DE112017008330T5 (de)
WO (1) WO2019132899A1 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11043381B2 (en) * 2019-01-27 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Directional patterning method
US11600519B2 (en) * 2019-09-16 2023-03-07 International Business Machines Corporation Skip-via proximity interconnect
CN112768344B (zh) * 2019-11-05 2023-07-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5285017A (en) 1991-12-31 1994-02-08 Intel Corporation Embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias
US5439731A (en) * 1994-03-11 1995-08-08 Cornell Research Goundation, Inc. Interconnect structures containing blocked segments to minimize stress migration and electromigration damage
US6245996B1 (en) * 1996-09-27 2001-06-12 Compaq Computer Corporation Electrical interconnect structure having electromigration-inhibiting segments
US5950107A (en) 1996-12-17 1999-09-07 Intel Corporation In-situ pre-ILD deposition treatment to improve ILD to metal adhesion
US5935868A (en) 1997-03-31 1999-08-10 Intel Corporation Interconnect structure and method to achieve unlanded vias for low dielectric constant materials
US6191481B1 (en) * 1998-12-18 2001-02-20 Philips Electronics North America Corp. Electromigration impeding composite metallization lines and methods for making the same
US7535079B2 (en) 2005-06-09 2009-05-19 Freescale Semiconductor, Inc. Semiconductor device comprising passive components
KR100809321B1 (ko) 2005-02-01 2008-03-05 삼성전자주식회사 다중 mim 캐패시터 및 이의 제조 방법
DE102006007331A1 (de) 2006-02-16 2007-08-23 Infineon Technologies Ag Mehrlagen-Kapazitäts-Anordnung und Verfahren zum Herstellen derselben
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
JP2009016400A (ja) 2007-06-29 2009-01-22 Toshiba Corp 積層配線構造体及びその製造方法並びに半導体装置及びその製造方法
US7759242B2 (en) 2007-08-22 2010-07-20 Qimonda Ag Method of fabricating an integrated circuit
JP2009200443A (ja) 2008-02-25 2009-09-03 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
US8013446B2 (en) 2008-08-12 2011-09-06 International Business Machines Corporation Nitrogen-containing metal cap for interconnect structures
US8541831B2 (en) 2008-12-03 2013-09-24 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
US8114768B2 (en) * 2008-12-29 2012-02-14 International Business Machines Corporation Electromigration resistant via-to-line interconnect
KR101562969B1 (ko) 2009-03-03 2015-10-26 삼성전자주식회사 반도체 장치
TWI406436B (zh) 2009-07-10 2013-08-21 Ind Tech Res Inst 堆疊式壓電元件及其製造方法
US8191217B2 (en) 2009-08-05 2012-06-05 International Business Machines Corporation Complimentary metal-insulator-metal (MIM) capacitors and method of manufacture
US8375539B2 (en) 2009-08-05 2013-02-19 International Business Machines Corporation Method of manufacturing complimentary metal-insulator-metal (MIM) capacitors
US8383512B2 (en) 2011-01-19 2013-02-26 Macronix International Co., Ltd. Method for making multilayer connection structure
US8435884B2 (en) 2010-09-07 2013-05-07 Globalfoundries Inc. Method for forming an interconnect structure
US8298953B2 (en) 2010-12-20 2012-10-30 Infineon Technologies Ag Method for defining a separating structure within a semiconductor device
US8372743B2 (en) 2011-03-02 2013-02-12 Texas Instruments Incorporated Hybrid pitch-split pattern-split lithography process
US8765598B2 (en) 2011-06-02 2014-07-01 Micron Technology, Inc. Conductive structures, systems and devices including conductive structures and related methods
US8841181B2 (en) 2012-03-07 2014-09-23 United Microelectronics Corp. Method for fabricating semiconductor device and PMOS device fabricated by the method
US8946899B2 (en) 2012-07-24 2015-02-03 Invensas Corporation Via in substrate with deposited layer
US8704205B2 (en) 2012-08-24 2014-04-22 Macronix International Co., Ltd. Semiconductor structure with improved capacitance of bit line
TWI487004B (zh) 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9524963B2 (en) 2013-03-15 2016-12-20 X-Fab Semiconductor Foundries Ag Semiconductor device
US9041148B2 (en) 2013-06-13 2015-05-26 Qualcomm Incorporated Metal-insulator-metal capacitor structures
US9466486B2 (en) * 2013-08-30 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US10515949B2 (en) 2013-10-17 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and manufacturing method thereof
US9391019B2 (en) 2014-03-20 2016-07-12 Intel Corporation Scalable interconnect structures with selective via posts
KR102377372B1 (ko) * 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 인터커넥트들을 형성하기 위한 방법
US9666520B2 (en) 2014-04-30 2017-05-30 Taiwan Semiconductor Manufactuing Company, Ltd. 3D stacked-chip package
US9887129B2 (en) 2014-09-04 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with contact plug
JP2016076560A (ja) 2014-10-03 2016-05-12 株式会社東芝 記憶装置及びその製造方法
US9449966B2 (en) 2015-01-14 2016-09-20 Macronix International Co., Ltd. Three-dimensional semiconductor device and method of manufacturing the same
US9437543B2 (en) 2015-01-22 2016-09-06 Sandisk Technologies Llc Composite contact via structure containing an upper portion which fills a cavity within a lower portion
US9412655B1 (en) 2015-01-29 2016-08-09 Globalfoundries Inc. Forming merged lines in a metallization layer by replacing sacrificial lines with conductive lines
US10770291B2 (en) 2015-12-21 2020-09-08 Intel Corporation Methods and masks for line end formation for back end of line (BEOL) interconnects and structures resulting therefrom
DE112016006659T5 (de) 2016-05-27 2018-12-13 Intel Corporation Damaszierte Stopfen- und Zungenstrukturbildung mittels Photobuckets für auf Abstandhalter basierende Back-End-of-Line (BEOL)-Verbindungen
US9991156B2 (en) 2016-06-03 2018-06-05 International Business Machines Corporation Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
US11011463B2 (en) 2016-07-01 2021-05-18 Intel Corporation Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
KR102593707B1 (ko) 2016-10-05 2023-10-25 삼성전자주식회사 반도체 장치
WO2018182717A1 (en) 2017-03-31 2018-10-04 Intel Corporation Colored self-aligned subtractive patterning
US10141330B1 (en) 2017-05-26 2018-11-27 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures, semiconductor devices, and electronic systems
US10083863B1 (en) 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
KR102335266B1 (ko) 2017-06-01 2021-12-07 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
US10651085B2 (en) 2017-09-28 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
WO2019132897A1 (en) 2017-12-27 2019-07-04 Intel Corporation Multiple layer metal-insulator-metal (mim) structure
JP2019153694A (ja) 2018-03-02 2019-09-12 東芝メモリ株式会社 半導体装置およびその製造方法
JP2019165093A (ja) 2018-03-19 2019-09-26 東芝メモリ株式会社 半導体記憶装置およびその製造方法
US10714578B2 (en) 2018-05-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming recesses in source/drain regions and devices formed thereof
US11469189B2 (en) 2018-06-29 2022-10-11 Intel Corporation Inductor and transmission line with air gap
US10586872B2 (en) 2018-07-03 2020-03-10 International Business Machines Corporation Formation of wrap-around-contact to reduce contact resistivity
JP2020035932A (ja) 2018-08-30 2020-03-05 キオクシア株式会社 半導体記憶装置
US20200152502A1 (en) 2018-11-08 2020-05-14 NEO Semiconductor, Inc. Methods and apparatus for a three-dimensional (3d) array having aligned deep-trench contacts
US11276644B2 (en) 2018-12-17 2022-03-15 Intel Corporation Integrated circuits and methods for forming thin film crystal layers
US11670588B2 (en) 2019-01-09 2023-06-06 Intel Corporation Selectable vias for back end of line interconnects
US10903111B2 (en) 2019-03-20 2021-01-26 International Business Machines Corporation Semiconductor device with linerless contacts
US11393794B2 (en) 2019-10-17 2022-07-19 Micron Technology, Inc. Microelectronic device assemblies and packages including surface mount components

Also Published As

Publication number Publication date
US20200279806A1 (en) 2020-09-03
WO2019132899A1 (en) 2019-07-04
US11557536B2 (en) 2023-01-17

Similar Documents

Publication Publication Date Title
DE102016114870B4 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102005033916B4 (de) Ausrichtung eines MTJ-Stapels an Leiterbahnen in Abwesenheit von Topographie
DE102016117034A1 (de) Halbleiterstruktur und Verfahren zur Bildung derselben
DE112016007503T5 (de) Integrierte-Schaltung-Bauelement mit Rückseiten-Zwischenverbindung zu tiefem Source/Drain-Halbleiter
DE102016100136A1 (de) Halbleiterstruktur und Verfahren zu ihrer Herstellung
DE112016007104T5 (de) Dual-finne-endkappe für selbstjustierte (sage) architekturen
DE102016114823A1 (de) Halbleiter-Speicherbaustein und Verfahren zu dessen Erstellung
DE112016007034T5 (de) Trigate- und finfet-bauelemente mit selbstausgerichtetem gate-rand
DE102020103386A1 (de) Selbstausgerichtete-gate-endkappe(sage)-architektur mit gate- oder kontaktstopfen
DE102018208142B4 (de) Multidirektionale selbstausgerichtete Mehrfachstrukturierung
DE10051601A1 (de) Halbleitervorrichtung mit einer Mehrschicht-Verbindungsstruktur
DE102014100564A1 (de) Halbleitervorrichtungen und Verfahren zu ihrer Herstellung
DE102020113776A1 (de) Depop unter verwendung zyklischer selektiver abstandhalter-ätzung
DE112016006659T5 (de) Damaszierte Stopfen- und Zungenstrukturbildung mittels Photobuckets für auf Abstandhalter basierende Back-End-of-Line (BEOL)-Verbindungen
DE112017008331T5 (de) Vertikal gestapelte Transistorbauelemente mit Isolationswandstrukturen, die einen elektrischen Leiter umfassen
DE102019203596B4 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE112017008330T5 (de) Integrierte schaltungen (ics) mit elektromigrations (em) -resistenten segmenten in einer verbindungsebene
DE102020130156A1 (de) Halbleitervorrichtung und verfahren der herstellung davon
DE102019132101A1 (de) Kontakt-über-aktivem-gate-strukturen mit leitfähigen gateabgriffenfür fortgeschrittene integrierte-schaltungsstruktur-herstellung
DE112016007483T5 (de) Gehärteter Stecker für verbesserte Kurzschlussmarge
DE102018205693B4 (de) Verfahren zum bilden von selbstausgerichteten schnitten
DE102020105127A1 (de) Source- oder drain-strukturen für germanium-n-kanalvorrichtungen
DE102021121941A1 (de) Ansätze mit geringem widerstand für die herstellung von kontakten und der sich daraus ergebenden strukturen