DE102018208142B4 - Multidirektionale selbstausgerichtete Mehrfachstrukturierung - Google Patents

Multidirektionale selbstausgerichtete Mehrfachstrukturierung Download PDF

Info

Publication number
DE102018208142B4
DE102018208142B4 DE102018208142.5A DE102018208142A DE102018208142B4 DE 102018208142 B4 DE102018208142 B4 DE 102018208142B4 DE 102018208142 A DE102018208142 A DE 102018208142A DE 102018208142 B4 DE102018208142 B4 DE 102018208142B4
Authority
DE
Germany
Prior art keywords
interconnects
mandrel
spike
connector
self
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102018208142.5A
Other languages
English (en)
Other versions
DE102018208142A1 (de
Inventor
Colin Bombardier
Ming He
Vikrant Chauhan
Anbu Selvam KM MAHALINGAM
Keith Donegan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of DE102018208142A1 publication Critical patent/DE102018208142A1/de
Application granted granted Critical
Publication of DE102018208142B4 publication Critical patent/DE102018208142B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Selbstausgerichtete Mehrfachstrukturierungsstruktur umfassend:eine dielektrische Zwischenschicht (10);erste Nicht-Dorn-Zwischenverbindungen (30), die in die dielektrische Zwischenschicht (10) eingebettet sind, wobei die ersten Nicht-Dorn-Zwischenverbindungen (30) jeweils eine Seitenoberfläche (36) aufweisen, die sich in einer ersten Richtung erstreckt;zweite Nicht-Dorn-Zwischenverbindungen (30), die in die dielektrische Zwischenschicht (10) eingebettet sind, wobei die zweiten Nicht-Dorn-Zwischenverbindungen (30) jeweils eine Seitenoberfläche (36) aufweisen, die sich in der ersten Richtung erstreckt; undVerbinder-Zwischenverbindungen (32), die sich jeweils in einer zweiten Richtung quer zu der ersten Richtung von der Seitenoberfläche (36) einer der ersten Nicht-Dorn-Zwischenverbindungen (30) zu der Seitenoberfläche (36) einer der zweiten Nicht-Dorn-Zwischenverbindungen (30) erstrecken, wobei die Verbinder-Zwischenverbindungen (32) die Nicht-Dorn-Zwischenverbindungen (30) derart verbinden, dass eine durchgehende Serpentinenlinie festgelegt wird, die sich hin und her mit Änderungen in der Richtung bereitgestellt durch die Verbinder-Zwischenverbindungen (32) windet.

Description

  • HINTERGRUND
  • Die vorliegende Erfindung betrifft integrierte Schaltungen und die Fertigung von Halbleitervorrichtungen, und insbesondere Zwischenverbindungsstrukturen und Verfahren zur Fertigung einer Zwischenverbindungsstruktur.
  • Eine Back-End-of-Line (BEOL) -Zwischenverbindungsstruktur kann verwendet werden, um Vorrichtungsstrukturen, die in einer Front-End-of-Line (FEOL) -Bearbeitung auf einem Substrat hergestellt werden, untereinander und mit der Umgebung außerhalb des Chips zu verbinden. Selbstausgerichtete Strukturierungsprozesse, die zur Bildung einer BEOL-Zwischenverbindungsstruktur verwendet werden, umfassen Dornen als Opfermerkmale, die einen Merkmalsabstand bilden. Neben den vertikalen Seitenwänden der Dornen werden Seitenwandabstandshalter gebildet, die eine kleinere Dicke aufweisen, als gegenwärtige Grundregeln für die optische Lithographie erlauben. Nach einem selektiven Entfernen der Dornen werden die Seitenwandabstandshalter als eine Ätzmaske zum Ätzen einer darunterliegenden Hartmaske z. B. mit einem gerichteten reaktiven lonenätz (RIE) -Prozess verwendet. Merkmale, die in der Struktur nicht maskiert sind, werden von der Hartmaske auf eine Dielektrikumsschicht übertragen, um Gräben festzulegen, in denen die Drähte der BEOL-Zwischenverbindungsstruktur gebildet werden.
  • In den Dornen können Schnitte mittels einer Schnittmaske und einem Ätzen zum Aussparen der Dornen und Festlegen von Spalten gebildet werden, die nachfolgend verwendet werden können, um Drähte zu erzeugen, die an deren Scheiteln durch einen Scheitel-zu-Scheitel-Abstand beabstandet sind. Eine Struktur, die die geschnittenen Dornen wiederspiegelt, kann auf die Hartmaske und anschließend von der Hartmaske auf die strukturierte dielektrische Zwischenschicht übertragen werden. In der Hartmaske selbst können Nicht-Dorn-Schnitte gebildet werden und Spalten festgelegt werden, die durch ein dielektrisches Material gefüllt werden können, wenn die Seitenwandabstandshalter gebildet werden. Die gefüllten Spalten können nachfolgend verwendet werden, um Drähte in der strukturierten dielektrischen Zwischenschicht zu erzeugen, die an ihren Scheiteln mit einem Scheitel-zu-Scheitel-Abstand beabstandet sind.
  • Die Druckschrift KODAMA, C. [et al.]: Self-Aligned Double and Quadruple Patterning-aware grid routing with hotspots control. In: 18th Asia and South Pacific Design Automation Conference (ASP-DAC), 2013, S. 267-272, DOI: 10.1109/ASPDAC.2013.6509607 beschreibt selbstjustierende Strukturierungstechniken, in denen Bauteilstrukturelemente, etwa Metallleitungen, auf der Grundlage von Lithographie in Verbindung mit Abscheide- und Ätztechniken hergestellt werden. Insbesondere mit Bezug auf 3 der D1 wird auf eine Anordnung verwiesen, in der explizit auf Metallleitungen Bezug genommen wird, die sich in der einen Richtung erstrecken, wären einige dieser Metallleitungen durch Zwischenverbindungen auf gleicher Höhe miteinander verbunden werden, die sich im wesentlichen senkrecht zu diesen Leitungen erstrecken.
  • Die Druckschrift HWANG, J. [et al.]: A middle-1X nm NAND flash memory cell (M1X-NAND) with highly manufacturable integration technologies. In: 2011 International Electron Devices Meeting, 2011, S. 9.1.1-9.1.4, DOI: 10.1109/IEDM.2011.6131518518 beschreibt neu entwickelte Speicherzelle in NAND-Flash-Technologie, wobei aufwändige Lithographietechniken in Verbindung mit weiteren Strukturierungsmaßnahmen, etwa der Einbau von Luftspalten in Wortleitungen und die Größenreduzierung von Gate-Elektroden beschrieben ist.
  • Es besteht ein Bedarf an verbesserten Zwischenverbindungsstrukturen und Verfahren zum Fertigen einer Zwischenverbindungsstruktur.
  • ZUSAMMENFASSUNG
  • In einem Aspekt wird die Aufgabe erfindungsgemäß durch eine Mehrfachstrukturierungsstruktur mit den Merkmalen des Anspruchs 1 gelöst.
  • In einem weiteren Aspekt wird die Aufgabe erfindungsgemäß durch ein Verfahren zur Bildung einer selbstausgerichteten Mehrfachstrukturierungsstruktur mit den Merkmalen des Anspruchs 11 gelöst.
  • Weitere vorteilhafte Ausführungsformen sind den abhängigen Ansprüchen zu entnehmen.
  • Figurenliste
  • Die beiliegenden Figuren, die der Beschreibung beigefügt sind und einen Teil dieser Beschreibung darstellen, stellen verschiedene Ausführungsformen der Erfindung dar und dienen zusammen mit einer allgemeinen Beschreibung der Erfindung oben und der detaillierten Beschreibung der Ausführungsformen unten zur Erläuterung der Ausführungsformen der Erfindung.
    • 1-5 stellen Aufsichtsansichten einer Struktur in aufeinanderfolgenden Stufen eines Bearbeitungsverfahrens gemäß Ausführungsformen der Erfindung dar.
    • 1A zeigt eine Querschnittsansicht, die entlang der Linie 1A-1A in 1 verläuft.
    • 5A zeigt eine Querschnittsansicht, die entlang der Linie 5A-5A in 5 verläuft.
    • 5B zeigt eine Querschnittsansicht, die entlang der Linie 5B-5B in 5 verläuft.
  • DETAILLIERTE BESCHREIBUNG
  • Mit Bezug auf die 1, 1A und gemäß Ausführungsformen der Erfindung kann eine dielektrische Zwischenschicht 10 aus einem elektrisch isolierenden Dielektrikumsmaterial gebildet werden, z. B. mit Wasserstoff angereichertem Siliziumoxycarbid (SiCOH) oder einer anderen Art von dielektrischem Material mit niedriger Permittivität. Die dielektrische Zwischenschicht 10 kann auf einem Substrat angeordnet sein, das Vorrichtungsstrukturen umfasst, die durch eine Front-End-of-Line (FEOL) -Bearbeitung gefertigt werden, um eine integrierte Schaltung zu bilden.
  • Auf der oberseitigen Oberfläche der dielektrischen Zwischenschicht 10 ist eine Hartmaske 12 angeordnet. Die Hartmaske 12 kann aus einem Metall gebildet werden, z. B. Titannitrid (TiN), das durch eine physikalische Gasphasenabschaltung (PVD) abgeschieden wird, und/oder sie kann aus einem dielektrischen Material gebildet werden, z. B. Siliziumnitrid (Si3N4), das durch eine chemische Gasphasenabscheidung (CVD) abgeschieden wird. Die Hartmaske 12 kann von der dielektrischen Zwischenschicht 10 bezüglich dem Material der dielektrischen Zwischenschicht 10 selektiv entfernt werden. Gemäß der Verwendung hierin bedeutet der Ausdruck „selektiv“ mit Bezug auf einen Materialabtragungsprozess (z. B. Ätzen), dass die Materialabtragungsrate (insbesondere Ätzrate) für das Zielmaterial größer ist als die Materialabtragungsrate (insbesondere Ätzrate) für wenigstens ein anderes Material, das dem Materialabtragungsprozess unterzogen wird.
  • Auf einer oberseitigen Oberfläche der Hartmaske 12 werden Dornen 14 gebildet. Die Dornen 14 können gleichzeitig durch Abscheiden einer großflächigen Schicht (blanket layer) aus einem Opfermaterial (z. B. amorphem Silizium) auf der gesamten oberseitigen Oberfläche der Hartmaske 12 und Strukturieren der großflächigen Schicht mittels Lithographie und Ätzen unter Verwendung eines lithographischen Stapels gebildet werden. Die Dornen 14 weisen eine längsweise parallele Anordnung auf und erstrecken sich mit einer Länge in einer gegebenen Richtung (z. B. einer x-Richtung in einem x-y-Koordinatensystem). Die Dornen 14 weisen eine Breite in einer gegebenen Richtung (z. B. einer y-Richtung in einem x-y-Koordinatensystem) auf, das zu der Längsrichtung quer verläuft.
  • Mit Bezug auf 2, in der ähnliche Bezugszeichen ähnliche Merkmale in 1 bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens werden Dornschnitte 16 in den Dornen 14 durch Anwendung einer Schnittmaske (nicht dargestellt) und einem Ätzen zum Schneiden der Dornen 14 in unterschiedliche Abschnitt an entsprechenden Stellen entlang ihrer Längen gebildet. Die Schnittmaske kann eine Fotolackschicht umfassen, die mittels Belichtung durch ein Strahlungsmuster einer Strahlungsquelle strukturiert wird, die durch eine Fotomaske projiziert und mit einem chemischen Entwickler entwickelt wird, um Öffnungen zu bilden, die an den beabsichtigten Stellen für die Dornschnitte 16 angeordnet sind. Zur Bildung der Dornschnitte 16 an den Stellen der Öffnungen an der Schnittmaske unter Verwendung eines Ätzprozesses werden Abschnitte der Dornen 14 entfernt, z. B. durch ein reaktives lonenätzen (RIE), das bezüglich des Materials der Hartmaske 12 selektiv ist.
  • Die Dornschnitte 16 legen entsprechende Bereiche auf der oberseitigen Oberfläche der Hartmaske 12 frei, von denen die Dornen 14 entfernt werden. Die Dornschnitte 16 werden eingeschnitten, so dass ihre Stellen entlang der Länge der benachbarten Dornen 14 variieren. Die Dornschnitte 14 bilden Lücken mit einer gegebenen Breite zwischen benachbarten Enden 15 der geschnittenen Dornen 14.
  • Mit Bezug auf 3, in der ähnliche Bezugszeichen ähnliche Merkmale in 2 bezeichnen, werden Seitenwandabstandshalter 18 in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens an Stellen auf der oberseitigen Oberfläche der Hartmaske 12 neben den vertikalen Seitenwänden der Dornen 14 gebildet. Die Seitenwandabstandshalter 18 können durch Abscheiden einer konformen Schicht auf den Dornen 14 und der oberseitigen Oberfläche einer Hartmaske 12 gebildet werden, an der sie durch die Dornen 14 freigelegt wird, und die konforme Schicht kann durch einen anisotropen Ätzprozess gestaltet werden, z. B. durch einen reaktiven lonenätz (RIE) -Prozess. Die Seitenwandabstandshalter 18 können aus einem dielektrischen Material gebildet werden, z. B. Siliziumdioxid (SiO2)), das mittels einer Atomlagenabscheidung (ALD) abgeschieden wird. Die Materialien, die die Dornen 14 und die Seitenwandabstandshalter 18 bilden, werden ausgewählt, so dass die Dornen 14 bezüglich des Materials der Seitenwandabstandshalter 18 durch die Verwendung einer geeigneten Ätzchemie selektiv entfernt werden können.
  • Als Bereiche der oberseitigen Oberfläche der Hartmaske 12, die nicht durch die Dornen 14 und die Seitenwandabstandshalter 18 bedeckt werden, sind Nicht-Dorn-Linien 20 der Hartmaske 12 parallel zu den Dornen 14 und zwischen den benachbarten Paaren der Seitenwandabstandshalter 18 angeordnet. Die Seitenwandabstandshalter 18 bilden sich auch neben den Enden 15 der Dornen 14 und erstrecken sich quer zu den Lücken zwischen den geschnittenen Dornen 14.
  • Die Dornschnitte 16 (2) sind dimensioniert und die Seitenwandabstandshalter 18 weisen eine Dicke auf, so dass die Nicht-Dorn-Abstandshalter 22 als Bereiche zwischen den Seitenwandabstandshaltern 18 an den Enden 15 der Dornen 14 angeordnet werden. Dimensionale Parameter für die Dornschnitte 16 und Seitenwandabstandshalter 18 können ausgewählt werden, um einen minimalen Ende-zu-Ende-Abstand zwischen den einander zugerichteten Enden 15 der geschnittenen Dornen 14 sicherzustellen. Die Nicht-Dorn-Räume 22 werden nicht gefüllt und durch die Seitenwandabstandshalter 18 bedeckt und erstrecken sich seitlich zur Verbindung benachbarter Nicht-Dorn-Linien 20.
  • In einer Ausführungsform kann die Breite der Dornschnitte 16 (2) größer oder gleich 70 Nanometer sein, was größer ist als bekannte Designregeln. Eine gegenüber der bekannten Breite größere Breite ist erforderlich, um einen adäquaten Abstand zur Bildung der Seitenwandabstandshalter 18 bereitzustellen, während Nicht-Dorn-Räume 22 von adäquater Größe gebildet werden. Zum Beispiel kann die Breite der Seitenwandabstandshalter 18 von 15 Nanometer bis 25 Nanometer reichen, was mit Dornschnitte 16 verbunden wird, die größer oder gleich 70 Nanometer sind, wobei sich Nicht-Dorn-Räume 22 mit einer Breite von größer oder gleich 15 Nanometer ergeben. Die Breite der Nicht-Dorn-Räume 22 spiegelt sich in der nachfolgenden Bildung von Verbinder-Zwischenverbindungen gleicher Breite unter Verwendung der Nicht-Dorn-Räume 22 wieder.
  • Mit Bezug auf 4, in der ähnliche Bezugszeichen ähnliche Merkmale in 3 bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens werden die Dornen 14 bezüglich der Seitenwandabstandshalter 18 mittels einem Ätzprozess selektiv entfernt, der eine geeignete Ätzchemie aufweist. Die Hartmaske 12 wird in Dornlinien 24 über Bereichen freigelegt, von denen die Dornen 14 durch den Ätzprozess entfernt wurden. Die Seitenwandabstandshalter 18 werden in U-förmigen Strukturen auf der oberseitigen Oberfläche der Hartmaske 12 gebildet, in der die Nicht-Dorn-Räume 22 Unterbrechungen in der Durchgängigkeit der Seitenwandabstandshalter 18 und der Dornlinien 24 festlegen. An den Kanten der Struktur der Seitenwandabstandshalter 18, der Nicht-Dorn-Linien 20, der Nicht-Dorn-Räume 22 und der Dornlinien 24 werden Abschlussblöcke 26 gebildet.
  • Mit Bezug auf die 5, 5A, 5B, in denen ähnliche Bezugszeichen ähnliche Merkmale in 4 bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird die Hartmaske 12 durch einen Ätzprozess strukturiert, wobei die Seitenwandabstandshalter 18 als eine Ätzmaske fungieren, nachdem die Dornen 14 entfernt und die Abschlussblöcke 26 gebildet wurden. Die Hartmaske 12 wird über den freiliegenden Bereichen entsprechend den Nicht-Dorn-Linien 20, Nicht-Dorn-Räumen 22 und Dornlinien 24 entfernt. Bereiche der Hartmaske 12, die durch die Seitenwandabstandshalter 18 und die Abschlussblöcke 26 bedeckt sind, bleiben während des Ätzens der Hartmaske 12 erhalten. Als Ergebnis der Hartmaskenstrukturierung wird die Struktur der Nicht-Dorn-Linien 20, Nicht-Dorn-Räume 22 und Dornlinien 24 auf die Hartmaske 12 übertragen. Die dielektrische Zwischenschicht 10 liegt über Bereichen frei, die den Nicht-DornLinien 20, Nicht-Dorn-Räumen 22 und Dornlinien 24 entsprechen, und wird über Bereichen maskiert, die durch die Seitenwandabstandshalter 18 und die Abschlussblöcke 26 bedeckt werden.
  • Die dielektrische Zwischenschicht 10 wird unter Verwendung der Hartmaske 12 als eine strukturierte Ätzmaske zum Strukturieren der dielektrischen Zwischenschicht 10 und dadurch zum Bilden der Gräben 46 in der dielektrischen Zwischenschicht 10 an den Stellen der Nicht-DornLinien 20, Nicht-Dorn-Räume 22 und über Dornlinien 24 geätzt. Nach dem Ätzen der dielektrischen Zwischenschicht 10 kann die strukturierte Hartmaske 12 durch wenigstens einen Ätz- oder Reinigungsprozess selektiv entfernt werden. Die Seitenwandabstandshalter 18 können zusammen mit der strukturierten Hartmaske 12 entfernt werden, nachdem die dielektrische Zwischenschicht 10 geätzt wird oder bevor die dielektrische Zwischenschicht geätzt wird.
  • Eine Back-End-of-Line (BEOL) -Zwischenverbindungsstruktur 50 wird durch Füllen der Gräben 46 in der dielektrischen Zwischenschicht 10 mit einem Leiter gebildet, um Nicht-DornZwischenverbindungen 30, Verbinder-Zwischenverbindung 32 und Dorn-Zwischenverbindungen 34 als Merkmale zu bilden, die in die dielektrische Zwischenschicht 10 eingebettet sind. Auf den Gräben kann vor dem Füllen mit dem hauptsächlichen elektrischen Leiter eine Beschichtung (nicht dargestellt) gebildet werden, die Titan (Ti), Titannitrid (TiN), Tantal (Ta), Tantalnitrid (TaN) oder eine geschichtete Kombination dieser Materialien (z. B. eine Doppelschicht aus TaN/Ta) umfasst. Der hauptsächliche Leiter kann aus einem niederohmschen Metall gebildet werden, das unter Verwendung eines Abscheidungsprozesses abgeschieden wird, z. B. Kupfer (Cu), das durch eine galvanische Abscheidung oder stromlose Abscheidung gebildet wird. Die Gestalten und Geometrien der Zwischenverbindungen 30, 32 und 34 spiegeln die Bereiche wieder, die zur Bildung der Gräben in der dielektrischen Zwischenschicht 10 durch die strukturierte Hartmaske 12 freiliegen. In einer Ausführungsform können die Zwischenverbindungen 30, 32 und 34 leitfähige Merkmale darstellen, die in einer ersten Metallisierungs (M0) -Ebene angeordnet sind, die mit mehreren Metallisierungsebenen der BEOL-Zwischenverbindungsstruktur 50 den FEOL-Vorrichtungsstrukturen am nächsten ist, und in denen die Zwischenverbindungen 30, 32 und 34 mit FEOL-Vorrichtungsstrukturen durch vertikale Kontakte in einer Kontakt (CA) -Ebene verbunden sein können. In einer Ausführungsform können die Zwischenverbindungen 30, 32 und 34 eine Breite aufweisen, die kleiner ist als 45 Nanometer.
  • Die Zwischenverbindungen 30 und 34 sind als lineare leitfähige Merkmale angeordnet, die in der gleichen Richtung orientiert sind und relativ zueinander eine parallele Ausrichtung aufweisen. Die Zwischenverbindungen 30 und 34 werden durch Räume 38 getrennt, die über Bereichen festgelegt sind, die vorab durch die Seitenwandabstandshalter 18 auf der Hartmaske 12 bedeckt wurden. Die Räume 38 stellen lineare Abschnitte des dielektrischen Materials der dielektrischen Zwischenschicht 10 dar. Die Zwischenverbindungen 30 und 34 enden über Bereichen, die vormals durch die Abschlussblöcke 26 auf der Hartmaske 12 bedeckt wurden. An den vorherigen Stellen der Nicht-Dorn-Zwischenverbindungen 30 sind Nicht-Dorn-Leitungen 20 angeordnet, die in der Hartmaske 12 über entsprechenden Bereichen geöffnet sind, und Dornzwischenverbindungen 34 sind an den vormaligen Stellen der Dornlinien 24 angeordnet, die in der Hartmaske 12 über entsprechenden Bereichen geöffnet sind. Jede der Nicht-Dorn-Zwischenverbindungen 30 weist Seitenoberflächen 36 auf und jede der Dorn-Zwischenverbindungen 34 weist Endoberflächen 40 auf.
  • Die Verbinder-Zwischenverbindungen 32 sind als lineare leitfähige Merkmale angeordnet, die zu den Nicht-Dorn-Zwischenverbindungen 30 quer ausgerichtet sind und zu den DornZwischenverbindungen 34 quer ausgerichtet sind. Die Verbinder-Zwischenverbindungen 32 sind an den vormaligen Stellen der Nicht-Dorn-Räume 22 angeordnet, die in der Hartmaske 12 mit gleichen Flächen geöffnet sind. Jede der Verbinder-Zwischenverbindungen 32 weist Seitenoberflächen 41 auf, die sich von der Seitenoberfläche 36 von einem der Nicht-Dorn-Zwischenverbindungen 30 zu der Seitenoberfläche 36 einer anderen der Nicht-Dorn-Zwischenverbindungen 30 erstrecken, in der die verbundenen Nicht-Dorn-Zwischenverbindungen 30 parallel und zueinander benachbart sind. Die Verbinder-Zwischenverbindungen 32 erstrecken sich seitlich zwischen den Nicht-Dorn-Zwischenverbindungen 30 durch Ende-zu-Ende-Lücken zwischen den Endflächen 40 der Dorn-Zwischenverbindungen 34, die das Produkt der breiten Dornschnitte 16 darstellen. Der Ende-zu-Ende-Abstand zwischen den Endoberflächen 40 der Dorn-Zwischenverbindungen 34 sind größer oder gleich 70 Nanometer. Die Seitenoberflächen 41 sind zwischen den Endoberflächen 40 angeordnet, so dass die Breite der Verbinder-Zwischenverbindungen 32 kleiner ist als die Ende-zu-Ende-Lücke. Die Breite der Verbinder-Zwischenverbindungen 32 zwischen den Seitenoberflächen 41 sind größer oder gleich 15 Nanometer. Abschnitte 44 der dielektrischen Zwischenschicht 10 befinden sich zwischen den Verbinder-Zwischenverbindungen 32 und der Endoberfläche 40 der Dornzwischenverbindungen 34. Diese Abschnitte 44 weisen entsprechende Breiten auf, die durch den halben Unterschied zwischen dem Ende-zu-Ende-Abstand zwischen den Endoberflächen 40 und der Breite der Verbinder-Zwischenverbindungen 32 gegeben sind.
  • Die Verbinder-Zwischenverbindungen 32 verbinden die Nicht-Dorn-Zwischenverbindungen 30, um erfindungsgemäß eine durchgehende Serpentinenlinie festzulegen, die sich hin und her mit Änderungen in der Richtung bereitgestellt durch die Verbinder-Zwischenverbindungen 32 winden. Die Verbinder-Zwischenverbindungen 32 stellen die Änderungen der Richtung bereit, die für die durchgehende Serpentinenlinie erforderlich ist. Obwohl die Ausführungsformen der Erfindung nicht so beschränkt sind, können die Zwischenverbindungen 30, 32 und 34 als eine Teststruktur durch Verbinden der Anschlussenden der Nicht-Dorn-Zwischenverbindungen 30 mit Test-Pads 42 verwendet werden. Die Test-Pads 42 können an den Enden von Nicht-Dorn-Zwischenverbindungen 30 angeordnet sein, die den Enden mit den Endoberflächen 40 gegenüberliegen. Die Test-Pads 42 können durch Abscheiden und Ätzen einer obersten Metallisierungsebene der BEOL-Zwischenverbindungsstruktur gebildet werden.
  • Die Teststruktur kann zum Testen für offene Schaltungen in der M0-Metallisierungsebene verwendet werden. Bis dahin kann ein Signal in die Teststruktur unter Verwendung von einem der Test-Pads 42 eingegeben werden und die Ausgabe der Teststruktur erscheint an dem anderen der Test-Pads 42. Wenn die Zwischenverbindungen 30, 32 und 34 aufgrund eines Herstellungsdefekts eine offene Schaltung aufweisen, dann kann eine Ausgabe fehlen.
  • Für fortgeschrittene Technologieknoten kann die BEOL-Bearbeitung eine selbstausgerichtete mehrfache Strukturierung (insbesondere selbstausgerichtete Mehrfachstrukturierung) in der Form einer selbstausgerichteten Doppelstrukturierung (self-aligned double patterning, SADP) oder selbstausgerichteten Vierfachstrukturierung (self-aligned quaduple patterning, SAQP) und selbstausgerichtete Schnitte für mehrere Metallstrukturierungen anwenden. Ein Nachteil von bekannten Mehrfachstrukturierungstechniken besteht darin, dass die entsprechenden Designregeln lediglich uni-gerichtete Metallleitungen ohne Ausnahme für ein falsch gerichtetes Design unterstützen. Demzufolge muss eine bekannte Teststruktur, die zur Erfassung offener Schaltungen in einer Metallisierungsebene (z. B. der M0-Metallisierungsebene) verwendet wird, die uni-gerichteten Metallleitungen durch die Metallisierung in darüber liegenden Via- und Verdrahtungsebenen zur Bereitstellung der Verbindungen für die uni-gerichteten Metallleitungen verbinden. Zur Bildung von bekannten Teststrukturen, die zum Prüfen für offene Schaltungen in der Metallisierungsebene von Interesse verwendet werden, sind mehre zusätzliche Lithographieschichten fertigzustellen. Zusätzlich kann die Testmessung basierend auf bekannten Teststrukturen durch zusätzliche Variablen beeinflusst werden, z. B. Öffnungs-, Überlagerungs- und Prozessschwierigkeiten bei überlagernden Via- und Verdrahtungsebenen als Artefakte.
  • Die Anordnung aus Zwischenverbindungen 30, 32 und 34 hängt von einem breiten Dornschnitt in der Bildung der Verbinder-Zwischenverbindungen 32 ab, die erfindungsgemäß eine durchgehende Serpentinenlinie in einer Ebene einer Metallisierungsebene ermöglichen, z. B. der M0-Metallisierungsebene. Die Anordnung von Zwischenverbindungen 30, 32 und 34 eliminiert den normalen Bedarf an einer Bereitstellung von lateralen Verbindungen in einer Richtung zu von ansonsten gleichgerichteten (insbesondere streng parallelen) Leitungen durch eine Metallisierung in überlagernden Via- und Verdrahtungsebenen. Die Anordnung aus Zwischenverbindungen 30, 32 und 34 ermöglicht eine zwei-gerichtete selbstausgerichtete Mehrfachstrukturierung (insbesondere SADP und SAQP) und verringert Beschränkungen des Designs für BEOL-Zwischenverbindungen in bedeutendem Maße, hervorgerufen durch die gleichgerichtete Strukturierung in der Mehrfachstrukturierung.
  • Die oben beschriebenen Verfahren werden in der Herstellung von integrierten Schaltungschips verwendet. Die sich ergebenden integrierten Schaltungschips können durch den Hersteller in der Form von rohen Wafern (z.B. als ein einzelner Wafer mit mehreren nicht gehausten Chips), als ein reines Die oder in eingehauster Form vertrieben werden. In letzterem Fall ist der Chip auf einem Einzelchipgehäuse (z.B. ein Plastikträger mit Leitungen, die in einem Motherboard oder einem anderen Träger höherer Ordnung angebracht sind) oder in einem Mehrchipgehäuse (z.B. einen Keramikträger mit Oberflächenzwischenverbindungen und/oder vergrabenen Zwischenverbindungen) montiert werden. In jedem Fall kann der Chip mit anderen Chips, diskreten Schaltungselementen und/oder anderen signalverarbeitenden Vorrichtungen als Teil eines Zwischenprodukts oder Endprodukts integriert werden.
  • Eine Bezugnahme hierin auf Ausdrücke, z.B. „vertikal“, „horizontal“, „lateral“ usw. erfolgt beispielhaft und nicht beschränkend, um einen Bezugsrahmen zu etablieren. Ausdrücke wie „horizontal“ und „lateral“, beziehen sich auf eine Richtung in einer Ebene parallel zu einer oberseitigen Oberfläche eines Halbleitersubstrats, unabhängig von dessen tatsächlicher dreidimensionaler räumlicher Orientierung. Ausdrücke wie „vertikal“ und „normal“ beziehen sich auf eine Richtung senkrecht zu der „horizontalen“ und „lateralen“ Richtung. Ausdrücke wie „über“ und „unter“ zeigen eine Anordnung von Elementen oder Strukturen relativ zueinander und/oder zu der oberseitigen Oberfläche des Halbleitersubstrats gegenüber einer relativen Erhöhung an.
  • Ein mit einem anderen Element „verbundenes“ oder „gekoppeltes“ Element kann mit dem anderen Element direkt verbunden oder gekoppelt sein oder kann stattdessen wenigstens ein dazwischenliegendes Element aufweisen. Ein mit einem anderen Element „direkt verbundenes“ oder „direkt gekoppeltes“ Element weist keine dazwischenliegenden Elemente auf. Ein Merkmal kann mit einem anderen Element „indirekt verbunden“ oder „indirekt gekoppelt“ sein, wenn wenigstens ein dazwischenliegendes Element vorhanden ist.

Claims (20)

  1. Selbstausgerichtete Mehrfachstrukturierungsstruktur umfassend: eine dielektrische Zwischenschicht (10); erste Nicht-Dorn-Zwischenverbindungen (30), die in die dielektrische Zwischenschicht (10) eingebettet sind, wobei die ersten Nicht-Dorn-Zwischenverbindungen (30) jeweils eine Seitenoberfläche (36) aufweisen, die sich in einer ersten Richtung erstreckt; zweite Nicht-Dorn-Zwischenverbindungen (30), die in die dielektrische Zwischenschicht (10) eingebettet sind, wobei die zweiten Nicht-Dorn-Zwischenverbindungen (30) jeweils eine Seitenoberfläche (36) aufweisen, die sich in der ersten Richtung erstreckt; und Verbinder-Zwischenverbindungen (32), die sich jeweils in einer zweiten Richtung quer zu der ersten Richtung von der Seitenoberfläche (36) einer der ersten Nicht-Dorn-Zwischenverbindungen (30) zu der Seitenoberfläche (36) einer der zweiten Nicht-Dorn-Zwischenverbindungen (30) erstrecken, wobei die Verbinder-Zwischenverbindungen (32) die Nicht-Dorn-Zwischenverbindungen (30) derart verbinden, dass eine durchgehende Serpentinenlinie festgelegt wird, die sich hin und her mit Änderungen in der Richtung bereitgestellt durch die Verbinder-Zwischenverbindungen (32) windet.
  2. Selbstausgerichtete Mehrfachstrukturierungsstruktur nach Anspruch 1, ferner umfassend: eine erste Dorn-Zwischenverbindung (34); eine zweite Dorn-Zwischenverbindung (34), wobei die erste Dorn-Zwischenverbindung (34) und die zweite Dorn-Zwischenverbindung (34) zwischen der Seitenoberfläche (36) der einen der ersten Nicht-Dorn-Zwischenverbindungen (30) und der Seitenoberfläche (36) der einen der zweiten Nicht-Dorn-Zwischenverbindungen (30) angeordnet sind.
  3. Selbstausgerichtete Mehrfachstrukturierungsstruktur nach Anspruch 2, wobei die eine der ersten Dorn-Zwischenverbindungen (34) eine Endoberfläche (40) aufweist, die eine der zweiten Dorn-Zwischenverbindungen (34) eine Endoberfläche (40) aufweist, die von der Endoberfläche (40) der einen der ersten Dorn-Zwischenverbindungen (34) durch eine Lücke beabstandet ist, und sich eine entsprechende der Verbinder-Zwischenverbindungen (32) durch die Lücke zwischen der Seitenoberfläche (36) der einen der ersten Nicht-Dorn-Zwischenverbindungen (30) und der Seitenoberfläche (36) der einen der zweiten Nicht-Dorn-Zwischenverbindungen (32) erstreckt.
  4. Selbstausgerichtete Mehrfachstrukturierungsstruktur nach Anspruch 3, wobei ein erster Abschnitt (44) der dielektrischen Zwischenschicht (10) zwischen jeweils einer der Verbinder-Zwischenverbindungen (32) und der Endoberfläche (40) der ersten Dorn-Zwischenverbindung (34) angeordnet ist, und ein zweiter Abschnitt (44) der dielektrischen Zwischenschicht (10) zwischen der jeweils einen der Verbinder-Zwischenverbindungen (32) und der Endoberfläche (40) der ersten Dorn-Zwischenverbindungen (34) angeordnet ist.
  5. Selbstausgerichtete Mehrfachstrukturierungsstruktur nach Anspruch 4, wobei die Lücke einen Ende-zu-Ende-Abstand zwischen der Endoberfläche (40) der ersten Dorn-Zwischenverbindung (34) und der Endoberfläche (40) der zweiten Dorn-Zwischenverbindung aufweist, die Verbinder-Zwischenverbindungen (32) eine erste Breite aufweisen und der erste Abschnitt (44) der dielektrischen Zwischenschicht (10) und der zweite Abschnitt (44) der dielektrischen Zwischenschicht (10) eine zweite Breite aufweisen, die durch den halben Unterschied zwischen dem Ende-zu-Ende-Abstand und der ersten Breite gegeben ist.
  6. Selbstausgerichtete Mehrfachstrukturierungsstruktur nach Anspruch 3, wobei die Lücke einen Ende-zu-Ende-Abstand zwischen der Endoberfläche (40) der ersten Dorn-Zwischenverbindung (34) und der Endoberfläche (40) der zweiten Dorn-Zwischenverbindung (34) aufweist und der Ende-zu-Ende-Abstand größer oder gleich 70 Nanometer ist.
  7. Selbstausgerichtete Mehrfachstrukturierungsstruktur nach Anspruch 6, wobei die Verbinder-Zwischenverbindungen (32) eine Breite aufweisen, die größer oder gleich 15 Nanometer ist.
  8. Selbstausgerichtete Mehrfachstrukturierungsstruktur nach Anspruch 1, wobei die Verbinder-Zwischenverbindungen (32) eine Breite aufweisen die größer oder gleich 15 Nanometer ist.
  9. Selbstausgerichtete Mehrfachstrukturierungsstruktur nach Anspruch 1, wobei die ersten Nicht-Dorn-Zwischenverbindungen (30), die zweiten Nicht-Dorn-Zwischenverbindungen (34) und die Verbinder-Zwischenverbindungen (32) in einer ersten Metallisierungs (M0) - Ebene angeordnet sind.
  10. Selbstausgerichtete Mehrfachstrukturierungsstruktur nach Anspruch 1, ferner umfassend: ein Test-Pad (42), das mit einer der ersten Nicht-Dorn-Zwischenverbindungen (30) verbunden ist.
  11. Verfahren zum Bilden einer selbstausgerichteten Mehrfachstrukturierungsstruktur, wobei das Verfahren umfasst: ein Bilden erster Nicht-Dorn-Zwischenverbindungen (30) und zweiter Nicht-Dorn-Zwischenverbindungen (30) in einer dielektrischen Zwischenschicht (10), wobei die ersten Nicht-Dorn-Zwischenverbindungen (30) jeweils eine Seitenoberfläche (36) aufweisen, die sich in einer ersten Richtung erstreckt, und die zweiten Nicht-Dorn-Zwischenverbindungen (30) jeweils eine Seitenoberfläche (36) aufweisen, die sich in der ersten Richtung erstreckt; und ein Bilden von Verbinder-Zwischenverbindungen (32) in der dielektrischen Zwischenschicht (10), die sich in einer zweiten Richtung quer zu der ersten Richtung von den Seitenoberflächen (36) der ersten Nicht-Dorn-Zwischenverbindungen (30) zu den Seitenoberflächen (36) der zweiten Nicht-Dorn-Zwischenverbindungen erstrecken, wobei die Verbinder-Zwischenverbindungen (32) die Nicht-Dorn-Zwischenverbindungen (30) derart verbinden, dass eine durchgehende Serpentinenlinie festgelegt wird, die sich hin und her windet mit Anderungen in der Richtung, die bereitgestellt wird durch die Verbinder-Zwischenverbindungen (32).
  12. Verfahren nach Anspruch 11, ferner umfassend: ein Bilden einer ersten Dorn-Zwischenverbindung (34) und einer zweiten Dorn-Zwischenverbindung (34), die zwischen der Seitenoberfläche (36) einer der ersten Nicht-Dorn-Zwischenverbindungen und der Seitenoberfläche (36) einer der zweiten Nicht-Dorn-Zwischenverbindungen angeordnet sind, wobei sich eine der Verbinder-Zwischenverbindungen entlang von Oberflächen durch einen Spalt zwischen einer Endoberfläche (40) der ersten Dorn-Zwischenverbindung und einer Endoberfläche (40) der zweiten Dorn-Zwischenverbindung erstreckt.
  13. Verfahren nach Anspruch 12, wobei der Spalt eine Breite aufweist, die größer oder gleich 70 Nanometer ist.
  14. Verfahren nach Anspruch 11, ferner umfassend: ein Bilden eines Dorns (14) auf der dielektrischen Zwischenschicht (10); ein Bilden eines Schnitts (16) in dem Dorn (14) zum Festlegen eines ersten geschnittenen Dorns mit einer ersten Endfläche (15) und einem zweiten geschnittenen Dorn mit einer zweiten Endfläche (15), der von der ersten Endfläche durch einen Spalt getrennt ist, und ein Übertragen eines Abschnitts des Schnitts (16) auf die dielektrische Zwischenschicht (10) mit einem Ätzprozess zum Bilden eines ersten Grabens, wobei die Verbinder-Zwischenverbindungen (32) durch Füllen des ersten Grabens mit einem Leiter gebildet werden.
  15. Verfahren nach Anspruch 14, ferner umfassend: ein Bilden eines ersten Seitenwandabstandshalters (18) auf der ersten Endoberfläche des ersten geschnittenen Dorns (16); und ein Bilden eines zweiten Seitenwandabstandshalters (18) auf der zweiten Endoberfläche des zweiten geschnittenen Dorns (16), wobei der zweite Seitenwandabstandshalter von dem ersten Seitenwandabstandshalter durch einen Nicht-Dorn-Raum (22) beabstandet ist.
  16. Verfahren nach Anspruch 15, wobei die dielektrische Zwischenschicht (10) durch den Ätzprozess über einem Bereich des Nicht-Dorn-Raums (22) zum Bilden des ersten Grabens geätzt wird.
  17. Verfahren nach Anspruch 15, wobei der erste Seitenwandabstandshalter (18) ferner auf gegenüberliegenden Seitenoberflächen des ersten geschnittenen Dorns (16) gebildet wird und wobei das Bilden der ersten Nicht-Dorn-Zwischenverbindungen (30) und der zweiten Nicht-Dorn-Zwischenverbindungen (30) in der dielektrischen Zwischenschicht (10) umfasst: ein Bilden eines zweiten Grabens (46) und eines dritten Grabens (46) in der dielektrischen Zwischenschicht (10) über entsprechenden Bereichen, die voneinander durch den ersten geschnittenen Dorn (16) und den ersten Seitenwandabstandshalter (18) auf den gegenüberliegenden Seitenoberfläche des ersten geschnittenen Dorns (16) getrennt sind; und ein Füllen des zweiten Grabens (46) und des dritten Grabens (46) mit dem Leiter, um die ersten Nicht-Dorn-Zwischenverbindungen (30) und die zweiten Nicht-Dorn-Zwischenverbindungen (30) zu bilden.
  18. Verfahren nach Anspruch 14, wobei die Lücke eine Breite aufweist, die größer oder gleich 70 Nanometer ist.
  19. Verfahren nach Anspruch 18, wobei der erste Graben (46) eine Breite aufweist, die größer oder gleich 15 Nanometer ist.
  20. Verfahren nach Anspruch 11, ferner umfassend: ein Verbinden einer der ersten Nicht-Dorn-Zwischenverbindungen (30) mit einem Test-Pad (42).
DE102018208142.5A 2017-05-25 2018-05-24 Multidirektionale selbstausgerichtete Mehrfachstrukturierung Active DE102018208142B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/605,327 2017-05-25
US15/605,327 US10199270B2 (en) 2017-05-25 2017-05-25 Multi-directional self-aligned multiple patterning

Publications (2)

Publication Number Publication Date
DE102018208142A1 DE102018208142A1 (de) 2018-11-29
DE102018208142B4 true DE102018208142B4 (de) 2023-03-23

Family

ID=64109312

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018208142.5A Active DE102018208142B4 (de) 2017-05-25 2018-05-24 Multidirektionale selbstausgerichtete Mehrfachstrukturierung

Country Status (4)

Country Link
US (1) US10199270B2 (de)
CN (1) CN108933119B (de)
DE (1) DE102018208142B4 (de)
TW (1) TWI708354B (de)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10784195B2 (en) * 2018-04-23 2020-09-22 Globalfoundries Inc. Electrical fuse formation during a multiple patterning process
TWI766060B (zh) * 2018-07-03 2022-06-01 聯華電子股份有限公司 圖案化方法
KR102628894B1 (ko) 2018-12-05 2024-01-24 삼성전자주식회사 단위 배선 구조를 갖는 집적 회로, 그 제조 방법 및 설계 방법
US11227792B2 (en) 2019-09-19 2022-01-18 International Business Machines Corporation Interconnect structures including self aligned vias
EP3840034B1 (de) * 2019-12-19 2022-06-15 Imec VZW Verfahren zur herstellung von nanoskalierten leiterbahnen für halbleiterbauelemente
TWI801752B (zh) * 2020-09-10 2023-05-11 力晶積成電子製造股份有限公司 半導體元件及其製造方法

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8879857B2 (en) 2005-09-27 2014-11-04 Qualcomm Incorporated Redundant data encoding methods and device
US8802451B2 (en) * 2008-02-29 2014-08-12 Avalanche Technology Inc. Method for manufacturing high density non-volatile magnetic memory
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8298943B1 (en) * 2011-05-27 2012-10-30 International Business Machines Corporation Self aligning via patterning
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
KR20140008863A (ko) * 2012-07-12 2014-01-22 에스케이하이닉스 주식회사 더블 spt를 이용한 반도체 소자의 미세패턴 형성방법
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8932957B2 (en) * 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US9698015B2 (en) * 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US8916475B1 (en) * 2013-11-01 2014-12-23 United Microelectronics Corp. Patterning method
US9093386B2 (en) * 2013-11-20 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer-damage-free etching
US9778561B2 (en) * 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US9257282B2 (en) * 2014-05-02 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9123656B1 (en) * 2014-05-13 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Organosilicate polymer mandrel for self-aligned double patterning process
US9548201B2 (en) * 2014-06-20 2017-01-17 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
US9070753B1 (en) * 2014-07-09 2015-06-30 Macronix International Co., Ltd. Method for fabricating memory device
WO2016022518A1 (en) * 2014-08-08 2016-02-11 Applied Materials, Inc. Multi materials and selective removal enabled reverse tone process
US20160049307A1 (en) * 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9263325B1 (en) * 2014-08-20 2016-02-16 Globalfoundries Inc. Precut metal lines
US9508642B2 (en) * 2014-08-20 2016-11-29 Globalfoundries Inc. Self-aligned back end of line cut
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9530701B2 (en) * 2014-12-18 2016-12-27 International Business Machines Corporation Method of forming semiconductor fins on SOI substrate
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9536778B2 (en) * 2015-04-06 2017-01-03 Globalfoundries Inc. Self-aligned double patterning process for metal routing
KR102505242B1 (ko) * 2015-07-21 2023-03-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9601693B1 (en) * 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9373543B1 (en) * 2015-10-06 2016-06-21 Globalfoundries Inc. Forming interconnect features with reduced sidewall tapering
US9818621B2 (en) * 2016-02-22 2017-11-14 Applied Materials, Inc. Cyclic oxide spacer etch process
US9779943B2 (en) * 2016-02-25 2017-10-03 Globalfoundries Inc. Compensating for lithographic limitations in fabricating semiconductor interconnect structures
US9679809B1 (en) * 2016-03-22 2017-06-13 Globalfoundries Inc. Method of forming self aligned continuity blocks for mandrel and non-mandrel interconnect lines
US9691626B1 (en) * 2016-03-22 2017-06-27 Globalfoundries Inc. Method of forming a pattern for interconnection lines in an integrated circuit wherein the pattern includes gamma and beta block mask portions
US9818623B2 (en) * 2016-03-22 2017-11-14 Globalfoundries Inc. Method of forming a pattern for interconnection lines and associated continuity blocks in an integrated circuit
US9691775B1 (en) * 2016-04-28 2017-06-27 Globalfoundries Inc. Combined SADP fins for semiconductor devices and methods of making the same
US9916986B2 (en) * 2016-06-27 2018-03-13 International Business Machines Corporation Single or mutli block mask management for spacer height and defect reduction for BEOL
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9818640B1 (en) * 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US9786545B1 (en) * 2016-09-21 2017-10-10 Globalfoundries Inc. Method of forming ANA regions in an integrated circuit
US9818641B1 (en) * 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9852986B1 (en) * 2016-11-28 2017-12-26 Globalfoundries Inc. Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
US9859120B1 (en) * 2016-12-13 2018-01-02 Globalfoundries Inc. Method of making self-aligned continuity cuts in mandrel and non-mandrel metal lines
US9812351B1 (en) * 2016-12-15 2017-11-07 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned continuity cuts
US9887127B1 (en) * 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
HWANG, J. [et al.]: A middle-1X nm NAND flash memory cell (M1X-NAND) with highly manufacturable integration technologies. In: 2011 International Electron Devices Meeting, 2011, S. 9.1.1-9.1.4, DOI: 10.1109/IEDM.2011.6131518
KODAMA, C. [et al.]: Self-Aligned Double and Quadruple Patterning-aware grid routing with hotspots control. In: 18th Asia and South Pacific Design Automation Conference (ASP-DAC), 2013, S. 267-272, DOI: 10.1109/ASPDAC.2013.6509607

Also Published As

Publication number Publication date
US20180342421A1 (en) 2018-11-29
CN108933119A (zh) 2018-12-04
TWI708354B (zh) 2020-10-21
US10199270B2 (en) 2019-02-05
TW201909368A (zh) 2019-03-01
DE102018208142A1 (de) 2018-11-29
CN108933119B (zh) 2022-01-28

Similar Documents

Publication Publication Date Title
DE102018208142B4 (de) Multidirektionale selbstausgerichtete Mehrfachstrukturierung
DE102018203792B4 (de) Nicht-Dorn-Schnittbildung
DE112011102446B4 (de) 3D-Durchkontaktierungskondensator mit einer potentialfreien leitfähigen Platte für eine verbesserte Zuverlässigkeit
DE102008054320B4 (de) Verfahren zum Herstellen eines Kondensators
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE112012001824B4 (de) Ineinandergreifender, vertikaler, nativer Kondensator
DE102013103976B4 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE102019203224B4 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen
DE102018205693B4 (de) Verfahren zum bilden von selbstausgerichteten schnitten
DE112019002455T5 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren
DE102014100564A1 (de) Halbleitervorrichtungen und Verfahren zu ihrer Herstellung
DE102019203596B4 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
DE112020003222B4 (de) Zwischenverbindungsanordnung mit vollständig ausgerichteten durchkontakten
DE102019205284A1 (de) Zwischenverbindungen mit Dornschnitten mit variablem Raum gebildet durch Blockstrukturierung
EP1770726A1 (de) MIM-Kondensator sowie zugehöriges Herstellungsverfahren
DE102004033825B4 (de) Verfahren zur Herstellung einer Kondensatoranordnung sowie zugehörige Kondensatoranordnung
DE102019204020B4 (de) Verbindungsstruktur und Verfahren zu deren Herstellung
DE112016006630T5 (de) Verfahren zum Herstellen einer Halbleitereinrichtung
DE112019003036T5 (de) Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren
DE102018204164B4 (de) Struktur für einen kondensator und verfahren zur herstellung einer struktur für einen kondensator
DE112017008330T5 (de) Integrierte schaltungen (ics) mit elektromigrations (em) -resistenten segmenten in einer verbindungsebene
DE102019100014B4 (de) Verfahren zum Strukturieren von dielektrischen Schichten für eine Metallisierung und entsprechende Strukturen
DE102004031518A1 (de) Verfahren zum Bilden einer Metallverdrahtung in Halbleiterbauelementen
DE102018206438B4 (de) Verfahren zur Herstellung von Kontaktstrukturen
DE102018222234B4 (de) Cut-first-vorgehen mit selbstausrichtung bei linien-strukturierung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final