CN108933119B - 自对准多图案化结构及其形成方法 - Google Patents

自对准多图案化结构及其形成方法 Download PDF

Info

Publication number
CN108933119B
CN108933119B CN201810512813.7A CN201810512813A CN108933119B CN 108933119 B CN108933119 B CN 108933119B CN 201810512813 A CN201810512813 A CN 201810512813A CN 108933119 B CN108933119 B CN 108933119B
Authority
CN
China
Prior art keywords
mandrel
interconnect
dielectric layer
interlayer dielectric
self
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810512813.7A
Other languages
English (en)
Other versions
CN108933119A (zh
Inventor
C·邦巴迪
何铭
夫拉特·察哈恩
安布·瑟尔泛·Km·玛哈林更
K·多纳根
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of CN108933119A publication Critical patent/CN108933119A/zh
Application granted granted Critical
Publication of CN108933119B publication Critical patent/CN108933119B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及多向自对准多图案化,其揭露互连结构以及制造互连结构的方法。第一以及第二非心轴互连件形成于一层间介电层中。该第一非心轴互连件以及该第二非心轴互连件各具有在一第一方向延伸的侧面。连接互连件从该第一非心轴互连件的该侧面向该第二非心轴互连件的该侧面,在横向于该第一方向的一第二方向延伸。

Description

自对准多图案化结构及其形成方法
技术领域
本发明涉及集成电路以及半导体装置制造,更具体地,涉及互连结构以及制造互连结构的方法。
背景技术
一后段工艺(back-end-of-line;BEOL)互连结构可用于使在前段工艺(front-end-of-line;FEOL)期间形成于一基板上的各装置结构相互连接,以及使其与芯片外部的环境相连接。用于形成一BEOL互连结构的自对准工艺涉及心轴(mandrel)作为建立一特征间距的牺牲特征。侧壁间隔件,其具有小于光学光刻的现行基本规则所允许的一厚度,形成在该心轴的垂直侧壁的附近。在选择性移除该心轴后,侧壁间隔件用于作为一蚀刻掩膜以蚀刻一底层硬掩膜,例如,通过一定向反应离子蚀刻(reactive ion etch;RIE)工艺。图案中的未掩膜特征从该硬掩膜转移至一介电层以定义形成该BEOL互连结构的导线于其中的沟槽。
可以在心轴中形成具有一切割掩膜的切割件(cut)并蚀刻以便对该心轴进行分段,并定义随后可用于产生通过一尖部至尖部间隔以在尖部相互隔开的导线的间隙。一反应切割心轴的图案可被转移至该硬掩膜并最后从该硬掩膜转移至图案化的层间介电层。非心轴切割件同样可形成于该硬掩膜自身内,并定义当形成侧壁间隔件时可被介电材料填充的间隙。该填充的间隙可随后用于在该图案化的层间介电层中形成通过一尖部至尖部间隙而使其在尖部相互隔开的导线。
需要改进的互连结构以及制造互连结构的方法。
发明内容
在本发明的一实施例中,一自对准多图案化结构包括一层间介电层,嵌埋于该层间介电层中的一第一非心轴互连件,嵌埋于该层间介电层中的一第二非心轴互连件,以及一连接互连件。该第一非心轴互连件以及该第二非心轴互连件各具有在一第一方向上延伸的侧面。该连接互连件从该第一非心轴互连件的该侧面向该第二非心轴互连件的该侧面,在沿着横向于该第一方向的一第二方向上延伸。
于本发明的一实施例中,提供一种形成自对准多图案化结构的方法。该方法包括形成一第一非心轴互连件以及一第二非心轴互连件于一层间介电层中。该第一非心轴互连件以及该第二非心轴互连件各具有在一第一方向上延伸的侧面。该方法还包括形成一连接互连件于该层间介电层中,并从该第一非心轴互连件的该侧壁向该第二非心轴互连件的该侧面,在沿着横向于该第一方向的一第二方向上延伸。
附图说明
纳入并构成本说明书的一部分的附图用于说明本发明的各种实施例,连同上面所给出的本发明的一般描述以及下面给出的各实施例的详细描述,用于解释本发明的各实施例。
图1至图5为根据本发明的实施例所示的一结构在一制程方法的连续阶段中的顶视图。
图1A为沿着图1中的线1A-1A所取的一截面图。
图5A为沿着图5中的线5A-5A所取的一截面图。
图5B为沿着图5中的线5B-5B所取的一截面图。
主要组件符号说明
10 层间介电层
12 硬掩膜
14 心轴
15 末端
16 心轴切割件
18 侧壁间隔件
20 非心轴线
22 非心轴间隔件
24 心轴线
26 终止块
30 非心轴互连件、互连件或互连结构
32 连接互连件、互连件或互连结构
34 心轴互连件、互连件或互连结构
36 侧面
38 间隔件
40 端面
41 侧面
42 测试焊垫
44 部分
46 沟槽
50 互连结构。
具体实施方式
参考图1及图1A,根据本发明的各实施例,一层间介电层10可包括一电性绝缘介电材料,例如,富氢碳氧化硅(hydrogen-enriched silicon oxycarbide;SiCOH)或其他类型的低K介电材料。该层间介电层10可位于包括在前段工艺(FEOL)所制造的各装置结构的一基板上以形成一集成电路。
一硬掩膜12位于层间介电层10的顶面上。硬掩膜12可以包括一金属,例如通过物理气相沉积(physical vapor deposition;PVD)的氮化钛;及/或一介电材料,例如通过化学气相沉积(chemical vapor deposition;CVD)的氮化硅(Si3N4)。硬掩膜12从对层间介电层10的材料具有选择性的层间介电层10被移除。如本文所使用的,术语“选择性”是指一材料移除工艺(例如,蚀刻)表示目标材料的材料移除率(即,蚀刻率)高于在材料移除工艺中暴露的至少一其他材料的材料移除率(即,蚀刻率)。
心轴14形成在硬掩膜12的一顶面上。心轴14可例如通过在硬掩膜12的整个顶面上沉积一牺牲材料(例如,非晶硅)的一覆盖层(blanket layer),并使用一光刻堆栈通过光刻及蚀刻来图案化该覆盖层而同时形成。心轴14具有一纵向平行排列并在一给定方向(即,在X-Y坐标系中的X方向)上延伸一长度。心轴14具有在横向于该纵长方向的一给定方向(即,在X-Y坐标系中的Y方向)上的一宽度。
参考图2,其中,相似的参考数字是指图1中的相似特征,于该制程方法的一后续制造阶段,心轴切割件16通过施加一切割掩膜(未予图示)并蚀刻以将心轴14切割成沿其长度在各自的位置上的不同部分而形成于该心轴14中。切割掩膜可以包括通过暴露于从一曝光源投射通过一光掩模的一辐射图案而被图案化的一光阻层,并使用一化学显影剂显影而形成位于心轴切割件16的预定位置的开口。使用一蚀刻工艺,例如反应离子蚀刻(RIE),也就是对硬掩膜12的材料具有选择性,移除心轴14的部分以形成位于切割掩膜中的开口位置处的心轴切割件16。
心轴切割件16暴露心轴14被移除的硬掩膜12的该顶面上的各个区域。心轴切割件16相互交错,使得它们的位置沿着相邻心轴14的长度而变化。心轴切割件16形成在切割心轴14的相邻端部15之间的一给定宽度的间隙。
参考图3,其中,类似的参考数字指的是图2中的类似特征,在制程方法的一后续制造阶段,侧壁间隔件18形成在与心轴14的垂直侧壁相邻的硬掩膜12的顶面上的位置。侧壁间隔件18通过在心轴14上以及暴露心轴14的硬掩膜12的顶面上沉积一共形层而形成,并使用一各向异性蚀刻工艺,例如反应离子蚀刻(RIE)成形该共形层。侧壁间隔件18可以包括一介电材料,例如通过原子层沉积(atomic layer deposition;ALD)所沉积的二氧化硅(SiO2)。对构成心轴14以及侧壁间隔件18的材料进行选择,以使心轴14通过使用对侧壁间隔件18的材料具有选择性的一适当的蚀刻化学剂被移除。
硬掩膜12的非心轴线20设置为平行于心轴14并在侧壁间隔件18的相邻对之间,作为未被心轴14和侧壁间隔件18覆盖的硬掩膜12的顶面的区域。侧壁间隔件18还形成在相邻于心轴14的末端15并延伸通过切割心轴14之间的间隙。
心轴切割件16(图2)被尺寸化,且侧壁间隔件18具有一厚度使得非心轴间隔件22被布置为位于心轴14的末端15上的侧壁间隔件18之间的区域。可以选择心轴切割件16以及侧壁间隔件18的尺寸参数以确保切割心轴14的对向末端15之间的一最小端至端距离。非心轴间隔件22未被侧壁间隔件18填充及覆盖,并横向延伸以连接相邻的非心轴线20。
于一实施例中,心轴切割件16(图2)的宽度大于或等于70纳米,其比传统的设计规格更大。当形成足够尺寸的非心轴间隔件22时,需要比常规宽度更宽以提供用于形成间隔件18的足够空间。例如,侧壁间隔件18的宽度可从15纳米到25纳米,其耦接大于或等于70纳米的心轴切割件16,使得非心轴间隔件22具有大于或等于15纳米的一宽度。非心轴间隔件22的宽度反映在使用非心轴间隔件22的相同宽度的连接互连的后续形成中。
请参考图4,其中类似的参考数字指的是图3中的类似特征,在制程方法的一后续制造阶段,心轴14通过对侧壁间隔件18具有选择性的具有一适当蚀刻化学剂的一蚀刻工艺被移除。硬掩膜12暴露于心轴14通过蚀刻工艺被拉动的区域上方的心轴线24中。侧壁间隔件18布设于硬掩膜12的顶面的U型图案中,其中,非心轴间隔件22限定侧壁间隔件18和心轴线24的连续性中的断裂。终止块26形成于侧壁间隔件18、非心轴线20、非心轴间隔件22、以及心轴线24的图案化的边缘处。
参考图5、图5A、图5B,其中类似的参考数字指的是图4中的类似特征,在制程方法的一后续制造阶段,在移除心轴14之后,形成终止块26,硬掩膜12通过一蚀刻工艺而将侧壁间隔件18作为一蚀刻掩膜而被图案化。硬掩膜12自对应于非心轴线20、非心轴间隔件22、以及心轴线24的暴露区域的上方被移除。在蚀刻硬掩膜12期间,被侧壁间隔件18以及终止块26覆盖的硬掩膜12的区域被保存或保留。硬掩膜图案化的结果是将非心轴线20、非心轴间隔件22、以及心轴线24的图案转移到硬掩膜12。层间介电层10暴露于对应于非心轴线20、非心轴间隔件22以及心轴线24的区域的上方,并且在被侧壁间隔件18以及终止块26覆盖的区域的上方被遮盖。
使用硬掩膜12蚀刻层间介电层10作为一图案化的蚀刻掩膜以图案化层间介电层10,从而在层间介电层10中非心轴线20、非心轴间隔件22、以及心轴线24上方的位置形成沟槽46。在蚀刻层间介电层10之后,图案化的硬掩膜12可通过一个或多个蚀刻或清洗工艺被选择性地移除。侧壁间隔件18可以在层间介电层10被蚀刻后,或层间介电层10被蚀刻前,沿着图案化的硬掩膜12被移除。
一后段工艺(BEOL)互连结构50通过使用一导电体填充层间介电层10中的沟槽46以形成非心轴互连件30、连接互连件32、以及心轴互连件34作为嵌入在层间介电层10中的特征而形成。由钛(Ti)、氮化钛(TiN)、钽(Ta)、氮化钽(TaN)、或这些材料的一层状组合(例如,一TAN/Ta双层)组成的衬垫(未予示出)可在填充初级(primary)电导体之前被施加到沟槽中。该初级导电体可以包括使用一沉积工艺形成的一低电阻率金属,例如通过电镀或无电镀沉积形成的铜(Cu)。互连件30,32以及34的形状和几何形状反映了暴露于通过图案化的硬掩膜12的层间介电层10中的沟槽形成的区域。于一实施例中,互连件30,32,及34可以是位于最接近于BEOL互连结构50的多个金属化层至FEOL装置结构的一第一金属化(M0)层中的导电特征,且其中,互连件30,32,34可通过一接触(CA)层中的垂直接触件连接至FEOL装置结构。于一实施例中,互连结构30,32,34可具有小于45纳米的一宽度。
互连件30及34被布置为在面向同一方向并相互平行排列的线性导电特征。互连件30及34由在硬掩膜12上的侧壁间隔件18所预先覆盖的区域上方所定义的间隔件38分隔开。间隔件38为层间介电层10的介电材料的线性部分。互连件30及34终止于由硬掩膜12上的终止块26所预先覆盖的区域的上方。非心轴互连件30被设置在相应区域上方的硬掩膜12中打开的非心轴线20的前端位置,且心轴互连件34被设置在相应区域上方的硬掩膜12中打开的心轴线24的前端位置。各非心轴互连件30具有侧面36,且各心轴互连件34具有端面40。
连接互连件32被布置成与非心轴互连件30横向对齐并与心轴互连件34横向对齐的线性导电特征。连接互连件32被放置在非心轴间隔件22的前端位置,其在具有等面积的硬掩膜12中被打开。各连接互连件32具有自非心轴互连件30中的一个的侧面36延伸至另一非心轴互连件30的侧面36的侧面41,其中,该连接的非心轴互连件30是平行的和相邻的。连接互连件32在通过由宽的心轴切割件16所产生的心轴互连件34的端面40之间的端至端间隙的非心轴互连件30之间横向延伸。心轴互连件34的端面40之间的端至端距离大于或等于70纳米。侧面41位于端面40之间,使得连接互连件32的宽度小于该端至端间隙。位于侧面41之间的连接互连件32的宽度大于或等于15纳米。层间介电层10的部分44位于连接互连件32与心轴互连件34的端面40之间。这些部分44具有由端面40与连接互连件32的宽度之间的端至端距离之间的差值的一半所给定的各自的宽度。
连接互连件32连接非心轴互连件30以定义通过连接互连件32提供的方向上的变化来来回回的一连续蛇形线。连接互连件32提供该连续蛇形线所需的方向上的变化。虽然本发明的实施例未予限制,但是互连件30,32,34可以通过将非心轴互连件30的终止末端与测试焊垫42连接来作为一测试结构。测试焊垫42可以位于与具有端面40的末端相对的非心轴互连件30的末端。测试焊垫42可以通过在BEOL互连结构的一最上层金属化层中沉积并蚀刻而形成。
测试结构可用于测试该M0金属化层中的断路。为此,可以使用测试焊垫42中的一个将一信号发射到该测试结构中,且该测试结构的输出将出现在另一测试焊垫42。如果互连件30,32,34由于一制造缺陷而具有一断路,则输出可能不存在。
对于先进技术节点,BEOL工艺可应用于自对准双图案化(self-aligned doublepatterning;SADP)或自对准四图案化(self-aligned quaduple patterning;SAQP)以及多金属图案化的自对准切割件的形式的自对准多图案化(即,自对准多图案化)。传统的多图案化技术的不足之处在于相关的设计规则只能支持没有任何错误设计例外的单向金属线。因此,用于检测一金属层(例如,该M0金属层)中的断路的一传统测试结构必须通过在覆盖的通孔以及布线层中的金属化来连接单向金属线以提供用于单向金属线的连接。必须完成多个附加光刻层以形成用于测试所需的金属化层中的断路的传统测试结构。此外,基于传统测试结构可能受到附加变量的影响,例如,覆盖通孔中的断路、覆盖物、及工艺问题,以及作为工件(artifacts)的布线层。
互连件30,32,34的布置依赖于连接互连件32的形成中宽的心轴切割件,使得一金属化层(例如该M0金属化层)中的一at级连续蛇形线能够实现。互连件30,32,34的布置消除了在覆盖通孔以及布线层中通过金属化的单向线(即严格平行)的一方向上提供沿横向连接的传统需要。互连件30,32,34的布置能够提供双向自对准多图案化(即,SADP及SAQP),并显著减少了在多图案化期间由单向图案化所施加的BEOL互连件的设计限制。
上述方法用于集成电路芯片的制作。所得到的集成电路芯片可以由制造者以原始晶圆形式(例如,作为具有多个未封装芯片的一单晶圆),作为一裸片,或一封装形式予以分布。该芯片可以与其他芯片、独立电路元件、及/或信号处理装置集成为一中间产品或一最终产品的一部分。该最终产品可以是包括集成电路芯片的任何产品,例如具有一中央处理器的计算机产品或智能手机。
本文引用的术语如“垂直”、“水平”、“横向”等均是通过举例的方式而不是通过限制的方式来建立参考框架的。诸如“水平”以及“横向”之类的术语指的是平行于一半导体基板的一顶面的一平面的一方向,而无论其实际的三维空间取向。例如“垂直”和“正交(normal)”等术语是指垂直于该“水平”和“横向”方向的一方向。诸如“上方”以及“下方”等术语表示元件或结构相对于彼此及/或将半导体基板的顶面作为相对标高的位置。
一特征“连接”或“耦接”到另一元件可以是直接连接或耦接至其他元件,或者,可以存在一个或多个中间元件。如果缺少中间元件,一特征可以“直接连接”或“直接耦接”至另一元件。如果存在至少一个中间元件,一特征可以“间接连接”或“间接耦接”至其他元件。
本发明的各种实施例的描述是为了说明的目的而提出,并不打算穷尽或局限于所公开的实施例。在不脱离所描述的各种实施例的范围和精神的情况下,许多修改和变化对本领域的普通技术人员来说是显而易见的。本文所使用的术语被选择来最好地解释实施例的原理、实际应用或相较于市场上的现有技术的技术改进,或者使本领域的技术人员能够理解本文所揭露的实施例。

Claims (20)

1.一种自对准多图案化结构,其特征在于,该结构包括:
一层间介电层;
一第一非心轴互连件,其嵌埋于该层间介电层中,该第一非心轴互连件具有在一第一方向延伸的一侧面;
一第二非心轴互连件,其嵌埋于该层间介电层中,该第二非心轴互连件具有在该第一方向延伸的一侧面;以及
一连接互连件,其由该第一非心轴互连件的该侧面向该第二非心轴互连件的该侧面沿横向于该第一方向的一第二方向延伸。
2.根据权利要求1所述的自对准多图案化结构,其特征在于,该结构还包括:
一第一心轴互连件;以及
一第二心轴互连件,
其中,该第一心轴互连件与该第二心轴互连件横向排列于该第一非心轴互连件的该侧面与该第二非心轴互连件的该侧面之间。
3.根据权利要求2所述的自对准多图案化结构,其特征在于,该第一心轴互连件具有一端面,该第二心轴互连件具有通过一间隙与该第一心轴互连件的该端面隔开的一端面,且该连接互连件延伸通过该第一非心轴互连件的该侧面与该第二非心轴互连件的该侧面之间的该间隙。
4.根据权利要求3所述的自对准多图案化结构,其特征在于,该层间介电层的一第一部分位于该连接互连件与该第一心轴互连件的该端面之间,以及该层间介电层的一第二部分位于该连接互连件与该第一心轴互连件的该端面之间。
5.根据权利要求4所述的自对准多图案化结构,其特征在于,该间隙具有位于该第一心轴互连件的该端面与该第二心轴互连件的该端面之间的一端至端距离,该连接互连件具有一第一宽度,且该层间介电层的该第一部分以及该层间介电层的该第二部分具有由该端至端距离与该第一宽度之间的一差值的一半所给定的一第二宽度。
6.根据权利要求3所述的自对准多图案化结构,其特征在于,该间隙具有位于该第一心轴互连件的该端面与该第二心轴互连件的该端面之间的一端至端距离,且该端至端距离大于或等于70纳米。
7.根据权利要求6所述的自对准多图案化结构,其特征在于,该连接互连件具有大于或等于15纳米的一宽度。
8.根据权利要求1所述的自对准多图案化结构,其特征在于,该连接互连件具有大于或等于15纳米的一宽度。
9.根据权利要求1所述的自对准多图案化结构,其特征在于,该第一非心轴互连件、该第二非心轴互连件、以及该连接互连件布置于一第一金属化(M0)层中。
10.根据权利要求1所述的自对准多图案化结构,其特征在于,该结构还包括:
一测试焊垫,其连接该第一非心轴互连件。
11.一种形成自对准多图案化结构的方法,其特征在于,该方法包括:
形成一第一非心轴互连件以及一第二非心轴互连件于一层间介电层中,其中,该第一非心轴互连件具有在一第一方向延伸的一侧面,以及该第二非心轴互连件具有在该第一方向延伸的一侧面;以及
形成一连接互连件于该层间介电层中,并由该第一非心轴互连件的该侧面向该第二非心轴互连件的该侧面沿横向于该第一方向的一第二方向延伸。
12.根据权利要求11所述的方法,其特征在于,该方法还包括:
形成布置于该第一非心轴互连件的该侧面与该第二非心轴互连件的该侧面之间的一第一心轴互连件以及一第二心轴互连件,
其中,该连接互连件延伸通过位于该第一心轴互连件的一端面与该第二心轴互连件的一端面之间的一间隙。
13.根据权利要求12所述的方法,其特征在于,该间隙具有大于或等于70纳米的一宽度。
14.根据权利要求11所述的方法,其特征在于,该方法还包括:
形成一心轴于该层间介电层上;
形成一切割件于该心轴中以定义具有一第一端面的一第一切割心轴以及具有通过一间隙与该第一端面隔开的一第二端面的一第二切割心轴;以及
借由一蚀刻工艺转移该切割件的一部分至该层间介电层以形成一第一沟槽,
其中,该连接互连件借由使用一导电体填充该第一沟槽而形成。
15.根据权利要求14所述的方法,其特征在于,该方法还包括:
形成一第一侧壁间隔件于该第一切割心轴的该第一端面上;以及
形成一第二侧壁间隔件于该第二切割心轴的该第二端面上,
其中,该第二侧壁间隔件通过一非心轴间隔件与该第一侧壁间隔件隔开。
16.根据权利要求15所述的方法,其特征在于,该层间介电层通过在该非心轴间隔件的一区域的上方通过该蚀刻工艺被蚀刻以形成该第一沟槽。
17.根据权利要求15所述的方法,其特征在于,该第一侧壁间隔件还形成于该第一切割心轴的相对侧面上,以及形成该第一非心轴互连件与该第二非心轴互连件于该层间介电层中包括:
形成一第二沟槽以及一第三沟槽于由该第一切割心轴以及该第一切割心轴的该相对侧面上的该第一侧壁间隔件相互隔开的各区域上方的该层间介电层中;以及
使用该导电体填充该第二沟槽以及该第三沟槽以分别形成该第一非心轴互连件以及该第二非心轴互连件。
18.根据权利要求14所述的方法,其特征在于,该间隙具有大于或等于70纳米的一宽度。
19.根据权利要求18所述的方法,其特征在于,该第一沟槽具有大于或等于15纳米的一宽度。
20.根据权利要求11所述的方法,其特征在于,该方法还包括:
借由一测试焊垫连接该第一非心轴互连件。
CN201810512813.7A 2017-05-25 2018-05-25 自对准多图案化结构及其形成方法 Active CN108933119B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/605,327 US10199270B2 (en) 2017-05-25 2017-05-25 Multi-directional self-aligned multiple patterning
US15/605,327 2017-05-25

Publications (2)

Publication Number Publication Date
CN108933119A CN108933119A (zh) 2018-12-04
CN108933119B true CN108933119B (zh) 2022-01-28

Family

ID=64109312

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810512813.7A Active CN108933119B (zh) 2017-05-25 2018-05-25 自对准多图案化结构及其形成方法

Country Status (4)

Country Link
US (1) US10199270B2 (zh)
CN (1) CN108933119B (zh)
DE (1) DE102018208142B4 (zh)
TW (1) TWI708354B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10784195B2 (en) * 2018-04-23 2020-09-22 Globalfoundries Inc. Electrical fuse formation during a multiple patterning process
TWI766060B (zh) * 2018-07-03 2022-06-01 聯華電子股份有限公司 圖案化方法
KR102628894B1 (ko) 2018-12-05 2024-01-24 삼성전자주식회사 단위 배선 구조를 갖는 집적 회로, 그 제조 방법 및 설계 방법
US11227792B2 (en) 2019-09-19 2022-01-18 International Business Machines Corporation Interconnect structures including self aligned vias
EP3840034B1 (en) * 2019-12-19 2022-06-15 Imec VZW Method for producing nanoscaled electrically conductive lines for semiconductor devices
TWI801752B (zh) * 2020-09-10 2023-05-11 力晶積成電子製造股份有限公司 半導體元件及其製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9373543B1 (en) * 2015-10-06 2016-06-21 Globalfoundries Inc. Forming interconnect features with reduced sidewall tapering
CN106057654A (zh) * 2015-04-06 2016-10-26 格罗方德半导体公司 用于金属布线的自对准双重图案化工艺

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8879856B2 (en) 2005-09-27 2014-11-04 Qualcomm Incorporated Content driven transcoder that orchestrates multimedia transcoding using content information
US8802451B2 (en) * 2008-02-29 2014-08-12 Avalanche Technology Inc. Method for manufacturing high density non-volatile magnetic memory
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8298943B1 (en) * 2011-05-27 2012-10-30 International Business Machines Corporation Self aligning via patterning
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
KR20140008863A (ko) * 2012-07-12 2014-01-22 에스케이하이닉스 주식회사 더블 spt를 이용한 반도체 소자의 미세패턴 형성방법
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8932957B2 (en) * 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US9698015B2 (en) * 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US8916475B1 (en) * 2013-11-01 2014-12-23 United Microelectronics Corp. Patterning method
US9093386B2 (en) * 2013-11-20 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer-damage-free etching
KR102306612B1 (ko) * 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9257282B2 (en) * 2014-05-02 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9123656B1 (en) * 2014-05-13 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Organosilicate polymer mandrel for self-aligned double patterning process
US9548201B2 (en) * 2014-06-20 2017-01-17 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
US9070753B1 (en) * 2014-07-09 2015-06-30 Macronix International Co., Ltd. Method for fabricating memory device
US9728406B2 (en) * 2014-08-08 2017-08-08 Applied Materials, Inc. Multi materials and selective removal enabled reverse tone process
US20160049307A1 (en) * 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9263325B1 (en) * 2014-08-20 2016-02-16 Globalfoundries Inc. Precut metal lines
US9508642B2 (en) * 2014-08-20 2016-11-29 Globalfoundries Inc. Self-aligned back end of line cut
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9530701B2 (en) * 2014-12-18 2016-12-27 International Business Machines Corporation Method of forming semiconductor fins on SOI substrate
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
KR102505242B1 (ko) * 2015-07-21 2023-03-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9601693B1 (en) * 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9818621B2 (en) * 2016-02-22 2017-11-14 Applied Materials, Inc. Cyclic oxide spacer etch process
US9779943B2 (en) * 2016-02-25 2017-10-03 Globalfoundries Inc. Compensating for lithographic limitations in fabricating semiconductor interconnect structures
US9818623B2 (en) * 2016-03-22 2017-11-14 Globalfoundries Inc. Method of forming a pattern for interconnection lines and associated continuity blocks in an integrated circuit
US9679809B1 (en) * 2016-03-22 2017-06-13 Globalfoundries Inc. Method of forming self aligned continuity blocks for mandrel and non-mandrel interconnect lines
US9691626B1 (en) * 2016-03-22 2017-06-27 Globalfoundries Inc. Method of forming a pattern for interconnection lines in an integrated circuit wherein the pattern includes gamma and beta block mask portions
US9691775B1 (en) * 2016-04-28 2017-06-27 Globalfoundries Inc. Combined SADP fins for semiconductor devices and methods of making the same
US9916986B2 (en) * 2016-06-27 2018-03-13 International Business Machines Corporation Single or mutli block mask management for spacer height and defect reduction for BEOL
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9818640B1 (en) * 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US9818641B1 (en) * 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9786545B1 (en) * 2016-09-21 2017-10-10 Globalfoundries Inc. Method of forming ANA regions in an integrated circuit
US9852986B1 (en) * 2016-11-28 2017-12-26 Globalfoundries Inc. Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
US9859120B1 (en) * 2016-12-13 2018-01-02 Globalfoundries Inc. Method of making self-aligned continuity cuts in mandrel and non-mandrel metal lines
US9887127B1 (en) * 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US9812351B1 (en) * 2016-12-15 2017-11-07 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned continuity cuts

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106057654A (zh) * 2015-04-06 2016-10-26 格罗方德半导体公司 用于金属布线的自对准双重图案化工艺
US9373543B1 (en) * 2015-10-06 2016-06-21 Globalfoundries Inc. Forming interconnect features with reduced sidewall tapering

Also Published As

Publication number Publication date
TW201909368A (zh) 2019-03-01
US10199270B2 (en) 2019-02-05
CN108933119A (zh) 2018-12-04
DE102018208142B4 (de) 2023-03-23
DE102018208142A1 (de) 2018-11-29
TWI708354B (zh) 2020-10-21
US20180342421A1 (en) 2018-11-29

Similar Documents

Publication Publication Date Title
CN108933119B (zh) 自对准多图案化结构及其形成方法
US10249496B2 (en) Narrowed feature formation during a double patterning process
CN108573917B (zh) 非芯轴切口形成
US10192780B1 (en) Self-aligned multiple patterning processes using bi-layer mandrels and cuts formed with block masks
US9711447B1 (en) Self-aligned lithographic patterning with variable spacings
US9905424B1 (en) Self-aligned non-mandrel cut formation for tone inversion
US11417525B2 (en) Multiple patterning with mandrel cuts defined by block masks
TWI727302B (zh) 具有藉由區塊圖案化形成之可變空間心軸切口的互連
CN108735661B (zh) 预间隔物自对准切口形成
US11348870B2 (en) Electrical fuse formation during a multiple patterning process
CN108091551B (zh) 自对准光刻图案化
US10784119B2 (en) Multiple patterning with lithographically-defined cuts
CN108630651B (zh) 具有浮岛的片上电容器
US10319626B1 (en) Interconnects with cuts formed by block patterning
US10229850B1 (en) Cut-first approach with self-alignment during line patterning
US10777413B2 (en) Interconnects with non-mandrel cuts formed by early block patterning

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20210304

Address after: California, USA

Applicant after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Applicant before: GF

TA01 Transfer of patent application right
GR01 Patent grant
GR01 Patent grant