TWI727302B - 具有藉由區塊圖案化形成之可變空間心軸切口的互連 - Google Patents
具有藉由區塊圖案化形成之可變空間心軸切口的互連 Download PDFInfo
- Publication number
- TWI727302B TWI727302B TW108113058A TW108113058A TWI727302B TW I727302 B TWI727302 B TW I727302B TW 108113058 A TW108113058 A TW 108113058A TW 108113058 A TW108113058 A TW 108113058A TW I727302 B TWI727302 B TW I727302B
- Authority
- TW
- Taiwan
- Prior art keywords
- mandrel
- mask
- block mask
- block
- hard mask
- Prior art date
Links
- 238000000059 patterning Methods 0.000 title claims description 14
- 239000010410 layer Substances 0.000 claims abstract description 87
- 238000000034 method Methods 0.000 claims abstract description 47
- 239000011229 interlayer Substances 0.000 claims abstract description 33
- 125000006850 spacer group Chemical group 0.000 claims description 36
- 238000005530 etching Methods 0.000 claims description 29
- 239000000463 material Substances 0.000 claims description 29
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 25
- 238000004519 manufacturing process Methods 0.000 claims description 19
- 239000000377 silicon dioxide Substances 0.000 claims description 12
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 claims description 11
- 235000012239 silicon dioxide Nutrition 0.000 claims description 10
- 238000000151 deposition Methods 0.000 claims description 6
- 239000004065 semiconductor Substances 0.000 claims description 6
- 239000011368 organic material Substances 0.000 claims description 5
- 239000004020 conductor Substances 0.000 claims description 4
- 238000004528 spin coating Methods 0.000 claims description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 claims 7
- 238000009966 trimming Methods 0.000 claims 1
- 239000003989 dielectric material Substances 0.000 description 16
- 238000003672 processing method Methods 0.000 description 13
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- 238000001020 plasma etching Methods 0.000 description 5
- 229910004298 SiO 2 Inorganic materials 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 239000000758 substrate Substances 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 238000001465 metallisation Methods 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 238000010924 continuous production Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 239000013067 intermediate product Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 239000013077 target material Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 238000004804 winding Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3081—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76886—Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
- H01L21/76892—Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Geometry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
本發明提供一種製作互連結構的方法。將硬式遮罩沉積在層間介電層上方,並形成區塊遮罩以覆蓋該硬式遮罩上的區域。將犧牲層形成在該區塊遮罩與該硬式遮罩上方,並圖案化該犧牲層以形成延伸通過該區塊遮罩的心軸。
Description
本發明是關於半導體裝置製作及積體電路,且尤其是關於自對準多個圖案化的方法。
後段製程(back-end-of-line;BEOL)互連結構可用來將在前段製程(front-end-of-line;FEOL)處理期間已經製作在基底上的裝置結構彼此連接並且與外部於晶片的環境連接。用來形成BEOL互連結構的自對準圖案化程序涉及線性心軸(mandrel),作為建立特徵節距(pitch)的犧牲性特徵。非心軸線是配置成在側壁間隔件之間的線性空間,該側壁間隔件鄰近該心軸的側壁而形成。於該心軸被拉引以定義心軸線後,該側壁間隔件是用來作為蝕刻遮罩,以將斷定(predicated)在該心軸線及該非心軸線上的圖案蝕刻進入下方的硬式遮罩(hardmask)內。該圖案接下來從該硬式遮罩轉移至層間介電層以定義溝槽,在該溝槽中,形成該BEOL互連結構的打線(wire)。
心軸切口(cut)可形成在該心軸中,以為了分段該心軸,並且定義該分段之間的不連續。非心軸切口也可沿著非心軸線形成,並且可包含該間隔件材料用來形成該側壁間隔件的部分。該心軸切口及非心軸切口均包含在該圖案中,該圖案被轉移至該硬式遮罩,並且接著從該硬式遮罩 轉移,以在該層間介電層形成溝槽。該心軸切口及非心軸切口出現在該BEOL互連結構中作為鄰近打線,該鄰近打線在其尖端以關於該不連續的尺寸的尖端對尖端(tip-to-tip)間隔隔開。
用於切口心軸的該尖端對尖端間隔是限制於等於該側壁間隔件的該厚度的兩倍的距離。如果該尖端對尖端間隔大於此距離,則側壁間隔件不會在該心軸的該分段之間的該心軸切口內合併,其導致該心軸切口的不完全填充。橫向於該切口心軸的長度,該心軸切口是配置在非心軸線(其該切口心軸線的側面)之間的該圖案中。該不完全填充的結果可使用在該心軸切口的位置處的該非心軸線所形成的該BEOL互連結構中的打線短路。此外,側壁間隔件繞著該切口心軸的該分段的該尖端的纏繞可在該合併的側壁間隔件的側邊處引進槽口(notches)或凹口(indents)。這些槽口或凹口可形成金屬突點,該金屬突點從使用鄰近非心軸線形成的打線的側邊投影至該心軸切口中。這些金屬突點的接近也可導致使用該非心軸線所形成的該BEOL互連結構中的打線短路。
需要一種改進的自對準多個圖案化的方法。
在本發明的實施例中,一種方法包含在層間介電層上方沉積硬式遮罩,形成覆蓋該硬式遮罩上的區域的區塊遮罩;在該區塊遮罩及該硬式遮罩上方形成犧牲層,以及圖案化該犧牲層以形成延伸通過該區塊遮罩的心軸。
10‧‧‧層間介電層
12‧‧‧硬式遮罩
14‧‧‧區塊遮罩
16‧‧‧犧牲層
18‧‧‧硬式遮罩
19、20、21‧‧‧心軸
22‧‧‧保形層或保形介電層
24‧‧‧區塊遮罩
26‧‧‧側壁間隔件
28‧‧‧非心軸線
30‧‧‧非心軸切口區塊
32‧‧‧旋轉塗佈硬式遮罩
34‧‧‧心軸線
42‧‧‧後段製程(BEOL)互連結構
44、46、48‧‧‧互連
45、47‧‧‧切口
2-2、5-5、11-11、13-13‧‧‧線
d1‧‧‧尖端對尖端空間或距離
d2‧‧‧距離
L1、L2‧‧‧長度
t‧‧‧厚度
W1、W2‧‧‧寬度
併入且構成說明書的一部分的伴隨圖式例示本發明的各種實施例,並連同上方所給定的本發明的一般性描述及下方所給定的實施例的詳細描述,用來解釋本發明的實施例。
第1圖是在依據本發明的實施例的處理方法的初始製作階段處的結構的上視圖。
第2圖是一般上沿著第1圖中的線2-2所取得的剖面視圖。
第3圖在該處理方法接續第2圖的製作階段處的該結構的剖面視圖。
第4圖在該處理方法接續第3圖的製作階段處的該結構的上視圖。
第5圖是一般上沿著第4圖中的線5-5所取得的剖面視圖。
第6圖至第9圖在接續第5圖的連續製作階段處的該結構的剖面視圖。
第10圖在該處理方法接續第9圖的製作階段處的該結構的上視圖。
第11圖是一般上沿著第10圖中的線11-11所取得的剖面視圖。
第12圖在該處理方法接續第10圖、第11圖的製作階段處的該結構的上視圖。
第13圖是一般上沿著第12圖中的線13-13所取得的剖面視圖。
參照第1圖、第2圖及依據本發明的實施例,層間介電層10可由電性絕緣介電材料組成(be comprised of),例如,富氫碳氧化矽(SiCOH)或其它類型的低k介電材料。該層間介電層10可位在基底上,該基底包含由前段製程(FEOL)處理製作的裝置結構以形成積體電路。硬式遮罩12位在該層間介電層10的頂表面上。該硬式遮罩12可由物理氣相沉 積(physical vapor deposition;PVD)所沉積的金屬(例如,氮化鈦(TiN))組成、及/或由化學氣相沉積(chemical vapor deposition;CVD)所沉積的介電材料(例如,氮化矽(Si3N4))組成。該硬式遮罩12可從對該層間介電層10的材料有選擇性的該層間介電層10移除。如本文所使用的,材料移除程序(例如,蝕刻)的「選擇性」術語是指該目標材料的材料移除率(也就是,蝕刻率)高於暴露至該材料移除程序的至少另一材料的材料移除率(也就是,蝕刻率)。
區塊遮罩14是形成在該硬式遮罩12的該頂表面上的策略位置處,並且接著用於該程序流程以形成心軸切口。該區塊遮罩14可從一層其構成材料形成,該構成材料可沉積並之後以平版印刷(lithograph)及蝕刻加以圖案化。該區塊遮罩14是由例如化學氣相沉積(CVD)所沉積的介電材料(例如,氧化鈦(TiO2)或二氧化矽(SiO2))組成。該區塊遮罩14由在圖案化期間所建立的尺寸加以特性化。在實施例中,該區塊遮罩14可為長方形,具有在圖案化期間所建立的長度L1及寬度W。
參照第3圖,其中,相同的參考編號參照第2圖中的相同特徵,並且在該處理方法的接下來製作階段處,犧牲層16及硬式遮罩18形成在該硬式遮罩12及該區塊遮罩14上方。該硬式遮罩18是用來在多個圖案化期間圖案轉移至該犧牲層16。該犧牲層16厚於該區塊遮罩14,以使該區塊遮罩14埋在該犧牲層16中。
構成該區塊遮罩14的該介電材料及構成該犧牲層16的該材料是可以選擇,以使該犧牲層16的該材料相對於該區塊遮罩14的該材料是選擇性蝕刻。該犧牲層16可由旋轉塗佈(spin-on)硬式遮罩材料組成,例如,有機平坦化層(organic planarization layer;OPL)材料或非晶矽(α-Si)。該硬式遮罩18可由介電材料組成,例如,氮化矽(Si3N4),由例如原 子層沉積(atomic layer deposition;ALD)加以沉積。該犧牲層16及硬式遮罩18的該材料亦可加以選擇以具有相對於彼此的高蝕刻選擇性。舉例來說,二氧化矽對矽的該蝕刻選擇性大約為10:1。
參照第4圖、第5圖,其中,相同的參考編號參照第3圖中的相同特徵,並且在該處理方法的接下來製作階段處,心軸19、20、21是從該犧牲層16形成,而且配置在該硬式遮罩12的頂表面上。舉例來說,自對準雙重圖案化(self-aligned double patterning;SADP)程序或自對準四重圖案化(self-aligned quadruple patterning;SAQP)程序可用來以蝕刻程序圖案化該硬式遮罩18,該蝕刻程序是接著用來以另一個蝕刻程序圖案化該心軸19、20、21。由於該圖案化期間的佈局(placement),因此該心軸20朝平行於該區塊遮罩14的長度的長度方向延伸通過(也就是,重疊)該區塊遮罩14。為了幫助相對佈局以提供該重疊,該心軸20窄於該區塊遮罩14,並且因此,該區塊遮罩14的該側邊暴露在該心軸19與心軸20之間,而且也暴露在該心軸20與心軸21之間。在不同的實施例中,該區塊遮罩14可予以加寬,以使該心軸19及21的一者或兩者也延伸通過該區塊遮罩14。
參照第6圖,其中,相同的參考編號參照第5圖中的相同特徵,並且在該處理方法的接下來製作階段處,該區塊遮罩14的該暴露的側邊自對準蝕刻程序中被修整和移除,該自對準蝕刻程序依賴該心軸20作為蝕刻遮罩。該蝕刻程序可為反應式離子蝕刻(reactive ion etching;RIE),該反應式離子蝕刻對該硬式遮罩12及該硬式遮罩18的該材料有選擇性,而僅移除該區塊遮罩14的該材料。該修整的區塊遮罩14的寬度W2等於在該心軸19、20、21形成時所建立的該心軸20的寬度。
參照第7圖,其中,相同的參考編號參照第6圖中的相同特徵,並且在該處理方法的接下來製作階段處,移除該硬式遮罩18的該分 段,而且接下來使用例如原子層沉積(ALD)來沉積由介電材料組成的保形層22。構成該保形層22的材料可加以選擇,以由對該心軸19、20、21的材料有選擇性的給定蝕刻化學作用加以移除。舉例來說,如果該心軸19、20、21是由非晶矽組成,則構成該保形層22的該介電材料可由例如氧化鈦(TiO2)或二氧化矽(SiO2)組成。
構成該區塊遮罩14的該介電材料也可加以選擇,以使從該犧牲層16形成的該心軸19、20、21可在沒有移除該區塊遮罩14下被拉引。在實施例中,構成該區塊遮罩14的該介電材料可相同於構成該保形層22的該介電材料。舉例來說,如果該區塊遮罩14是由氧化鈦(TiO2)組成,則構成該保形層22的該介電材料可由氧化鈦(TiO2)組成。作為另一個例子,如果該區塊遮罩14是由二氧化矽(SiO2)組成,則構成該保形層22的該介電材料可由二氧化矽(SiO2)組成。
於沉積該保形層22後,形成區塊遮罩24以覆蓋該保形層22的該介電材料配置成與該硬式遮罩12直接接觸的部分。該區塊遮罩24可由有機材料組成,例如,聚合物、有機平坦化材料、或另一種材料。該區塊遮罩24可藉由圖案化該保形層22上方的一層該有機材料而加以形成。該區塊遮罩24提供用來形成非心軸切口的機制,該非心軸切口最終出現作為該互連中的切口。
參照第8圖,其中,相同的參考編號參照第7圖中的相同特徵,並且在該處理方法的接下來製作階段處,側壁間隔件26是從鄰近該心軸19、20、21的垂直側壁的位置處的該保形層22形成。該側壁間隔件26可由以例如反應式離子蝕刻(RIE)的異向性蝕刻程序來成形該保形層22加以形成,該異向性蝕刻程序對該心軸19、20、21的該材料有選擇性,而僅 移除該保形層22的該材料。該側壁間隔件26的厚度t可名義上等於該保形層22的厚度。
非心軸線28是定義成線性空間,該線性空間配置在該心軸19、20、21上的該側壁間隔件26之間,並且該硬式遮罩12在該線性空間上方的區域顯露出來。該區塊遮罩24遮蔽該保形層22的下方介電材料,其提供配置在該非心軸線28的一者中的非心軸切口區塊30。該區塊遮罩24例如由以電漿來灰化加以移除。
參照第9圖,其中,相同的參考編號參照第8圖中的相同特徵,並且在該處理方法的接下來製作階段處,施加旋轉塗佈硬式遮罩32而且予以凹陷(recessed)以填充該非心軸線28。該旋轉塗佈硬式遮罩32可包含有機平坦化層(OPL)材料。於形成該旋轉塗佈硬式遮罩32後,使用蝕刻程序以從該心軸19、20上方移除保形介電層22的殘餘,該心軸19、20在間隔件形成期間由該區塊遮罩24保護。該非心軸切口區塊30在該蝕刻程序期間由該旋轉塗佈硬式遮罩32保護。
參照第10圖、第11圖,其中,相同的參考編號參照第9圖中的相同特徵,並且在該處理方法的接下來製作階段處,該旋轉塗佈硬式遮罩32由例如以電漿來灰化加以移除,而且選擇性對該側壁間隔件26、該非心軸切口區塊30、及該區塊遮罩14而拉引及移除該心軸19、20、21,該側壁間隔件26、該非心軸切口區塊30、及該區塊遮罩14以具有適合蝕刻化學作用的蝕刻程序提供該心軸切口。該心軸19、20、21的該移除產生心軸線34,作為配置在該側壁間隔件26之間的線性空間,該硬式遮罩12在該線性空間上方的部分顯露出來。該非心軸線28及該心軸線34表明為平行線,該平行線是配置以彼此交替並且暴露該硬式遮罩12的該頂表面上的區域。
該區塊遮罩14中斷並切割該心軸線34的一者的連續性,且將此心軸線34分割成離散的分段。該區塊遮罩14接下來定義一對(pair)線性對準的金屬互連之間的切口的位置,該對線性對準的金屬互連是接下來使用該相關心軸線34的該分段而形成在該層間介電層10中。該區塊遮罩14朝與該相關心軸線34的該分段的長度平行的方向的長度決定這些分段之間的尖端對尖端空間或距離d1,並接下來決定在該區塊遮罩14處中斷且彼此面對而通過該區塊遮罩14的長度的金屬互連的尖端或末端之間的尖端對尖端空間或距離。與該側壁間隔件26的形成無關而定義的該心軸線34的該分段的該末端之間的該尖端對尖端距離,可比等於該側壁間隔件26的厚度的兩倍的空間大。該心軸線34的該分段的該末端之間的該尖端對尖端距離可藉由選擇該區塊遮罩14的長度而加以變化,並且提供可變空間的心軸切口,其生產與該間隔件厚度無關。
參照第12圖、第13圖,其中,相同的參考編號參照第10圖、第11圖中的相同特徵,並且在該處理方法的接下來製作階段處,該硬式遮罩12由蝕刻程序加以圖案化,以轉移非心軸線28與該心軸線34的該圖案至該硬式遮罩12,其中,該區塊遮罩14、該側壁間隔件26、及非心軸切口區塊30作為蝕刻遮罩。該區塊遮罩14、該側壁間隔件26、及非心軸切口區塊30可於該圖案被轉移後剝除。該層間介電層10接著由蝕刻程序加以圖案化,其中,該圖案化的硬式遮罩12作為蝕刻遮罩,以轉移非心軸線28及該心軸線34的該圖案至該層間介電層10作為溝槽40。該層間介電層10由該硬式遮罩12遮蔽,並且保存在先前被該區塊遮罩14及非心軸切口區塊30所覆蓋的區域上方。
後段製程(BEOL)互連結構42藉由以導體填充該層間介電層10中的該溝槽40加以形成,以形成互連44、46、48,其作為以嵌埋於該層間介電層10中的打線的形式的特徵。由鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、或這些材料的層式組合(例如,雙層的TaN/Ta)所組成的襯裡(未顯示),可以於主要電性導體填充前,先施加至該溝槽40。該主要導體可由使用沉積程序所形成的低電阻性金屬組成,例如,由電鍍或無電沉積所沉積的銅(Cu)或鈷(Co)。該互連44、46、48的形狀和幾何反映用於在該層間介電層10中藉由該圖案化的硬式遮罩12的溝槽所暴露的該區域。在實施例中,該互連44、46、48可為位在第一金屬化(M0)階層中的導電性特徵,該第一金屬化(M0)階層最靠近該BEOL互連結構42至FEOL裝置結構的多個金屬化階層,並且其中,該互連44、46、48可由接點(contact;CA)階層中的垂直接點而與FEOL裝置結構連接。
該非心軸切口區塊30被轉移至該硬式遮罩12並且從該硬式遮罩12被轉移至該層間介電層10,以作為該線性對準的互連44之間的切口45,該切口45定義一區域,在該區域上方的該層間介電層10未被蝕刻而保持原狀。該互連44在它們個別的末端之間具有尖端對尖端間隔或距離,其在該切口45的尺寸平行於該互連44的長度L2下,由該切口45中的該層間介電層10的該介電材料的一部分的出現而被中斷。該互連48是連續性而沒有中斷。
該區塊遮罩14被轉移至該硬式遮罩12並且從該硬式遮罩12被轉移至該層間介電層10,以作為該線性對準互連46之間的切口47,該切口47定義一區域,該區域上方的該層間介電層10未被蝕刻而保持原狀。該互連46在其個別的末端之間具有尖端對尖端間隔,其在該切口47的尺寸平行於該互連46的長度下,由該切口47中的該層間介電層10的該介電材料的一部分的出現而被中斷。該層間介電層10的該部分在空間上相關於在被轉移至該層間介電層10的該圖案中的該區塊遮罩14。
該切口45的該尖端對尖端間隔不限於等於該側壁間隔件的該厚度的兩倍的距離。由於該切口45是使用該區塊遮罩14、而非使用該側壁間隔件26加以形成,因此該互連46的該尖端對尖端間隔可超過此距離,而對短路該互連線不會有任何影響。該切口45的該尖端對尖端間隔是可變空間,其可選擇為該裝置設計的部件。此外,由於該側壁間隔件26不必需纏繞該切口心軸的該分段的該尖端,因此沒有出現從該互連的該側邊投影鄰近至該切口45的金屬突點的凹口。
上方所描述的方法是使用在製作積體電路晶片。該生成的積體電路晶片可由製作者以原始晶圓形式(例如,作為具有多個未封裝的晶片的單一晶圓)分配成裸晶、或以封裝的形式分配。該晶片可與其它晶片、離散電路元件、及/或其它訊號處理裝置整合,以作為中間產品或末端產品的部件。該末端產品可為包含積體電路晶片的任何產品(例如,具有中央處理器的電腦產品或智慧型手機)。
藉由例子、而非藉由限制作出本文對術語(例如,「垂直」、「平行」、「側向」等)的參照,以建立參照的框架。例如「平行」及「側向」術語參照與半導體基底的頂表面平行的平面中的方向,而與其真正的三維空間轉向無關。例如「垂直」及「正交」術語參照與該「平行」及「側向」垂直的方向。例如「上方」及「下方」術語指示結構的元件相對於彼此及/或相對於該半導體基底的該頂表面的定位,而不是相對高度(relative elevation)。
與另一個元件「連接」或「耦接」特徵可直接地連接或耦接至其它元件,或者也可出現一個或更多個中介元件。特徵可「直接地連接」或「直接地耦接」至另一個元件,如果中介元件沒有出現。特徵可「間接地連接」或「間接地耦接」至另一個元件,如果至少一個中介元件出現。
本發明的各種實施例的描述已經呈現,以為了例示的目的,而不是意圖窮盡或被限制至所揭露的實施例。對於本領域中具有通常技術者而言,許多修飾及變化將是明顯的,而不致於偏離該描述的實施例的範疇及精神。本文中所使用的專門用語是經過選擇,以最佳解釋該實施例的原理、該實際應用、或針對市場中所發現的科技的技術改進,或致能本領域的其他通常技術者了解本文所揭露的實施例。
10‧‧‧層間介電層
28‧‧‧非心軸線
34‧‧‧心軸線
42‧‧‧後段製程(BEOL)互連結構
44、46、48‧‧‧互連
45、47‧‧‧切口
13-13‧‧‧線
d2‧‧‧距離
L2‧‧‧長度
Claims (20)
- 一種製作半導體裝置之方法,包含:在層間介電層上方沉積硬式遮罩;形成覆蓋該硬式遮罩上的第一區域的第一區塊遮罩;在該第一區塊遮罩及該硬式遮罩上方形成犧牲層;圖案化該犧性層以形成延伸通過該第一區塊遮罩的第一心軸;以及在該硬式遮罩上且鄰近該第一心軸形成側壁間隔件,其中,該第一區塊遮罩及該側壁間隔件是由氧化鈦組成。
- 如申請專利範圍第1項所述之方法,其中,第二心軸是形成在該硬式遮罩上,該第二心軸由暴露該硬式遮罩上的第二區域的非心軸線而與該第一心軸分離,以及進一步包含:於形成該第一區塊遮罩後,形成覆蓋由該非心軸線所暴露的該硬式遮罩的該第二區域的一部分的第二區塊遮罩。
- 如申請專利範圍第1項所述之方法,其中,該犧牲層是由有機材料組成。
- 如申請專利範圍第1項所述之方法,進一步包含:移除該第一心軸,以提供暴露該硬式遮罩上的第二區域的心軸線;以及以第一蝕刻程序蝕刻該硬式遮罩,以轉移基於該心軸線及該第一區塊遮罩的圖案至該硬式遮罩。
- 如申請專利範圍第4項所述之方法,進一步包含:以第二蝕刻程序蝕刻該層間介電層,以從該硬式遮罩轉移該圖案,以在該層間介電層中形成複數個溝槽;以及 以導體填充該複數個溝槽,以形成第一互連及與該第一互連成列對準的第二互連,其中,該層間介電層的一部分是配置在該第一互連的末端及該第二互連的末端之間,而該層間介電層的該部分與該圖案中的該第一區塊遮罩在空間上相關。
- 如申請專利範圍第4項所述之方法,其中,於移除該第一心軸後,該第一區塊遮罩被暴露並且中斷該心軸線作為切口。
- 一種製作半導體裝置之方法,包含:在層間介電層上方沉積硬式遮罩;形成覆蓋該硬式遮罩上的第一區域的第一區塊遮罩;在該第一區塊遮罩及該硬式遮罩上方形成犧牲層;圖案化該犧牲層以形成延伸通過該第一區塊遮罩的第一心軸,其中,該第一心軸於圖案化該犧牲層後具有第一寬度,該第一區塊遮罩於圖案化該犧牲層後具有第二寬度,而該第二寬度大於該第一寬度;以及修整該第一區塊遮罩,以減小該第一區塊遮罩的該第二寬度,其中,該第一心軸的該第二寬度於該第一區塊遮罩被修整時未改變,且於修整後,該第一區塊遮罩的該第二寬度等於該第一心軸的該第一寬度。
- 如申請專利範圍第7項所述之方法,其中,該犧牲層是由有機材料組成。
- 如申請專利範圍第7項所述之方法,進一步包含:於形成該第一區塊遮罩後,在該硬式遮罩上且鄰近該第一心軸形成側壁間隔件,其中,該第一區塊遮罩是由二氧化矽組成,且該側壁間隔件是由二氧化矽組成。
- 如申請專利範圍第7項所述之方法,進一步包含:於形成該第一區塊遮罩後,在該硬式遮罩上且鄰近該第一心軸形成側壁間隔件,其中,該第一區塊遮罩是由氧化鈦組成,且該側壁間隔件是由氧化鈦組成。
- 一種製作半導體裝置之方法,包含:在層間介電層上方沉積硬式遮罩;形成覆蓋該硬式遮罩上的第一區域的第一區塊遮罩;在該第一區塊遮罩及該硬式遮罩上方形成犧牲層;以及圖案化該犧牲層以形成延伸通過該第一區塊遮罩的第一心軸;其中,該犧牲層是由有機材料組成。
- 如申請專利範圍第11項所述之方法,進一步包含:於形成該第一區塊遮罩後,在該硬式遮罩上且鄰近該第一心軸形成側壁間隔件。
- 如申請專利範圍第12項所述之方法,其中,該第一區塊遮罩是由氧化鈦或二氧化矽組成,而該側壁間隔件是由氧化鈦或二氧化矽組成。
- 如申請專利範圍第12項所述之方法,其中,該第一區塊遮罩及該側壁間隔件是由二氧化矽組成。
- 如申請專利範圍第12項所述之方法,其中,該第一區塊遮罩是由第一材料組成,而該側壁間隔件是由第二材料組成。
- 如申請專利範圍第15項所述之方法,其中,該第一材料是氧化鈦或二氧化矽,而該第二材料是氧化鈦或二氧化矽。
- 如申請專利範圍第12項所述之方法,其中,該第一心軸具有第一長度,該側壁間隔件具有厚度,該第一區塊遮罩具有平行於該第一心軸的該第一長度的第二長度,而該第二長度大於該側壁間隔件的該厚度的兩倍。
- 如申請專利範圍第17項所述之方法,其中,該第一心軸於圖案化該犧牲層後具有第一寬度,該第一區塊遮罩於圖案化該犧牲層後具有第二寬度,而該第二寬度大於該第一寬度。
- 如申請專利範圍第18項所述之方法,進一步包含:實施自對準蝕刻程序以蝕刻該第一區塊遮罩,使得該第一區塊遮罩的該第二寬度減小至等於該第一心軸的該第一寬度。
- 如申請專利範圍第11項所述之方法,其中,在該第一區塊遮罩及該硬式遮罩上方形成該犧牲層包含:以旋轉塗佈程序施加該犧牲層。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/980,085 US10692812B2 (en) | 2018-05-15 | 2018-05-15 | Interconnects with variable space mandrel cuts formed by block patterning |
US15/980,085 | 2018-05-15 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202011454A TW202011454A (zh) | 2020-03-16 |
TWI727302B true TWI727302B (zh) | 2021-05-11 |
Family
ID=68419867
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108113058A TWI727302B (zh) | 2018-05-15 | 2019-04-15 | 具有藉由區塊圖案化形成之可變空間心軸切口的互連 |
Country Status (3)
Country | Link |
---|---|
US (1) | US10692812B2 (zh) |
DE (1) | DE102019205284A1 (zh) |
TW (1) | TWI727302B (zh) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10777413B2 (en) * | 2018-07-12 | 2020-09-15 | Globalfoundries Inc. | Interconnects with non-mandrel cuts formed by early block patterning |
EP3840034B1 (en) * | 2019-12-19 | 2022-06-15 | Imec VZW | Method for producing nanoscaled electrically conductive lines for semiconductor devices |
CN114373713A (zh) * | 2020-10-14 | 2022-04-19 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US20230178379A1 (en) * | 2021-12-07 | 2023-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Film deposition for patterning process |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20180096846A1 (en) * | 2016-10-04 | 2018-04-05 | International Business Machines Corporation | Selective gas etching for self-aligned pattern transfer |
Family Cites Families (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9786597B2 (en) | 2013-03-11 | 2017-10-10 | International Business Machines Corporation | Self-aligned pitch split for unidirectional metal wiring |
US9240346B2 (en) * | 2013-03-14 | 2016-01-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Double patterning method |
US9099400B2 (en) | 2013-09-30 | 2015-08-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device manufacturing methods |
US9177797B2 (en) | 2013-12-04 | 2015-11-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithography using high selectivity spacers for pitch reduction |
CN106298645B (zh) * | 2016-08-17 | 2019-04-02 | 深圳市华星光电技术有限公司 | 一种tft基板的制备方法 |
US9711447B1 (en) | 2016-10-11 | 2017-07-18 | Globalfoundries Inc. | Self-aligned lithographic patterning with variable spacings |
US9852986B1 (en) | 2016-11-28 | 2017-12-26 | Globalfoundries Inc. | Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit |
WO2019013891A1 (en) * | 2017-07-12 | 2019-01-17 | Applied Materials, Inc. | CYCLIC CONFORMAL DEPOSITION / REINFORCEMENT / ETCHING FOR FILLING INS |
-
2018
- 2018-05-15 US US15/980,085 patent/US10692812B2/en active Active
-
2019
- 2019-04-12 DE DE102019205284.3A patent/DE102019205284A1/de not_active Withdrawn
- 2019-04-15 TW TW108113058A patent/TWI727302B/zh active
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20180096846A1 (en) * | 2016-10-04 | 2018-04-05 | International Business Machines Corporation | Selective gas etching for self-aligned pattern transfer |
Also Published As
Publication number | Publication date |
---|---|
DE102019205284A1 (de) | 2019-11-21 |
US20190355658A1 (en) | 2019-11-21 |
TW202011454A (zh) | 2020-03-16 |
US10692812B2 (en) | 2020-06-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI727302B (zh) | 具有藉由區塊圖案化形成之可變空間心軸切口的互連 | |
CN108573917B (zh) | 非芯轴切口形成 | |
US10636698B2 (en) | Skip via structures | |
TWI708354B (zh) | 多向自對準多圖案化 | |
US9905424B1 (en) | Self-aligned non-mandrel cut formation for tone inversion | |
US9711447B1 (en) | Self-aligned lithographic patterning with variable spacings | |
TWI734970B (zh) | 使用阻擋遮罩所形成之具有心軸切口的多重圖案化 | |
CN108735661B (zh) | 预间隔物自对准切口形成 | |
TW201931522A (zh) | 圖案化可變寬度金屬化線之方法 | |
CN108091551B (zh) | 自对准光刻图案化 | |
US11114338B2 (en) | Fully aligned via in ground rule region | |
US10319626B1 (en) | Interconnects with cuts formed by block patterning | |
TWI668875B (zh) | 具有浮島的片上電容器 | |
TWI697075B (zh) | 線圖案化期間具自對準之先裁切方法 | |
KR101168507B1 (ko) | 반도체 소자 및 그 형성 방법 | |
US11869808B2 (en) | Top via process with damascene metal | |
JP4097702B2 (ja) | 単一ビアエッチングおよび二重充填プロセスによって形成された集積回路の多層配線構造 | |
TWI555122B (zh) | 半導體元件之內連線結構其製備方法 |