DE102019205284A1 - Zwischenverbindungen mit Dornschnitten mit variablem Raum gebildet durch Blockstrukturierung - Google Patents

Zwischenverbindungen mit Dornschnitten mit variablem Raum gebildet durch Blockstrukturierung Download PDF

Info

Publication number
DE102019205284A1
DE102019205284A1 DE102019205284.3A DE102019205284A DE102019205284A1 DE 102019205284 A1 DE102019205284 A1 DE 102019205284A1 DE 102019205284 A DE102019205284 A DE 102019205284A DE 102019205284 A1 DE102019205284 A1 DE 102019205284A1
Authority
DE
Germany
Prior art keywords
mandrel
block mask
width
mask
sacrificial layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102019205284.3A
Other languages
English (en)
Inventor
Ravi Prakash Srivastava
Hui Zang
Jiehui SHU
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102019205284A1 publication Critical patent/DE102019205284A1/de
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren zum Herstellen einer Verbindungsstruktur. Eine Hartmaske wird über einer dielektrischen Zwischenschicht abgeschieden und eine Blockmaske wird gebildet, die einen Bereich auf der Hartmaske bedeckt. Über der Blockmaske und der Hartmaske wird eine Opferschicht gebildet, und die Opferschicht wird strukturiert, um einen Dorn zu bilden, der sich quer zur Blockmaske erstreckt.

Description

  • Hintergrund
  • Die vorliegende Erfindung betrifft die Herstellung von Halbleitervorrichtungen und integrierten Schaltungen und insbesondere Verfahren zur selbstausgerichteten Mehrfachstrukturierung.
  • Eine Back-End-of-Line (BEOL) -Verbindungsstruktur kann verwendet werden, um Vorrichtungsstrukturen, die während der Front-End-of-Line (FEOL) -Verarbeitung auf einem Substrat hergestellt wurden, untereinander und mit der Umgebung außerhalb des Chips zu verbinden. Selbstausgerichtete Strukturierungsprozesse, die zum Bilden einer BEOL-Verbindungsstruktur verwendet werden, umfassen lineare Dornen, die als Opfermerkmale fungieren, die einen Merkmalsabstand festlegen. Nicht-Dornlinien sind als lineare Räume zwischen Seitenwandabstandshaltern angeordnet, die benachbart zu den Seitenwänden der Dornen gebildet werden. Nach dem Ziehen der Dornen zum Festlegen von Dornlinien werden die Seitenwandabstandshalter als Ätzmasken verwendet, um eine Struktur, die auf den Dornlinien und den Nicht-Dornlinien basiert, in eine darunterliegende Hartmaske zu ätzen. Die Struktur wird anschließend von der Hartmaske auf eine dielektrische Zwischenschicht übertragen, um Gräben zu definieren, in denen die Drähte der BEOL-Verbindungsstruktur gebildet werden.
  • In den Dornen können Dornschnitte gebildet werden, um die Dornen zu schneiden und Unterbrechungen zwischen den Abschnitten zu definieren. Nicht-Dom-Schnitte können auch entlang von Nicht-Dorn-Linien gebildet werden und können Abschnitte des Abstandshaltermaterials umfassen, die zum Bilden der Seitenwand-Abstandshalter verwendet werden. Die Dornschnitte und Nicht-Dornschnitte sind in der Struktur gebildet, das auf die Hartmaske übertragen und anschließend von der Hartmaske übertragen wird, um die Gräben in der dielektrischen Zwischenschicht zu bilden. Die Dornschnitte und Nicht-Dornschnitte erscheinen in der BEOL-Verbindungsstruktur als benachbarte Drähte, die an ihren Spitzen mit einem Abstand von Spitze zu Spitze in Bezug auf die Abmessung der Diskontinuität beabstandet sind.
  • Der Abstand von Spitze zu Spitze für einen geschnittenen Dorn ist auf einen Abstand begrenzt, der dem Zweifachen der Dicke der Seitenwandabstandshalter entspricht. Wenn der Abstand von Spitze zu Spitze größer ist als dieser Abstand, werden die Seitenwandabstandshalter nicht innerhalb des Dornschnittes zwischen den Abschnitten des Dorns zusammengeführt, was zu einer unvollständigen Füllung des Dornschnittes führt. Quer zur Länge des geschnittenen Dorns ist der Dornschnitt in dem Muster zwischen Nicht-Dornlinien angeordnet, die die Schnittdornlinie flankieren. Das Ergebnis der unvollständigen Füllung kann ein Kurzschluss zwischen den Drähten in der BEOL-Verbindungsstruktur sein, die unter Verwendung der Nicht-Dornlinien an der Stelle des Dornschnittes gebildet wird. Außerdem kann das Umwickeln der Seitenwandabstandshalter um die Spitzen der Abschnitte des geschnittenen Dorns zu Kerben oder Vertiefungen an den Seitenkanten der zusammengefügten Seitenwandabstandshalter führen. Diese Kerben oder Vertiefungen können metallische Unebenheiten bilden, die von den Seitenkanten von Drähten vorstehen, die unter Verwendung der benachbarten Nicht-Dornlinien in den Dornschnitt gebildet werden. Die Nähe dieser Metallunebenheiten kann auch zu einem Kurzschluss von Drähten in der BEOL-Verbindungsstruktur führen, die unter Verwendung der Nicht-Dorn-Leitungen gebildet wird.
  • Es sind verbesserte Verfahren zur selbstausgerichteten Mehrfachstrukturierung erforderlich.
  • Zusammenfassung
  • In einer Ausführungsform der Erfindung umfasst ein Verfahren ein Abscheiden einer Hartmaske über einer dielektrischen Zwischenschicht, wobei eine Blockmaske gebildet wird, die einen Bereich auf der Hartmaske bedeckt; ein Bilden einer Opferschicht über der Blockmaske und der Hartmaske und ein Strukturieren der Opferschicht, um einen Dorn zu bilden, der sich quer zur Blockmaske erstreckt.
  • Figurenliste
  • Die beiliegenden Zeichnungen, die in dieser Beschreibung enthalten sind und einen Teil davon bilden, veranschaulichen verschiedene Ausführungsformen der Erfindung und dienen zusammen mit einer oben gegebenen allgemeinen Beschreibung der Erfindung und der detaillierten Beschreibung der unten angegebenen Ausführungsformen der Erläuterung die Ausführungsformen der Erfindung.
    • 1 ist eine Draufsicht auf eine Struktur in einer anfänglichen Fertigungsphase eines Verarbeitungsverfahrens gemäß Ausführungsformen der Erfindung.
    • 2 ist eine Querschnittsansicht im Allgemeinen entlang der Linie 2-2 in 1.
    • 3 ist eine Querschnittsansicht der Struktur in einer Fertigungsphase des Verarbeitungsverfahrens nach 2.
    • 4 ist eine Draufsicht auf die Struktur in einer Fertigungsphase des Verarbeitungsverfahrens nach 3.
    • 5 ist eine Querschnittsansicht im Allgemeinen entlang der Linie 5-5 in 4.
    • 6 - 9 sind Querschnittsansichten der Struktur in aufeinanderfolgenden Fertigungsphasen nach 5.
    • 10 ist eine Draufsicht auf die Struktur in einer Fertigungsphase des Verarbeitungsverfahrens nach 9.
    • 11 ist eine Querschnittsansicht entlang der Linie 11-11 in 10.
    • 12 ist eine Draufsicht auf die Struktur in einer Fertigungsphase des Verarbeitungsverfahrens nach den 10, 11.
    • 13 ist eine Querschnittsansicht, die allgemein entlang der Linie 13-13 in 12 genommen ist.
  • Detaillierte Beschreibung
  • Mit Bezug auf die 1, 2 und gemäß den Ausführungsformen der Erfindung kann eine dielektrische Zwischenschicht 10 aus einem elektrisch isolierenden dielektrischen Material gebildet sein, wie z. B. mit Wasserstoff angereichertem Siliziumoxycarbid (SiCOH) oder einem anderen Typ eines dielektrischen Materials mit niedriger Dielektrizitätskonstante. Die dielektrische Zwischenschicht 10 kann sich auf einem Substrat befinden, das Vorrichtungsstrukturen umfasst, die gemäß einer Front-End-of-Line-Verarbeitung (FEOL) hergestellt werden, um eine integrierte Schaltung zu bilden. Eine Hartmaske 12 befindet sich auf der oberen Oberfläche der dielektrischen Zwischenschicht 10. Die Hartmaske 12 kann aus einem Metall, wie beispielsweise Titannitrid (TiN), das durch eine physikalische Gasphasenabscheidung (PVD) abgeschieden wird, und/oder einem dielektrischen Material gebildet werden, wie z B. Siliziumnitrid (Si3N4), das mittels einer chemischen Gasphasenabscheidung (CVD) abgeschieden wird. Die Hartmaske 12 kann von der dielektrischen Zwischenschicht 10 entfernt werden, die bezüglich dem Material der dielektrischen Zwischenschicht 10 selektiv ist. Wie hier verwendet, bedeutet der Begriff „selektiv“ in Bezug auf einen Materialentfernungsprozess (z. B. Ätzen), dass die Materialentfernungsrate (d. h. die Ätzrate) für das Zielmaterial größer ist als die Materialentfernungsrate (d.h. Ätzrate) für mindestens ein anderes Material, das dem Materialentfernungsprozess ausgesetzt wird.
  • Auf der oberen Oberfläche der Hartmaske 12 wird eine Blockmaske 14 an einer strategischen Stelle gebildet und anschließend in dem Prozessablauf verwendet, um einen Dornschnitt zu bilden. Die Blockmaske 14 kann aus einer Schicht des sie bildenden Materials gebildet werden, die abgeschieden und dann mittels Lithographie und Ätzen strukturiert wird. Die Blockmaske 14 besteht aus einem dielektrischen Material wie Titanoxid (TiO2) oder Siliziumdioxid (SiO2), das zum Beispiel durch eine chemische Gasphasenabscheidung (CVD) abgeschieden wird. Die Blockmaske 14 ist durch Abmessungen gekennzeichnet, die in der Strukturierung festgelegt werden. In einer Ausführungsform kann die Blockmaske 14 mit einer Länge L1 und einer Breite W rechteckig sein, die in der Strukturierung festgelegt werden.
  • Mit Bezug auf 3, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 2 beziehen, und in einer nachfolgenden Fertigungsphase des Verarbeitungsverfahrens werden eine Opferschicht 16 und eine Hartmaske 18 über der Hartmaske 12 und der Blockmaske 14 gebildet. Die Hartmaske 18 wird in der Mehrfachstrukturierung zur Übertragung der Struktur auf die Opferschicht 16 verwendet. Die Opferschicht 16 ist dicker als die Blockmaske 14, so dass die Blockmaske 14 in die Opferschicht 16 eingebettet wird.
  • Das dielektrische Material, aus dem die Blockmaske 14 gebildet ist, und das Material, aus dem die Opferschicht 16 gebildet ist, sind so gewählt, dass das Material der Opferschicht 16 relativ zu dem Material der Blockmaske 14 selektiv geätzt werden kann. Die Opferschicht 16 kann aus einem Spin-On-Hartmaskenmaterial gebildet werden, wie einem organischen Planarisierungsschichtmaterial (OPL-Material) oder aus amorphem Silizium (a-Si). Die Hartmaske 18 kann aus einem dielektrischen Material wie Siliziumnitrid (Si3N4) gebildet sein, das beispielsweise mittels Atomlagenabscheidung (ALD) abgeschieden wird. Die Materialien der Opferschicht 16 und der Hartmaske 18 sind auch so ausgewählt, dass sie eine hohe Ätzselektivität relativ zueinander aufweisen. Die Ätzselektivität von Siliziumdioxid beträgt zum Beispiel gegenüber Silizium ungefähr 10: 1.
  • Mit Bezug auf die 4, 5, in denen sich gleiche Bezugszahlen auf gleiche Merkmale in 3 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens werden Dorne 19, 20, 21, die auf einer oberen Oberfläche der Hartmaske 12 angeordnet sind, aus der Opferschicht 16 gebildet. Es kann zum Beispiel ein selbstausgerichteter Doppelstrukturierungsprozess (SADP-Prozess) oder ein selbstausgerichteter Vierfachstrukturierungsprozess (SAQP-Prozess) verwendet werden, um die Hartmaske 18 mit einem Ätzprozess zu strukturieren, der wiederum verwendet wird, um die Dorne 19, 20, 21 durch einen anderen Ätzprozess zu strukturieren. Infolge der Platzierung während der Strukturierung erstreckt sich der Dorn 20 in einer Längsrichtung parallel zur Länge der Blockmaske 14 quer zur Blockmaske 14 (d. h. überlappt diese). Um die relative Platzierung zu unterstützen, so dass die Überlappung bereitgestellt wird, ist der Dorn 20 schmäler als die Blockmaske 14 und als ein Ergebnis werden die Seitenkanten der Blockmaske 14 zwischen dem Dorn 19 und dem Dorn 20 freigelegt und auch zwischen dem Dorn 20 und dem Dorn 21 freigelegt. In einer alternativen Ausführungsform kann die Blockmaske 14 breiter gemacht werden, so dass sich wenigstens einer der Dorne 19 und 21 auch quer zu der Blockmaske 14 erstreckt.
  • Mit Bezug auf 6, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 5 beziehen, und in einer nachfolgenden Fertigungsphase des Verarbeitungsverfahrens werden die freiliegenden Seitenkanten der Blockmaske 14 in einem selbstausgerichteten Ätzprozess, der auf dem Dorn 20 als einer Ätzmaske beruht, getrimmt und entfernt. Der Ätzprozess kann ein reaktives lonenätzen (RIE) sein, das das Material der Blockmaske 14 bezüglich den Materialien der Hartmaske 12 und der Hartmaske 18 selektiv entfernt. Die Breite W2 der getrimmten Blockmaske 14 ist gleich der Breite des Dorns 20, der gebildet wird, wenn die Dorne 19, 20, 21 gebildet werden.
  • Mit Bezug auf 7, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 6 beziehen, und in einer nachfolgenden Fertigungsphase des Verarbeitungsverfahrens werden die Abschnitte der Hartmaske 18 entfernt und anschließend wird eine konforme Schicht 22 aus einem dielektrischen Material unter Verwendung von beispielsweise einer Atomlagenabscheidung (atomic layer deposition, ALD) abgeschieden. Das Material, das die konforme Schicht 22 bildet, kann so gewählt werden, dass es durch eine gegebene Ätzchemie entfernt wird, die bezüglich dem Material der Dornen 19, 20, 21 selektiv ist. Wenn beispielsweise die Dorne 19, 20, 21 aus amorphem Silizium gebildet sind, kann das dielektrische Material, das die konforme Schicht 22 bildet, beispielsweise aus Titanoxid (TiO2) oder Siliziumdioxid (SiO2) gebildet sein.
  • Das die Blockmaske 14 bildende dielektrische Material wird auch so gewählt, dass die aus der Opferschicht 16 gebildeten Dorne 19, 20, 21 gezogen werden können, ohne dass die Blockmaske 14 entfernt wird. In einer Ausführungsform kann das dielektrische Material, das die Blockmaske 14 bildet, gleich dem dielektrischen Material sein, das die konforme Schicht 22 bildet. Wenn zum Beispiel die Blockmaske 14 aus Titanoxid (TiO2) gebildet ist, kann das dielektrische Material, das die konforme Schicht 22 bildet, aus Titanoxid (TiO2) gebildet sein. Wenn die Blockmaske 14 gemäß einem weiteren Beispiel aus Siliziumdioxid (SiO2) gebildet ist, kann das dielektrische Material, das die konforme Schicht 22 bildet, aus Siliziumdioxid (SiO2) gebildet sein.
  • Nachdem die konforme Schicht 22 abgeschieden wurde, wird eine Blockmaske 24 gebildet, die einen Abschnitt des dielektrischen Materials der konformen Schicht 22 bedeckt, die in direktem Kontakt zu der Hartmaske 12 angeordnet ist. Die Blockmaske 24 kann aus einem organischen Material gebildet sein, z. B. ein Polymer, ein organisches Planarisierungsmaterial oder ein anderes Material. Die Blockmaske 24 kann durch Strukturieren einer Schicht aus organischem Material gebildet werden, die über der konformen Schicht 22 abgeschieden wird. Die Blockmaske 24 stellt einen Mechanismus zum Bilden eines Nicht-Dorn-Schnitts bereit, der schließlich als ein Schnitt in den Zwischenverbindungen erscheint.
  • Mit Bezug auf 8, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 7 beziehen, und in einer nachfolgenden Fertigungsphase des Verarbeitungsverfahrens werden Seitenwandabstandshalter 26 aus der konformen Schicht 22 an Stellen neben den vertikalen Seitenwänden der Dornen 19, 20, 21 gebildet. Die Seitenwandabstandshalter 26 können durch ein Formen der konformen Schicht 22 mit einem anisotropen Ätzprozess, wie zum Beispiel einem reaktiven Ionenätzen (RIE), gebildet werden, der das Material der konformen Schicht 22 bezüglich den Materialien der Dornen 19, 20, 21 selektiv entfernt. Die Seitenwandabstandshalter 26 weisen eine Dicke t auf, die nominell gleich der Dicke der konformen Schicht 22 sein kann.
  • Nicht-Dornlinien 28 sind als lineare Räume definiert, die zwischen den Seitenwandabstandshaltern 26 auf den Dornen 19, 20, 21 angeordnet sind und über denen Bereiche der Hartmaske 12 freigelegt sind. Die Blockmaske 24 maskiert das darunterliegende dielektrische Material der konformen Schicht 22, welches einen Nicht-Dorn-Schnittblock 30 bereitstellt, der in einer der Nicht-Dorn-Leitungen 28 angeordnet ist. Die Blockmaske 24 wird zum Beispiel durch Veraschung mit einem Plasma entfernt.
  • Mit Bezug auf 9, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 8 beziehen, und in einer nachfolgenden Fertigungsphase des Verarbeitungsverfahrens wird eine Spin-On-Hartmaske 32 aufgebracht und ausgespart, um die Nicht-Dorn-Linien 28 zu füllen. Die Spin-On-Hartmaske 32 kann ein organisches Planarisierungsschichtmaterial (OPL-Material) umfassen. Nachdem die Spin-On-Hartmaske 32 gebildet ist, wird ein Ätzprozess verwendet, um die Reste der konformen dielektrischen Schicht 22 über den Dornen 19, 20 zu entfernen, die während der Abstandshalterbildung durch die Blockmaske 24 geschützt wurden. Der Nicht-Dorn-Schnittblock 30 wird während des Ätzprozesses durch die Spin-On-Hartmaske 32 geschützt.
  • Mit Bezug auf die 10, 11, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in 9 beziehen, und in einer nachfolgenden Fertigungsphase des Verarbeitungsverfahrens wird die Spin-On-Hartmaske 32 zum Beispiel durch Veraschung mit einem Plasma entfernt und die Dorne 19, 20, 21 werden gezogen und bezüglich den Seitenwandabstandshaltern 26, Nicht-Dorn-Schnittblock 30 und der Blockmaske 14, die den Dornschnitt mit einem Ätzprozess bereitstellt, der eine geeignete Ätzchemie aufweist, selektiv entfernt. Das Entfernen der Dorne 19, 20, 21 erzeugt Dornlinien 34 als lineare Räume, die zwischen den Seitenwandabstandshaltern 26 angeordnet sind und über welchen Bereiche der Hartmaske 12 freigelegt sind. Die Nicht-Dornlinien 28 und die Dornlinien 34 sind als parallele Linien gebildet, die so angeordnet sind, dass sie sich abwechseln und Bereiche auf der oberen Oberfläche der Hartmaske 12 freilegen.
  • Die Blockmaske 14 unterbricht und schneidet die Kontinuität einer der Dornlinien 34 und unterteilt diese Dornlinie 34 in diskrete Abschnitte. Die Blockmaske 14 definiert anschließend die Stelle eines Schnittes zwischen einem Paar von linear ausgerichteten Metallverbindungen, die anschließend in der dielektrischen Zwischenschicht 10 gebildet werden, unter Verwendung der Abschnitte der zugehörigen Dornlinie 34. Die Länge der Blockmaske 14 in einer Richtung parallel zu der Länge der Abschnitte der zugehörigen Dornlinie 34 bestimmt einen Abstand d1 von Spitze zu Spitze zwischen diesen Abschnitten und bestimmt anschließend einen Abstand von Spitze zu Spitze zwischen den Spitzen oder Enden der Metallzwischenverbindungen, die an der Blockmaske 14 enden und einander quer zur Länge der Blockmaske 14 gegenüberliegen. Der Abstand von Spitze zu Spitze zwischen den Enden der Abschnitte der Dornlinie 34, der unabhängig von der Bildung der Seitenwandabstandshalter 26 definiert ist, kann größer sein als ein Raum, der doppelt so groß ist wie die Dicke der Seitenwandabstandshalter 26. Der Abstand von Spitze zu Spitze zwischen den Enden der Abschnitte der Dornlinie 34 kann durch Auswahl der Länge der Blockmaske 14 variiert werden und sieht Dornschnitte mit variablem Abstand vor, die unabhängig von der Dicke des Abstandhalters hergestellt werden können.
  • Mit Bezug auf die 12, 13, in denen sich gleiche Bezugszeichen auf gleiche Merkmale in den 10, 11 beziehen, und in einer nachfolgenden Fertigungsphase des Verarbeitungsverfahrens wird die Hartmaske 12 durch einen Ätzprozess strukturiert, um die Struktur von Nicht-Dornlinien 28 und der Dornlinien 34 auf die Hartmaske 12 zu übertragen, wobei die Blockmaske 14, die Seitenwandabstandshalter 26 und die Dornlinien 34 als eine Ätzmaske fungieren. Die Blockmaske 14, die Seitenwandabstandshalter 26 und der Nicht-Dorn-Schnittblock 30 können nach dem Übertragen der Struktur entfernt werden. Die dielektrische Zwischenschicht 10 wird dann durch einen Ätzprozess strukturiert, wobei die strukturierte Hartmaske 12 als eine Ätzmaske zum Übertragen des Musters von Nicht-Dornlinien 28 und der Dornlinien 34 auf die dielektrische Zwischenschicht 10 als Gräben 40 dient. Die dielektrische Zwischenschicht 10 wird durch die Hartmaske 12 maskiert und bleibt über den Bereichen, die zuvor von der Blockmaske 14 und dem Nicht-Dorn-Schnittblock 30 bedeckt waren, erhalten.
  • Eine Back-End-of-Line (BEOL) -Verbindungsstruktur 42 wird gebildet, indem die Gräben 40 in der dielektrischen Zwischenschicht 10 mit einem Leiter gefüllt werden, um die Zwischenverbindungen 44, 46, 48 als Merkmale in Form von Drähten zu bilden, die in die dielektrische Zwischenschicht 10 eingebettet werden. Ein Liner (nicht gezeigt) gebildet aus Titan (Ti), Titannitrid (TiN), Tantal (Ta), Tantalnitrid (TaN) oder einer geschichteten Kombination dieser Materialien (z. B. einer Doppelschicht) von TaN/Ta) kann auf die Gräben 40 aufgebracht werden, bevor sie mit einem primären elektrischen Leiter gefüllt werden. Der Primärleiter kann aus einem Metall mit niedrigem Widerstand gebildet sein, das unter Verwendung eines Abscheidungsprozesses gebildet wird, wie Kupfer (Cu) oder Cobalt (Co), das durch Elektroplattieren oder eine stromlose Abscheidung abgeschieden wird. Die Formen und Geometrien der Zwischenverbindungen 44, 46, 48 spiegeln die Bereiche wieder, die zur Grabenbildung in der dielektrischen Zwischenschicht 10 durch die strukturierte Hartmaske 12 freigelegt sind. In einer Ausführungsform können die Zwischenverbindungen 44, 46, 48 leitende Merkmale sein, die sich in einer ersten Metallisierungsebene (M0), die mehreren Metallisierungsebenen der BEOL-Zwischenverbindungsstruktur 42 den FEOL-Vorrichtungsstrukturen am nächsten liegen und bei der die Zwischenverbindungen 44, 46, 48 durch vertikale Kontakte in einem Kontakt (CA) -Niveau mit FEOL-Vorrichtungsstrukturen verbunden werden können.
  • Der Nicht-Dorn-Schnittblock 30 wird auf die Hartmaske 12 und von der Hartmaske 12 auf die dielektrische Zwischenschicht 10 als ein Schnitt 45 zwischen den linear ausgerichteten Zwischenverbindungen 44 übertragen, die einen Bereich definieren, über dem die dielektrische Zwischenschicht 10 nicht geätzt wird und bleibt intakt. Die Zwischenverbindungen 44 weisen einen Abstand von Spitze zu Spitze zwischen ihren jeweiligen Enden auf, die durch das Vorhandensein eines Teils des dielektrischen Materials der dielektrischen Zwischenschicht 10 in dem Schnitt 45 gebrochen werden, der durch eine Abmessung des Schnittes 45 parallel zu der Länge L2 der Zwischenverbindungen 44 gegeben ist. Die Zwischenverbindungen 48 sind durchgehend und nicht unterbrochen.
  • Die Blockmaske 14 wird auf die Hartmaske 12 und von der Hartmaske 12 auf die dielektrische Zwischenschicht 10 als ein Schnitt 47 zwischen den linear ausgerichteten Zwischenverbindungen 46 übertragen, die einen Bereich definieren, über den die dielektrische Zwischenschicht 10 nicht geätzt wird und verbleibt intakt. Die Leiterbahnen 46 weisen zwischen ihren jeweiligen Enden einen Abstand von Spitze zu Spitze auf, der durch das Vorhandensein eines Abschnitts des dielektrischen Materials der dielektrischen Zwischenschicht 10 in dem Schnitt 47 unterbrochen wird, der durch eine Abmessung des Schnitts 47 parallel zu der Länge der Zwischenverbindungen 46 gegeben wird. Der Abschnitt der dielektrischen Zwischenschicht 10 ist räumlich mit der Blockmaske 14 in der Struktur korreliert, die auf die dielektrische Zwischenschicht 10 übertragen wird.
  • Der Abstand von Spitze zu Spitze für den Schnitt 45 ist nicht auf einen Abstand beschränkt, der doppelt so groß ist wie die Dicke der Seitenwandabstandshalter. Da der Schnitt 45 unter Verwendung der Blockmaske 14 anstelle der Seitenwandabstandshalter 26 gebildet wird, kann der Abstand von Spitze zu Spitze der Zwischenverbindungen 46 diesen Abstand überschreiten, ohne dass die Verbindungsleitungen kurzgeschlossen werden. Der Abstand von Spitze zu Spitze für den Schnitt 45 ist ein variabler Raum, der als Teil des Vorrichtungsdesigns ausgewählt werden kann. Da sich die Seitenwandabstandshalter 26 nicht um die Spitzen der Abschnitte des geschnittenen Dorns wickeln müssen, gibt es keine Vertiefungen, die ansonsten Metallunebenheiten erzeugen könnten, die von den Seitenkanten der in den Schnitt 45 angrenzenden Verbindungen hervorstehen.
  • Die oben beschriebenen Verfahren werden bei der Herstellung von integrierten Schaltungschips verwendet. Die resultierenden integrierten Schaltungschips können vom Hersteller in der Form von rohen Wafern (z. B. als ein einzelner Wafer, der mehrere nichtverpackte Chips aufweist), als bloßer Chip oder in einer verpackten Form vertrieben werden. Der Chip kann mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signalverarbeitungsvorrichtungen als Teil von entweder einem Zwischenprodukt oder einem Endprodukt integriert werden. Das Endprodukt kann ein beliebiges Produkt sein, das integrierte Schaltungschips umfasst, beispielsweise Computerprodukte mit einem Zentralprozessor oder Smartphones.
  • Bezugnahmen hierin auf Ausdrücke wie „vertikal“, „horizontal“, „lateral“ usw. werden beispielhaft und nicht einschränkend gemacht, um einen Bezugsrahmen zu schaffen. Begriffe wie „horizontal“ und „lateral“ beziehen sich auf Richtungen in einer Ebene, die parallel zu einer oberen Oberfläche eines Halbleitersubstrats ist, unabhängig von ihrer tatsächlichen dreidimensionalen räumlichen Orientierung. Begriffe wie „vertikal“ und „normal“ beziehen sich auf eine Richtung senkrecht zur „horizontalen“ und „seitlichen“ Richtung. Begriffe wie „oben“ und „unten“ geben die Positionierung von Elementen oder Strukturen relativ zueinander und/oder zur oberen Oberfläche des Halbleitersubstrats im Gegensatz zur relativen Erhebung an.
  • Ein Merkmal, das mit oder mit einem anderen Element „verbunden“ oder „gekoppelt“ ist, kann direkt mit dem anderen Element verbunden oder gekoppelt sein, oder es können stattdessen ein oder mehrere dazwischenliegende Elemente vorhanden sein. Ein Merkmal kann mit einem anderen Element „direkt verbunden“ oder „direkt gekoppelt“ sein, wenn keine dazwischenliegenden Elemente vorhanden sind. Ein Merkmal kann mit einem anderen Element „indirekt verbunden“ oder „indirekt gekoppelt“ sein, wenn mindestens ein dazwischen liegendes Element vorhanden ist.
  • Die Beschreibungen der verschiedenen Ausführungsformen der vorliegenden Erfindung wurden zum Zwecke der Veranschaulichung vorgelegt, sollen aber nicht erschöpfend sein oder auf die offenbarten Ausführungsformen beschränkt sein. Für den Durchschnittsfachmann sind viele Modifikationen und Variationen offensichtlich, ohne vom Umfang und vom Geist der beschriebenen Ausführungsformen abzuweichen. Die hierin verwendete Terminologie wurde gewählt, um die Prinzipien der Ausführungsformen, die praktische Anwendung oder technische Verbesserung von auf dem Markt befindlichen Technologien am besten zu erklären, oder um anderen Fachleuten das Verständnis der hier offenbarten Ausführungsformen zu ermöglichen.

Claims (20)

  1. Verfahren, umfassend: ein Abscheiden einer Hartmaske über einer dielektrischen Zwischenschicht; ein Bilden einer ersten Blockmaske, die auf der Hartmaske einen ersten Bereich bedeckt; ein Bilden einer Opferschicht über der ersten Blockmaske und der Hartmaske; und ein Strukturieren der Opferschicht, um einen ersten Dorn zu bilden, der sich quer zur ersten Blockmaske erstreckt.
  2. Verfahren nach Anspruch 1, ferner umfassend: nach dem Bilden der ersten Blockmaske ein Bilden eines Seitenwandabstandshalters auf der Hartmaske und neben dem ersten Dorn.
  3. Verfahren nach Anspruch 2, wobei die erste Blockmaske aus Titanoxid oder Siliziumdioxid gebildet ist und der Seitenwandabstandshalter aus Titanoxid oder Siliziumdioxid gebildet ist.
  4. Verfahren nach Anspruch 2, wobei die erste Blockmaske und der Seitenwandabstandshalter aus Titanoxid gebildet sind.
  5. Verfahren nach Anspruch 2, wobei die erste Blockmaske und der Seitenwandabstandshalter aus Siliziumdioxid gebildet sind.
  6. Verfahren nach Anspruch 2, wobei die erste Blockmaske aus einem ersten Material gebildet ist, der Seitenwandabstandshalter aus einem zweiten Material gebildet ist und der erste Dorn aus einem dritten Material gebildet ist, das durch einen Ätzprozess bezüglich dem ersten Material und dem zweite Material selektiv entfernbar ist.
  7. Verfahren nach Anspruch 6, wobei das erste Material Titanoxid oder Siliziumdioxid ist, das zweite Material Titanoxid oder Siliziumdioxid ist und das dritte Material ein organisches Material ist.
  8. Verfahren nach Anspruch 2, wobei der erste Dorn eine erste Länge aufweist, der Seitenwandabstandshalter eine Dicke aufweist, die erste Blockmaske eine zweite Länge parallel zu der ersten Länge des ersten Dorns aufweist und die zweite Länge größer ist als das Doppelte der Dicke des Seitenwandabstandshalters.
  9. Verfahren nach Anspruch 8, wobei der erste Dorn nach dem Strukturieren der Opferschicht eine erste Breite aufweist, die erste Blockmaske nach dem Strukturieren der Opferschicht eine zweite Breite aufweist und die zweite Breite größer ist als die erste Breite.
  10. Verfahren nach Anspruch 9, ferner umfassend: ein Durchführen eines selbstausgerichteten Ätzprozesses zum Ätzen der ersten Blockmaske derart, dass die zweite Breite der ersten Blockmaske reduziert wird, so dass sie gleich der ersten Breite des ersten Dorns ist.
  11. Verfahren nach Anspruch 1, wobei der erste Dorn nach dem Strukturieren der Opferschicht eine erste Breite aufweist, die erste Blockmaske nach dem Strukturieren der Opferschicht eine zweite Breite aufweist und die zweite Breite größer ist als die erste Breite.
  12. Verfahren nach Anspruch 11, weiter umfassend: ein Trimmen der ersten Blockmaske, um die zweite Breite der ersten Blockmaske zu reduzieren, wobei die zweite Breite des ersten Dorns unverändert ist, wenn die erste Blockmaske getrimmt wird.
  13. Verfahren nach Anspruch 12, wobei die zweite Breite der ersten Blockmaske nach dem Trimmen gleich der ersten Breite des ersten Dorns ist.
  14. Verfahren nach Anspruch 1, wobei ein zweiter Dorn auf der Hartmaske gebildet wird, der zweite Dorn von dem ersten Dorn durch eine Nicht-Dornlinie getrennt wird, die einen zweiten Bereich auf der Hartmaske freilegt, und ferner umfassend: nach dem Bilden der ersten Blockmaske ein Bilden einer zweiten Blockmaske, die einen Abschnitt des zweiten Bereichs der Hartmaske bedeckt, der durch die Nicht-Dornlinie freigelegt wird.
  15. Verfahren nach Anspruch 1, wobei das Bilden der Opferschicht über der ersten Blockmaske und der Hartmaske umfasst: ein Aufbringen der Opferschicht mit einem Spin-On-Prozess.
  16. Verfahren nach Anspruch 15, wobei die Opferschicht aus einem organischen Material gebildet ist.
  17. Verfahren nach Anspruch 1, wobei die Opferschicht aus einem organischen Material gebildet ist.
  18. Verfahren nach Anspruch 1, ferner umfassend: ein Entfernen des ersten Dorns, um eine Dornlinie bereitzustellen, die einen zweiten Bereich auf der Hartmaske freilegt; und ein Ätzen der Hartmaske mit einem ersten Ätzprozess, um eine Struktur basierend auf der Dornlinie und der ersten Blockmaske auf die Hartmaske zu übertragen.
  19. Verfahren nach Anspruch 18, ferner umfassend: ein Ätzen der dielektrischen Zwischenschicht mit einem zweiten Ätzprozess, um die Struktur von der Hartmaske zu übertragen, so dass mehrere Gräben in der dielektrischen Zwischenschicht gebildet werden; und ein Füllen der Mehrzahl von Gräben mit einem Leiter, um eine erste Verbindung und eine zweite Verbindung zu bilden, die in einer Reihe mit der ersten Verbindung ausgerichtet sind, wobei ein Abschnitt der dielektrischen Zwischenschicht zwischen einem Ende der ersten Zwischenverbindung und einem Ende der zweiten Zwischenverbindung angeordnet ist und der Teil der dielektrischen Zwischenschicht zwischen der ersten Blockmaske in der Struktur räumlich korreliert ist.
  20. Verfahren nach Anspruch 18, wobei die erste Blockmaske nach dem Entfernen des ersten Dorns freigelegt wird und die Dornlinie als einen Schnitt unterbricht.
DE102019205284.3A 2018-05-15 2019-04-12 Zwischenverbindungen mit Dornschnitten mit variablem Raum gebildet durch Blockstrukturierung Withdrawn DE102019205284A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/980,085 2018-05-15
US15/980,085 US10692812B2 (en) 2018-05-15 2018-05-15 Interconnects with variable space mandrel cuts formed by block patterning

Publications (1)

Publication Number Publication Date
DE102019205284A1 true DE102019205284A1 (de) 2019-11-21

Family

ID=68419867

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019205284.3A Withdrawn DE102019205284A1 (de) 2018-05-15 2019-04-12 Zwischenverbindungen mit Dornschnitten mit variablem Raum gebildet durch Blockstrukturierung

Country Status (3)

Country Link
US (1) US10692812B2 (de)
DE (1) DE102019205284A1 (de)
TW (1) TWI727302B (de)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10777413B2 (en) * 2018-07-12 2020-09-15 Globalfoundries Inc. Interconnects with non-mandrel cuts formed by early block patterning
EP3840034B1 (de) * 2019-12-19 2022-06-15 Imec VZW Verfahren zur herstellung von nanoskalierten leiterbahnen für halbleiterbauelemente
CN114373713A (zh) * 2020-10-14 2022-04-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20230178379A1 (en) * 2021-12-07 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Film deposition for patterning process

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9786597B2 (en) 2013-03-11 2017-10-10 International Business Machines Corporation Self-aligned pitch split for unidirectional metal wiring
US9240346B2 (en) * 2013-03-14 2016-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Double patterning method
US9099400B2 (en) 2013-09-30 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device manufacturing methods
US9177797B2 (en) 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
CN106298645B (zh) * 2016-08-17 2019-04-02 深圳市华星光电技术有限公司 一种tft基板的制备方法
US10032632B2 (en) * 2016-10-04 2018-07-24 International Business Machines Corporation Selective gas etching for self-aligned pattern transfer
US9711447B1 (en) 2016-10-11 2017-07-18 Globalfoundries Inc. Self-aligned lithographic patterning with variable spacings
US9852986B1 (en) 2016-11-28 2017-12-26 Globalfoundries Inc. Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
WO2019013891A1 (en) * 2017-07-12 2019-01-17 Applied Materials, Inc. CYCLIC CONFORMAL DEPOSITION / REINFORCEMENT / ETCHING FOR FILLING INS

Also Published As

Publication number Publication date
TW202011454A (zh) 2020-03-16
US10692812B2 (en) 2020-06-23
US20190355658A1 (en) 2019-11-21
TWI727302B (zh) 2021-05-11

Similar Documents

Publication Publication Date Title
DE102013220852B4 (de) Integrierte Schaltungen und Verfahren zum Herstellen von integrierten Schaltungen mit Metall-Gate-Elektroden
DE102019205284A1 (de) Zwischenverbindungen mit Dornschnitten mit variablem Raum gebildet durch Blockstrukturierung
DE102018203792B4 (de) Nicht-Dorn-Schnittbildung
DE102018110326B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102005027234B4 (de) Verfahren zum Bilden einer Verbindungsstruktur für eine Halbleitervorrichtung
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE102019203596B4 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
DE102007020268B3 (de) Halbleiterbauelement und Verfahren zum Verhindern der Ausbildung von elektrischen Kurzschlüssen aufgrund von Hohlräumen in der Kontaktzwischenschicht
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102018208142B4 (de) Multidirektionale selbstausgerichtete Mehrfachstrukturierung
DE102019200054B4 (de) Verfahren zum Strukturieren von Metallisierungsleitungen mit variabler Breite
DE102012207116A1 (de) Mehrschichtverbindungsstrukturen und Verfahren für integrierte Schaltungen
DE102007057682A1 (de) Hybridkontaktstruktur mit Kontakt mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102018205693B4 (de) Verfahren zum bilden von selbstausgerichteten schnitten
DE102019212488A1 (de) Verbindungen gebildet durch eine Metallverdrängungsreaktion
DE102005031389A1 (de) Widerstandselement mit einem gleichförmigen, von Verfahrensschwankungen unabhängigen Widerstandswert; Integrierte Halbleitervorrichtung mit einem solchen Widerstandselement, sowie Herstellungsverfahren dafür
DE102013103976A1 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE102014108790B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit Vorrichtungstrennungsstrukturen und Halbleitervorrichtung
DE102019203224B4 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen
DE102008006960A1 (de) Selbstjustierte Kontaktstruktur in einem Halbleiterbauelement
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102019104627A1 (de) Halbleiter-Bauelement mit selbstjustierten Durchkontaktierungen
DE102020119491A1 (de) Halbleitervorrichtungen
DE102020132537A1 (de) Dreidimensionale Speichervorrichtung und Herstellungsverfahren dafür

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R120 Application withdrawn or ip right abandoned