TW201909368A - 多向自對準多圖案化 - Google Patents
多向自對準多圖案化 Download PDFInfo
- Publication number
- TW201909368A TW201909368A TW107108667A TW107108667A TW201909368A TW 201909368 A TW201909368 A TW 201909368A TW 107108667 A TW107108667 A TW 107108667A TW 107108667 A TW107108667 A TW 107108667A TW 201909368 A TW201909368 A TW 201909368A
- Authority
- TW
- Taiwan
- Prior art keywords
- mandrel
- interconnect
- dielectric layer
- interlayer dielectric
- end surface
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/538—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
- H01L23/5386—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/30—Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
- H01L22/32—Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Geometry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
本發明揭露互連結構以及製造互連結構的方法。第一以及第二非心軸互連件形成於一層間介電層中。該第一非心軸互連件以及該第二非心軸互連件各具有在一第一方向延伸的側面。連接互連件從該第一非心軸互連件的該側面向該第二非心軸互連件的該側面,在橫向於該第一方向的一第二方向延伸。
Description
本發明關於積體電路以及半導體裝置製造,更具體地,關於互連結構以及製造互連結構的方法。
一後段製程(back-end-of-line;BEOL)互連結構可用於使在前段製程(front-end-of-line;FEOL)期間形成於一基板上的各裝置結構相互連接,以及使其與晶片外部的環境相連接。用於形成一BEOL互連結構的自對準製程涉及心軸(mandrel)作為建立一特徵間距的犧牲特徵。側壁間隔件,其具有小於光學光刻的現行基本規則所允許的一厚度,形成在該心軸的垂直側壁的附近。在選擇性移除該心軸後,側壁間隔件用於作為一蝕刻遮罩以蝕刻一底層硬遮罩,例如,通過一定向反應離子蝕刻(reactive ion etch;RIE)製程。圖案中的未遮罩特徵從該硬遮罩轉移至一介電層以定義形成該BEOL互連結構的導線於其中的溝槽。
可以在心軸中形成具有一切割遮罩的切割件(cut)且蝕刻以便對該心軸進行分段,並定義隨後可用於 產生通過一尖部至尖部間隔以在尖部相互隔開的導線的間隙。一反應切割心軸的圖案可被轉移至該硬遮罩並最後從該硬遮罩轉移至圖案化的層間介電層。非心軸切割件同樣可形成於該硬遮罩自身內,並定義當形成側壁間隔件時可被介電材料填充的間隙。該填充的間隙可隨後用於在該圖案化的層間介電層中形成通過一尖部至尖部間隙而使其在尖部相互隔開的導線。
需要改進的互連結構以及製造互連結構的方法。
在本發明的一實施例中,一自對準多圖案化結構包括一層間介電層,嵌埋於該層間介電層中的一第一非心軸互連件,嵌埋於該層間介電層中的一第二非心軸互連件,以及一連接互連件。該第一非心軸互連件以及該第二非心軸互連件各具有在一第一方向上延伸的側面。該連接互連件從該第一非心軸互連件的該側面向該第二非心軸互連件的該側面,在沿著橫向於該第一方向的一第二方向上延伸。
於本發明的一實施例中,提供一種形成自對準多圖案化結構的方法。該方法包括形成一第一非心軸互連件以及一第二非心軸互連件於一層間介電層中。該第一非心軸互連件以及該第二非心軸互連件各具有在一第一方向上延伸的側面。該方法進一步包括形成一連接互連件於該層間介電層中,並從該第一非心軸互連件的該側壁向該第二非心軸互連件的該側面,在沿著橫向於該第一方向 的一第二方向上延伸。
10‧‧‧層間介電層
12‧‧‧硬遮罩
14‧‧‧心軸
15‧‧‧末端
16‧‧‧心軸切割件
18‧‧‧側壁間隔件
20‧‧‧非心軸線
22‧‧‧非心軸間隔件
24‧‧‧心軸線
26‧‧‧終止塊
30‧‧‧非心軸互連件、互連件或互連結構
32‧‧‧連接互連件、互連件或互連結構
34‧‧‧心軸互連件、互連件或互連結構
36、41‧‧‧側面
38‧‧‧間隔件
40‧‧‧端面
42‧‧‧測試焊墊
44‧‧‧部分
46‧‧‧溝槽
50‧‧‧互連結構
納入並構成本說明書的一部分的附圖用於說明本發明的各種實施例,連同上面所給出的本發明的一般描述以及下面給出的各實施例的詳細描述,用於解釋本發明的各實施例。
第1圖至第5圖為根據本發明的實施例所示的一結構在一製程方法的連續階段中的頂視圖。
第1A圖為沿著第1圖中的線1A-1A所取的一截面圖。
第5A圖為沿著第5圖中的線5A-5A所取的一截面圖。
第5B圖為沿著第5圖中的線5B-5B所取的一截面圖。
參考第1圖及第1A圖,根據本發明的各實施例,一層間介電層10可包括一電性絕緣介電材料,例如,富氫碳氧化矽(hydrogen-enriched silicon oxycarbide;SiCOH)或其他類型的低K介電材料。該層間介電層10可位於包括在前段製程(FEOL)所製造的各裝置結構的一基板上以形成一積體電路。
一硬遮罩12位於層間介電層10的頂面上。硬遮罩12可以包括一金屬,例如通過物理氣相沉積(physical vapor deposition;PVD)的氮化鈦;及/或一介電 材料,例如通過化學氣相沉積(chemical vapor deposition;CVD)的氮化矽(Si3N4)。硬遮罩12從對層間介電層10的材料具有選擇性的層間介電層10被移除。如本文所使用的,術語“選擇性”是指一材料移除製程(例如,蝕刻)表示目標材料的材料移除率(即,蝕刻率)高於在材料移除製程中暴露的至少一其他材料的材料移除率(即,蝕刻率)。
心軸14形成在硬遮罩12的一頂面上。心軸14可例如通過在硬遮罩12的整個頂面上沉積一犧牲材料(例如,非晶矽)的一覆蓋層(blanket layer),並使用一光刻堆疊通過光刻及蝕刻來圖案化該覆蓋層而同時形成。心軸14具有一縱向平行排列並在一給定方向(即,在X-Y坐標系中的X方向)上延伸一長度。心軸14具有在橫向於該縱長方向的一給定方向(即,在X-Y坐標系中的Y方向)上的一寬度。
參考第2圖,其中,相似的參考數字是指第1圖中的相似特徵,於該製程方法的一後續製造階段,心軸切割件16通過施加一切割遮罩(未予圖示)並蝕刻以將心軸14切割成沿其長度在各自的位置上的不同部分而形成於該心軸14中。切割遮罩可以包括通過暴露於從一曝光源投射通過一光遮罩的一輻射圖案而被圖案化的一光阻層,並使用一化學顯影劑顯影而形成位於心軸切割件16的預定位置的開口。使用一蝕刻製程,例如反應離子蝕刻(RIE),也就是對硬遮罩12的材料具有選擇性,移除心軸14的部分以形成位於切割遮罩中的開口位置處的心軸切割件 16。
心軸切割件16暴露心軸14被移除的硬遮罩12的該頂面上的各個區域。心軸切割件16相互交錯,使得它們的位置沿著相鄰心軸14的長度而變化。心軸切割件16形成在切割心軸14的相鄰端部15之間的一給定寬度的間隙。
參考第3圖,其中,類似的參考數字指的是第2圖中的類似特徵,在製程方法的一後續製造階段,側壁間隔件18形成在與心軸14的垂直側壁相鄰的硬遮罩12的頂面上的位置。側壁間隔件18通過在心軸14上以及暴露心軸14的硬遮罩12的頂面上沉積一共形層而形成,並使用一各向異性蝕刻製程,例如反應離子蝕刻(RIE)成形該共形層。側壁間隔件18可以包括一介電材料,例如通過原子層沉積(atomic layer deposition;ALD)所沉積的二氧化矽(SiO2)。對構成心軸14以及側壁間隔件18的材料進行選擇,以使心軸14通過使用對側壁間隔件18的材料具有選擇性的一適當的蝕刻化學劑被移除。
硬遮罩12的非心軸線20設置為平行於心軸14並在側壁間隔件18的相鄰對之間,作為未被心軸14和側壁間隔件18覆蓋的硬遮罩12的頂面的區域。側壁間隔件18還形成在相鄰於心軸14的末端15並延伸通過切割心軸14之間的間隙。
心軸切割件16(第2圖)被尺寸化,且側壁間隔件18具有一厚度使得非心軸間隔件22被佈置為位於心 軸14的末端15上的側壁間隔件18之間的區域。可以選擇心軸切割件16以及側壁間隔件18的尺寸參數以確保切割心軸14的對向末端15之間的一最小端至端距離。非心軸間隔件22未被側壁間隔件18填充及覆蓋,並橫向延伸以連接相鄰的非心軸線20。
於一實施例中,心軸切割件16(第2圖)的寬度大於或等於70奈米,其比傳統的設計規格更大。當形成足夠尺寸的非心軸間隔件22時,需要比常規寬度更寬以提供用於形成間隔件18的足夠空間。例如,側壁間隔件18的寬度可從15奈米到25奈米,其耦接大於或等於70奈米的心軸切割件16,使得非心軸間隔件22具有大於或等於15奈米的一寬度。非心軸間隔件22的寬度反映在使用非心軸間隔件22的相同寬度的連接互連的後續形成中。
請參考第4圖,其中類似的參考數字指的是第3圖中的類似特徵,在製程方法的一後續製造階段,心軸14通過對側壁間隔件18具有選擇性的具有一適當蝕刻化學劑的一蝕刻製程被移除。硬遮罩12暴露於心軸14通過蝕刻製程被拉動的區域上方的心軸線24中。側壁間隔件18佈設於硬遮罩12的頂面的U型圖案中,其中,非心軸間隔件22限定側壁間隔件18和心軸線24的連續性中的斷裂。終止塊26形成於側壁間隔件18、非心軸線20、非心軸間隔件22、以及心軸線24的圖案化的邊緣處。
參考第5圖、第5A圖、第5B圖,其中類似的參考數字指的是第4圖中的類似特徵,在製程方法的 一後續製造階段,在移除心軸14之後,形成終止塊26,硬遮罩12通過一蝕刻製程而將側壁間隔件18作為一蝕刻遮罩而被圖案化。硬遮罩12自對應於非心軸線20、非心軸間隔件22、以及心軸線24的暴露區域的上方被移除。在蝕刻硬遮罩12期間,被側壁間隔件18以及終止塊26覆蓋的硬遮罩12的區域被保存或保留。硬遮罩圖案化的結果是將非心軸線20、非心軸間隔件22、以及心軸線24的圖案轉移到硬遮罩12。層間介電層10暴露於對應於非心軸線20、非心軸間隔件22以及心軸線24的區域的上方,並且在被側壁間隔件18以及終止塊26覆蓋的區域的上方被遮蓋。
使用硬遮罩12蝕刻層間介電層10作為一圖案化的蝕刻遮罩以圖案化層間介電層10,從而在層間介電層10中非心軸線20、非心軸間隔件22、以及心軸線24上方的位置形成溝槽46。在蝕刻層間介電層10之後,圖案化的硬遮罩12可通過一個或多個蝕刻或清洗製程被選擇性地移除。側壁間隔件18可以在層間介電層10被蝕刻後,或層間介電層10被蝕刻前,沿著圖案化的硬遮罩12被移除。
一後段製程(BEOL)互連結構50通過使用一導電體填充層間介電層10中的溝槽46以形成非心軸互連件30、連接互連件32、以及心軸互連件34作為嵌入在層間介電層10中的特徵而形成。由鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、或這些材料的一層狀組合(例如,一 TAN/Ta雙層)組成的襯墊(未予示出)可在填充初級(primary)電導體之前被施加到溝槽中。該初級導電體可以包括使用一沉積製程形成的一低電阻率金屬,例如通過電鍍或無電鍍沉積形成的銅(Cu)。互連件30,32以及34的形狀和幾何形狀反映了暴露於通過圖案化的硬遮罩12的層間介電層10中的溝槽形成的區域。於一實施例中,互連件30,32及34可以是位於最接近於BEOL互連結構50的多個金屬化層至FEOL裝置結構的一第一金屬化(M0)層中的導電特徵,且其中,互連件30,32,34可通過一接觸(CA)層中的垂直接觸件連接至FEOL裝置結構。於一實施例中,互連結構30,32,34可具有小於45奈米的一寬度。
互連件30及32被佈置為在面向同一方向並相互平行排列的線性導電特徵。互連件30及32由在硬遮罩12上的側壁間隔件18所預先覆蓋的區域上方所定義的間隔件38分隔開。間隔件38為層間介電層10的介電材料的線性部分。互連件30及32終止於由硬遮罩12上的終止塊26所預先覆蓋的區域的上方。非心軸互連件30被設置在相應區域上方的硬遮罩12中打開的非心軸線20的前端位置,且心軸互連件34被設置在相應區域上方的硬遮罩12中打開的心軸線24的前端位置。各非心軸互連件30具有側面36,且各心軸互連件34具有端面40。
連接互連件32被佈置成與非心軸互連件30橫向對齊並與心軸互連件34橫向對齊的線性導電特徵。連接互連件32被放置在非心軸間隔件22的前端位置,其在 具有等面積的硬遮罩12中被打開。各連接互連件32具有自非心軸互連件30中的一個的側面36延伸至另一非心軸互連件30的側面36的側面41,其中,該連接的非心軸互連件30是平行的和相鄰的。連接互連件32在通過由寬的心軸切割件16所產生的心軸互連件34的端面40之間的端至端間隙的非心軸互連件30之間橫向延伸。心軸互連件34的端面40之間的端至端距離大於或等於70奈米。側面41位於端面40之間,使得連接互連件32的寬度小於該端至端間隙。位於側面41之間的連接互連件32的寬度大於或等於15奈米。層間介電層10的部分44位於連接互連件32與心軸連接件34的端面40之間。這些部分44具有由端面40與連接互連件32的寬度之間的端至端距離之間的差值的一半所給定的各自的寬度。
連接互連件32連接非心軸互連件30以定義通過連接互連件32提供的方向上的變化來來回回的一連續蛇形線。連接互連件32提供該連續蛇形線所需的方向上的變化。雖然本發明的實施例未予限制,但是互連件30,32,34可以通過將非心軸互連件30的終止末端與測試焊墊42連接來作為一測試結構。測試焊墊42可以位於與具有端面40的末端相對的非心軸互連件30的末端。測試焊墊42可以通過在BEOL互連結構的一最上層金屬化層中沉積並蝕刻而形成。
測試結構可用於測試該M0金屬化層中的斷路。為此,可以使用測試焊墊42中的一個將一信號發射 到該測試結構中,且該測試結構的輸出將出現在另一測試焊墊42。如果互連件30,32,34由於一製造缺陷而具有一斷路,則輸出可能不存在。
對於先進技術節點,BEOL製程可應用於自對準雙圖案化(self-aligned double patterning;SADP)或自對準四圖案化(self-aligned quaduple patterning;SAQP)以及多金屬圖案化的自對準切割件的形式的自對準多圖案化(即,自對準多圖案化)。傳統的多圖案化技術的不足之處在於相關的設計規則只能支援沒有任何錯誤設計例外的單向金屬線。因此,用於檢測一金屬層(例如,該M0金屬層)中的斷路的一傳統測試結構必須通過在覆蓋的通孔以及佈線層中的金屬化來連接單向金屬線以提供用於單向金屬線的連接。必須完成多個附加光刻層以形成用於測試所需的金屬化層中的斷路的傳統測試結構。此外,基於傳統測試結構可能受到附加變數的影響,例如,覆蓋通孔中的斷路、覆蓋物、及製程問題,以及作為工件(artifacts)的佈線層。
互連件30,32,34的佈置依賴於連接互連件32的形成中寬的心軸切割件,使得一金屬化層(例如該M0金屬化層)中的一at級連續蛇形線能夠實現。互連件30,32,34的佈置消除了在覆蓋通孔以及佈線層中通過金屬化的單向線(即嚴格平行)的一方向上提供沿橫向連接的傳統需要。互連件30,32,34的佈置能夠提供雙向自對準多圖案化(即,SADP及SAQP),並顯著減少了在多圖案化期間由單向圖案化所施加的BEOL互連件的設計限制。
上述方法用於積體電路晶片的製作。所得到的積體電路晶片可以由製造者以原始晶圓形式(例如,作為具有多個未封裝晶片的一單晶圓),作為一裸片,或一封裝形式予以分佈。該晶片可以與其他晶片、獨立電路元件、及/或信號處理裝置集成為一中間產品或一最終產品的一部分。該最終產品可以是包括積體電路晶片的任何產品,例如具有一中央處理器的電腦產品或智慧手機。
本文引用的術語如“垂直”、“水平”、“橫向”等均是通過舉例的方式而不是通過限制的方式來建立參考框架的。諸如“水平”以及“橫向”之類的術語指的是平行於一半導體基板的一頂面的一平面的一方向,而無論其實際的三維空間取向。例如“垂直”和“正交(normal)”等術語是指垂直於該“水平”和“橫向”方向的一方向。諸如“上方”以及“下方”等術語表示元件或結構相對於彼此及/或將半導體基板的頂面作為相對標高的位置。
一特徵“連接”或“耦接”到另一元件可以是直接連接或耦接至其他元件,或者,可以存在一個或多個中間元件。如果缺少中間元件,一特徵可以“直接連接”或“直接耦接”至另一組件。如果存在至少一個中間元件,一特徵可以“間接連接”或“間接耦接”至其他元件。
本發明的各種實施例的描述是為了說明的目的而提出,並不打算窮盡或局限於所公開的實施例。在不脫離所描述的各種實施例的範圍和精神的情況下,許多修改和變化對本領域的普通技術人員來說是顯而易見的。 本文所使用的術語被選擇來最好地解釋實施例的原理、實際應用或相較於市場上的現有技術的技術改進,或者使本領域的技術人員能夠理解本文所揭露的實施例。
Claims (20)
- 一種自對準多圖案化結構,包括:一層間介電層;一第一非心軸互連件,嵌埋於該層間介電層中,該第一非心軸互連件具有在一第一方向延伸的一側面;一第二非心軸互連件,嵌埋於該層間介電層中,該第二非心軸互連件具有在該第一方向延伸的一側面;以及一連接互連件,由該第一非心軸互連件的該側面向該第二非心軸互連件的該側面沿橫向於該第一方向的一第二方向延伸。
- 如申請專利範圍第1項所述的自對準多圖案化結構,進一步包括:一第一心軸連接件;以及一第二心軸連接件,其中,該第一心軸連接件與該第二心軸連接件橫向排列於該第一心軸連接件的該側面與該第二心軸連接件的該側面之間。
- 如申請專利範圍第2項所述的自對準多圖案化結構,其中,該第一心軸連接件具有一端面,該第二心軸連接件具有通過一間隙與該第一心軸連接件的該端面隔開的一端面,且該連接互連件延伸通過該第一非心軸互連件的該側面與該第二非心軸互連件的該側面之間的該間 隙。
- 如申請專利範圍第3項所述的自對準多圖案化結構,其中,該層間介電層的一第一部分位於該連接互連件與該第一心軸互連件的該端面之間,以及該層間介電層的一第二部分位於該連接互連件與該第一心軸互連件的該端面之間。
- 如申請專利範圍第4項所述的自對準多圖案化結構,其中,該間隙具有位於該第一心軸互連件的該端面與該第二心軸互連件的該端面之間的一端至端距離,該連接互連件具有一第一寬度,且該層間介電層的該第一部分以及該層間介電層的該第二部分具有由該端至端距離與該第一寬度之間的一差值的一半所給定的一第二寬度。
- 如申請專利範圍第3項所述的自對準多圖案化結構,其中,該間隙具有位於該第一心軸互連件的該端面與該第二心軸互連件的該端面之間的一端至端距離,且該端至端距離大於或等於70奈米。
- 如申請專利範圍第6項所述的自對準多圖案化結構,其中,該連接互連件具有大於或等於15奈米的一寬度。
- 如申請專利範圍第1項所述的自對準多圖案化結構,其中,該連接互連件具有大於或等於15奈米的一寬度。
- 如申請專利範圍第1項所述的自對準多圖案化結構,其中,該第一非心軸互連件、該第二非心軸互連件、以及該連接互連件佈置於一第一金屬化(M0)層中。
- 如申請專利範圍第1項所述的自對準多圖案化結構,進一步包括:一測試焊墊,連接該第一非心軸互連件。
- 一種形成自對準多圖案化結構的方法,該方法包括:形成一第一非心軸互連件以及一第二非心軸互連件於一層間介電層中,其中,該第一非心軸互連件具有在一第一方向延伸的一側面,以及該第二非心軸互連件具有在該第一方向延伸的一側面;以及形成一連接互連件於該層間介電層中,並由該第一非心軸互連件的該側面向該第二非心軸互連件的該側面沿橫向於該第一方向的一第二方向延伸。
- 如申請專利範圍第11項所述的方法,進一步包括:形成佈置於該第一非心軸互連件的該側面與該第二非心軸互連件的該側面之間的一第一心軸互連件以及一第二心軸互連件,其中,該連接互連件延伸通過位於該第一心軸互連件的一端面與該第二心軸互連件的一端面之間的一間隙。
- 如申請專利範圍第12項所述的方法,其中,該間隙具有大於或等於70奈米的一寬度。
- 如申請專利範圍第11項所述的方法,進一步包括:形成一心軸於該層間介電層上;形成一切割件於該心軸中以定義具有一第一端面的一第一切割心軸以及具有通過一間隙與該第一端面 隔開的一第二端面的一第二切割心軸;以及借由一蝕刻製程轉移該切割件的一部分至該層間介電層以形成一第一溝槽,其中,該連接互連件借由使用一導電體填充該第一溝槽而形成。
- 如申請專利範圍第14項所述的方法,進一步包括:形成一第一側壁間隔件於該第一切割心軸的該第一端面上;以及形成一第二側壁間隔件於該第二切割心軸的該第二端面上,其中,該第二側壁間隔件通過一非心軸間隔件與該第一側壁間隔件隔開。
- 如申請專利範圍第15項所述的方法,其中,該層間介電層通過在該非心軸間隔件的一區域的上方通過該蝕刻製程被蝕刻以形成該第一溝槽。
- 如申請專利範圍第15項所述的方法,其中,該第一側壁間隔件還形成於該第一切割心軸的相對側面上,以及形成該第一非心軸互連件與該第二非心軸互連件於該層間介電層中包括:形成一第二溝槽以及一第三溝槽於由該第一切割心軸以及該第一切割心軸的該相對側面上的該第一側壁間隔件相互隔開的各區域上方的該層間介電層中;以及使用該導電體填充該第二溝槽以及該第三溝槽以 分別形成該第一非心軸互連件以及該第二非心軸互連件。
- 如申請專利範圍第14項所述的方法,其中,該間隙具有大於或等於70奈米的一寬度。
- 如申請專利範圍第18項所述的方法,其中,該第一溝槽具有大於或等於15奈米的一寬度。
- 如申請專利範圍第11項所述的方法,進一步包括:借由一測試焊墊連接該第一非心軸互連件。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/605,327 US10199270B2 (en) | 2017-05-25 | 2017-05-25 | Multi-directional self-aligned multiple patterning |
US15/605,327 | 2017-05-25 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201909368A true TW201909368A (zh) | 2019-03-01 |
TWI708354B TWI708354B (zh) | 2020-10-21 |
Family
ID=64109312
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107108667A TWI708354B (zh) | 2017-05-25 | 2018-03-14 | 多向自對準多圖案化 |
Country Status (4)
Country | Link |
---|---|
US (1) | US10199270B2 (zh) |
CN (1) | CN108933119B (zh) |
DE (1) | DE102018208142B4 (zh) |
TW (1) | TWI708354B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI801752B (zh) * | 2020-09-10 | 2023-05-11 | 力晶積成電子製造股份有限公司 | 半導體元件及其製造方法 |
Families Citing this family (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10727045B2 (en) * | 2017-09-29 | 2020-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for manufacturing a semiconductor device |
US10784195B2 (en) * | 2018-04-23 | 2020-09-22 | Globalfoundries Inc. | Electrical fuse formation during a multiple patterning process |
TWI766060B (zh) * | 2018-07-03 | 2022-06-01 | 聯華電子股份有限公司 | 圖案化方法 |
KR102628894B1 (ko) | 2018-12-05 | 2024-01-24 | 삼성전자주식회사 | 단위 배선 구조를 갖는 집적 회로, 그 제조 방법 및 설계 방법 |
US11227792B2 (en) | 2019-09-19 | 2022-01-18 | International Business Machines Corporation | Interconnect structures including self aligned vias |
EP3840034B1 (en) * | 2019-12-19 | 2022-06-15 | Imec VZW | Method for producing nanoscaled electrically conductive lines for semiconductor devices |
Family Cites Families (44)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8879857B2 (en) | 2005-09-27 | 2014-11-04 | Qualcomm Incorporated | Redundant data encoding methods and device |
US8802451B2 (en) * | 2008-02-29 | 2014-08-12 | Avalanche Technology Inc. | Method for manufacturing high density non-volatile magnetic memory |
US9892917B2 (en) * | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9257274B2 (en) * | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US8298943B1 (en) * | 2011-05-27 | 2012-10-30 | International Business Machines Corporation | Self aligning via patterning |
SG195494A1 (en) * | 2012-05-18 | 2013-12-30 | Novellus Systems Inc | Carbon deposition-etch-ash gap fill process |
KR20140008863A (ko) * | 2012-07-12 | 2014-01-22 | 에스케이하이닉스 주식회사 | 더블 spt를 이용한 반도체 소자의 미세패턴 형성방법 |
US9362133B2 (en) * | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8932957B2 (en) * | 2013-03-12 | 2015-01-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a FinFET device |
US9698015B2 (en) * | 2013-10-21 | 2017-07-04 | Applied Materials, Inc. | Method for patterning a semiconductor substrate |
US8916475B1 (en) * | 2013-11-01 | 2014-12-23 | United Microelectronics Corp. | Patterning method |
US9093386B2 (en) * | 2013-11-20 | 2015-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Spacer-damage-free etching |
JP6495025B2 (ja) * | 2014-01-31 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 真空統合ハードマスク処理および装置 |
US9257282B2 (en) * | 2014-05-02 | 2016-02-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of semiconductor integrated circuit fabrication |
US9123656B1 (en) * | 2014-05-13 | 2015-09-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Organosilicate polymer mandrel for self-aligned double patterning process |
US9548201B2 (en) * | 2014-06-20 | 2017-01-17 | Applied Materials, Inc. | Self-aligned multiple spacer patterning schemes for advanced nanometer technology |
US9070753B1 (en) * | 2014-07-09 | 2015-06-30 | Macronix International Co., Ltd. | Method for fabricating memory device |
US9728406B2 (en) * | 2014-08-08 | 2017-08-08 | Applied Materials, Inc. | Multi materials and selective removal enabled reverse tone process |
US20160049307A1 (en) * | 2014-08-15 | 2016-02-18 | Yijian Chen | Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques |
US9263325B1 (en) * | 2014-08-20 | 2016-02-16 | Globalfoundries Inc. | Precut metal lines |
US9508642B2 (en) * | 2014-08-20 | 2016-11-29 | Globalfoundries Inc. | Self-aligned back end of line cut |
US9564312B2 (en) * | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9530701B2 (en) * | 2014-12-18 | 2016-12-27 | International Business Machines Corporation | Method of forming semiconductor fins on SOI substrate |
US9478433B1 (en) * | 2015-03-30 | 2016-10-25 | Applied Materials, Inc. | Cyclic spacer etching process with improved profile control |
US9536778B2 (en) * | 2015-04-06 | 2017-01-03 | Globalfoundries Inc. | Self-aligned double patterning process for metal routing |
KR102505242B1 (ko) * | 2015-07-21 | 2023-03-06 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US9601693B1 (en) * | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US9373543B1 (en) * | 2015-10-06 | 2016-06-21 | Globalfoundries Inc. | Forming interconnect features with reduced sidewall tapering |
US9818621B2 (en) * | 2016-02-22 | 2017-11-14 | Applied Materials, Inc. | Cyclic oxide spacer etch process |
US9779943B2 (en) * | 2016-02-25 | 2017-10-03 | Globalfoundries Inc. | Compensating for lithographic limitations in fabricating semiconductor interconnect structures |
US9818623B2 (en) * | 2016-03-22 | 2017-11-14 | Globalfoundries Inc. | Method of forming a pattern for interconnection lines and associated continuity blocks in an integrated circuit |
US9691626B1 (en) * | 2016-03-22 | 2017-06-27 | Globalfoundries Inc. | Method of forming a pattern for interconnection lines in an integrated circuit wherein the pattern includes gamma and beta block mask portions |
US9679809B1 (en) * | 2016-03-22 | 2017-06-13 | Globalfoundries Inc. | Method of forming self aligned continuity blocks for mandrel and non-mandrel interconnect lines |
US9691775B1 (en) * | 2016-04-28 | 2017-06-27 | Globalfoundries Inc. | Combined SADP fins for semiconductor devices and methods of making the same |
US9916986B2 (en) * | 2016-06-27 | 2018-03-13 | International Business Machines Corporation | Single or mutli block mask management for spacer height and defect reduction for BEOL |
US9773643B1 (en) * | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US9818641B1 (en) * | 2016-09-21 | 2017-11-14 | Globalfoundries Inc. | Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines |
US9818640B1 (en) * | 2016-09-21 | 2017-11-14 | Globalfoundries Inc. | Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines |
US9786545B1 (en) * | 2016-09-21 | 2017-10-10 | Globalfoundries Inc. | Method of forming ANA regions in an integrated circuit |
US9852986B1 (en) * | 2016-11-28 | 2017-12-26 | Globalfoundries Inc. | Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit |
US9859120B1 (en) * | 2016-12-13 | 2018-01-02 | Globalfoundries Inc. | Method of making self-aligned continuity cuts in mandrel and non-mandrel metal lines |
US9887127B1 (en) * | 2016-12-15 | 2018-02-06 | Globalfoundries Inc. | Interconnection lines having variable widths and partially self-aligned continuity cuts |
US9812351B1 (en) * | 2016-12-15 | 2017-11-07 | Globalfoundries Inc. | Interconnection cells having variable width metal lines and fully-self aligned continuity cuts |
-
2017
- 2017-05-25 US US15/605,327 patent/US10199270B2/en active Active
-
2018
- 2018-03-14 TW TW107108667A patent/TWI708354B/zh active
- 2018-05-24 DE DE102018208142.5A patent/DE102018208142B4/de active Active
- 2018-05-25 CN CN201810512813.7A patent/CN108933119B/zh active Active
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI801752B (zh) * | 2020-09-10 | 2023-05-11 | 力晶積成電子製造股份有限公司 | 半導體元件及其製造方法 |
Also Published As
Publication number | Publication date |
---|---|
CN108933119A (zh) | 2018-12-04 |
DE102018208142A1 (de) | 2018-11-29 |
CN108933119B (zh) | 2022-01-28 |
TWI708354B (zh) | 2020-10-21 |
DE102018208142B4 (de) | 2023-03-23 |
US20180342421A1 (en) | 2018-11-29 |
US10199270B2 (en) | 2019-02-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI708354B (zh) | 多向自對準多圖案化 | |
TWI658537B (zh) | 非芯軸切口形成 | |
US10249496B2 (en) | Narrowed feature formation during a double patterning process | |
US9711447B1 (en) | Self-aligned lithographic patterning with variable spacings | |
TWI734970B (zh) | 使用阻擋遮罩所形成之具有心軸切口的多重圖案化 | |
TWI727302B (zh) | 具有藉由區塊圖案化形成之可變空間心軸切口的互連 | |
TWI742350B (zh) | 具有層心軸之自對準多重圖案化製程 | |
CN108735661B (zh) | 预间隔物自对准切口形成 | |
US11348870B2 (en) | Electrical fuse formation during a multiple patterning process | |
CN108091551B (zh) | 自对准光刻图案化 | |
TWI697075B (zh) | 線圖案化期間具自對準之先裁切方法 | |
TWI668875B (zh) | 具有浮島的片上電容器 | |
US10319626B1 (en) | Interconnects with cuts formed by block patterning | |
KR100590205B1 (ko) | 반도체 장치의 배선 구조체 및 그 형성 방법 | |
TWI555122B (zh) | 半導體元件之內連線結構其製備方法 | |
CN111430330A (zh) | 半导体互连结构、其制作方法及半导体芯片 |