DE102019132101A1 - Kontakt-über-aktivem-gate-strukturen mit leitfähigen gateabgriffenfür fortgeschrittene integrierte-schaltungsstruktur-herstellung - Google Patents

Kontakt-über-aktivem-gate-strukturen mit leitfähigen gateabgriffenfür fortgeschrittene integrierte-schaltungsstruktur-herstellung Download PDF

Info

Publication number
DE102019132101A1
DE102019132101A1 DE102019132101.8A DE102019132101A DE102019132101A1 DE 102019132101 A1 DE102019132101 A1 DE 102019132101A1 DE 102019132101 A DE102019132101 A DE 102019132101A DE 102019132101 A1 DE102019132101 A1 DE 102019132101A1
Authority
DE
Germany
Prior art keywords
gate
conductive
structures
integrated circuit
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102019132101.8A
Other languages
English (en)
Inventor
Elliot Tan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE102019132101A1 publication Critical patent/DE102019132101A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Beschrieben werden Kontakt-über-aktivem-Gate (COAG) -Strukturen mit leitfähigen Gate-Abgriffen. Bei einem Beispiel umfasst eine Integrierte-Schaltungs-Struktur eine Mehrzahl von Gate-Strukturen über einem Substrat, wobei jede der Gate-Strukturen eine Gate-Isolierschicht darauf umfasst. Jede der Mehrzahl von Gate-Strukturen umfasst eine leitfähige Abgriffsstruktur, die durch die entsprechende Gate-Isolierschicht hervorsteht. Eine Mehrzahl von leitfähigen Grabenkontaktstrukturen wechselt sich mit der Mehrzahl von Gate-Strukturen ab, wobei jede der leitfähigen Grabenkontaktstrukturen eine Grabenisolierschicht darauf umfasst. Ein Zwischenschicht-Dielektrikumsmaterial ist über den Grabenisolierschichten und den Gate-Isolierschichten. Eine Öffnung ist in dem Zwischenschicht-Dielektrikumsmaterial und legt die leitfähige Abgriffsstruktur einer der Mehrzahl von Gate-Strukturen frei. Eine leitfähige Struktur ist in der Öffnung, und ist in direktem Kontakt mit der leitfähigen Abgriffsstruktur einer der Mehrzahl von Gate-Strukturen.

Description

  • TECHNISCHES GEBIET
  • Ausführungsbeispiele der Offenbarung sind auf dem Gebiet der Herstellung einer fortschrittlichen Integrierte-Schaltungs-Struktur und insbesondere einer Herstellung einer Integrierte-Schaltungs-Struktur mit 10-Nanometer-Knoten und kleiner und der resultierenden Strukturen.
  • HINTERGRUND
  • In den letzten Jahrzehnten war die Skalierung von Merkmalen bei integrierten Schaltungen eine Antriebskraft hinter einer ständig wachsenden Halbleiterindustrie. Das Skalieren auf immer kleinere Merkmale ermöglicht erhöhte Dichten von funktionalen Einheiten auf der begrenzten Grundfläche von Halbleiterchips. Zum Beispiel erlaubt eine schrumpfende Transistorgröße die Einbringung einer erhöhten Anzahl von Speicher- oder Logik-Bauelementen auf einem Chip, was die Herstellung von Produkten mit erhöhter Kapazität ermöglicht. Das Streben nach immer höherer Kapazität ist jedoch nicht ohne Probleme. Die Notwendigkeit, die Performance jedes Bauelements zu optimieren, wird immer wichtiger.
  • Die Variabilität bei herkömmlichen und aktuell bekannten Herstellungsprozessen kann die Möglichkeit einschränken, sie weiter in den 10-Nanometer-Knoten- oder Unter-10-Nanometer-Knoten-Bereich auszudehnen. Folglich kann die Herstellung der funktionalen Komponenten, die für Knoten zukünftiger Technologie benötigt werden, die Einführung von neuen Methoden oder die Integration neuer Technologien in aktuelle Herstellungsprozesse oder anstelle von aktuellen Herstellungsprozessen erfordern.
  • Bei der Herstellung von Integrierte-Schaltungs-Bauelementen sind Multi-Gate-Transistoren, wie beispielsweise Trigate-Transistoren, immer mehr geworden, da Bauelement-Abmessungen immer geringer werden. Trigate-Transistoren werden im Allgemeinen entweder auf Bulk-Silizium-Substraten oder Silizium-auf-Isolator-Substraten hergestellt. In einigen Fällen sind Bulk-Silizium-Substrate aufgrund ihrer niedrigeren Kosten und Kompatibilität mit der bestehenden Hochertrags-Bulk-SiliziumSubstrat-Infrastruktur bevorzugt.
  • Die Skalierung von Multi-Gate-Transistoren war jedoch nicht ohne Folgen. Da die Abmessungen dieser grundlegenden Bausteine einer mikroelektronischen Schaltungsanordnung reduziert werden und da die reine Anzahl von grundlegenden Bausteinen, die in einer gegebenen Region hergestellt werden, erhöht wird, wurden die Einschränkungen auf die Halbleiterprozesse, die zum Herstellen dieser Bausteine verwendet werden, überwältigend.
  • Figurenliste
    • 1A stellt eine Draufsicht eines Halbleiterbauelements mit einem Gate-Kontakt angeordnet über einem inaktiven Abschnitt einer Gate-Elektrode dar.
    • 1B stellt eine Querschnittansicht eines nicht-planaren Halbleiterbauelements mit einem Gate-Kontakt angeordnet über einem inaktiven Abschnitt einer Gate-Elektrode dar.
    • 2A stellt eine Draufsicht eines Halbleiterbauelements mit einem Gate-Kontakt-Via angeordnet über einem aktiven Abschnitt einer Gate-Elektrode dar, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
    • 2B stellt eine Querschnittansicht eines nicht-planaren Halbleiterbauelements mit einem Gate-Kontakt-Via angeordnet über einem aktiven Abschnitt einer Gate-Elektrode dar, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
    • 3A-3D stellen Querschnittsansichten dar, die verschiedene Operationen bei einem Verfahren zum Herstellen einer Kontakt-über-aktivem-Gate (COAG; contact over active gate) -Struktur mit einem leitfähigen Gate-Abgriff darstellen, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
    • 4A-4D stellen Querschnittsansichten dar, die verschiedene Operationen bei einem anderen Verfahren zum Herstellen einer Kontakt-über-aktivem Gate (COAG) - Struktur mit einem leitfähigen Gate-Abgriff darstellen, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
    • 5A-5B stellen Querschnittsansichten dar, die verschiedene Operationen bei einem Verfahren zum Herstellen einer Halbleiterstruktur mit einer Gate-Kontakt-Struktur angeordnet über einem aktiven Abschnitt eines Gates repräsentieren, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
    • 5C stellt eine Draufsicht und entsprechende Querschnittsansichten einer Integrierte-Schaltungs-Struktur mit Grabenkontakten und einem Gate-Kontakt dar, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
    • 6A-6F stellen Querschnittansichten von verschiedenen Integrierte-Schaltungs-Strukturen dar, jeweils mit Grabenkontakten umfassend eine darüberliegende isolierende Abdeckungsschicht und mit Gate-Stapeln umfassend eine darüberliegende isolierende Abdeckungsschicht, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
    • 7A stellt eine Draufsicht eines anderen Halbleiterbauelements mit einem Gate-Kontakt-Via angeordnet über einem aktiven Abschnitt eines Gates dar, gemäß einem anderen Ausführungsbeispiel der vorliegenden Offenbarung.
    • 7B stellt eine Draufsicht eines anderen Halbleiterbauelements mit einem Grabenkontakt-Via dar, das ein Paar aus Grabenkontakten koppelt, gemäß einem anderen Ausführungsbeispiel der vorliegenden Offenbarung.
    • 8A-8F stellen Querschnittansichten dar, die verschiedene Operationen bei einem Verfahren zum Herstellen einer Integrierte-Schaltungs-Struktur repräsentieren, mit einem Gate-Stapel mit einer darüberliegenden isolierenden Abdeckungsschicht, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
    • 9 stellt eine Rechenvorrichtung gemäß einer Implementierung der Offenbarung dar.
    • 10 stellt einen Interposer dar, der ein oder mehrere Ausführungsbeispiele der Offenbarung umfasst.
    • 11 ist eine isometrische Ansicht einer mobilen Rechenplattform, die eine IC einsetzt, hergestellt gemäß einem oder mehreren der hierin beschriebenen Prozesse oder umfassend ein oder mehrere der hierin beschriebenen Merkmale, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
    • 12 stellt eine Querschnittansicht eines an einem Flip-Chip befestigten Dies dar, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
  • BESCHREIBUNG DER AUSFÜHRUNGSBEISPIELE
  • Kontakt-über-aktivem-Gate (COAG; contact over active gate) -Strukturen mit leitfähigen Gate-Abgriffen und Verfahren zum Herstellen von Kontakt-über-aktivem-Gate (COAG) - Strukturen mit leitfähigen Gate-Abgriffen sind beschrieben. In der folgenden Beschreibung werden zahlreiche spezifische Details ausgeführt, wie beispielsweise spezifische Einbringungs- und Material-Vorgaben, um ein tiefgreifendes Verständnis von Ausführungsbeispielen der vorliegenden Offenbarung bereitzustellen. Für einen Fachmann auf dem Gebiet ist es jedoch offensichtlich, dass Ausführungsbeispiele der vorliegenden Offenbarung ohne diese spezifischen Details ausgeführt werden können. In anderen Fällen werden bekannte Merkmale, wie beispielsweise Entwurfslayouts integrierter Schaltungen, nicht detailliert beschrieben, um Ausführungsbeispiele der vorliegenden Offenbarung nicht unnötig zu verunklaren. Ferner wird darauf hingewiesen, dass die verschiedenen Ausführungsbeispiele, die in den Figuren gezeigt sind, veranschaulichende Darstellungen sind und nicht zwingend maßstabsgetreu gezeichnet sind.
  • Die folgende detaillierte Beschreibung ist in ihrem Wesen ausschließlich darstellend und soll die Ausführungsbeispiele des Gegenstands oder der Anmeldung und die Verwendungen solcher Ausführungsbeispiele nicht einschränken. Nach hiesigem Gebrauch bedeutet das Wort „beispielhaft“ „dienend als Beispiel, Fall oder Darstellung“. Irgendeine Implementierung, die hierin als beispielhaft beschrieben wird, soll nicht notwendigerweise als bevorzugt oder vorteilhaft gegenüber anderen Implementierungen aufgefasst werden. Ferner besteht keine Absicht, sich an irgendeine ausgedrückte oder implizierte Theorie zu binden, die in dem vorangehenden technischen Gebiet, dem Hintergrund, der kurzen Zusammenfassung oder der nachfolgenden detaillierten Beschreibung präsentiert wird.
  • Diese Beschreibung umfasst Bezugnahmen auf „ein einzelnes Ausführungsbeispiel“ oder „ein Ausführungsbeispiel“. Das Auftreten der Phrasen „bei einem einzigen Ausführungsbeispiel“ oder „bei einem Ausführungsbeispiel“ bezieht sich nicht notwendigerweise auf dasselbe Ausführungsbeispiel. Bestimmte Merkmale, Strukturen oder Charakteristika können in irgendeiner geeigneten Weise kombiniert werden, die dieser Offenbarung entspricht.
  • Terminologie. Die nachfolgenden Absätze stellen Definitionen oder Kontext für Ausdrücke bereit, die sich in dieser Offenbarung finden (einschließlich den beiliegenden Ansprüchen):
    • „Aufweisen.“ Dieser Ausdruck ist offen. Wie er in den beigefügten Ansprüchen verwendet wird, schließt er keine zusätzliche Struktur oder Schritte aus.
  • „Ausgebildet.“ Verschiedene Einheiten oder Komponenten können als „ausgebildet zum“ Ausführen einer Aufgabe oder mehrerer Aufgaben beschrieben oder beansprucht sein. In solchen Kontexten wird „ausgebildet zum“ verwendet, um eine Struktur zu bezeichnen, durch Anzeigen, dass die Einheiten oder Komponenten eine Struktur umfassen, die diese Aufgabe oder Aufgaben während der Operation ausführen. Als solches kann die Einheit oder Komponente derart bezeichnet sein, um ausgebildet zu sein, um die Aufgabe auszuführen, sogar wenn die spezifizierte Einheit oder Komponente momentan nicht in Betrieb ist (z.B. nicht eingeschaltet oder aktiv ist). Die Angabe, dass eine Einheit oder Schaltung oder Komponente „ausgebildet“ ist zum Ausführen von einer oder mehreren Aufgaben soll ausdrücklich nicht 35 U.S.C. §112 Absatz sechs für diese Einheit oder Komponente aufrufen.
  • „Erster“, „zweiter“, etc. Nach hiesigem Gebrauch werden diese Ausdrücke als Etiketten für Nomen verwendet, denen sie vorausgehen, und implizieren nicht irgendeine Art von Reihenfolge (z.B. räumlich, zeitlich, logisch, etc.).
  • „Gekoppelt“ - Die folgende Beschreibung bezieht sich auf Elemente oder Knoten oder Merkmale, die miteinander „gekoppelt“ sind. Wie hierin verwendet, außer ausdrücklich anders angegeben, bedeutet „gekoppelt“, dass ein Element oder Knoten oder Merkmal direkt oder indirekt mit einem anderen Element oder Knoten oder Merkmal verbunden ist (oder direkt oder indirekt mit demselben kommuniziert), und nicht notwendigerweise mechanisch.
  • Zusätzlich kann eine bestimmte Terminologie auch in der nachfolgenden Beschreibung ausschließlich zum Zweck der Referenz verwendet werden und soll nicht einschränkend sein. Zum Beispiel beziehen sich Ausdrücke wie „obere“, „untere“ und „oben“ und „unten“ auf Richtungen in den Zeichnungen, auf die Bezug genommen wird. Ausdrücke wie beispielsweise „vorne“, „hinten“, „Rück-“, „Seiten-“, „außen-“ und „innen-“ beschreiben die Ausrichtung oder Position oder beides von Abschnitten der Komponente innerhalb eines konsistenten aber beliebigen Bezugsrahmens, der Bezug nehmend auf den Text und die zugeordneten Zeichnungen deutlich gemacht wird, die die erörterte Komponente beschreiben. Eine solche Terminologie kann die Wörter umfassen, die vorangehend spezifisch erwähnt wurden, Ableitungen davon und Wörter ähnlicher Bedeutung.
  • „(Ver)Hindern“ - Wie hierin verwendet, wird hindern verwendet, um einen reduzierenden oder minimierenden Effekt zu beschreiben. Wenn eine Komponente oder ein Merkmal derart beschrieben wird, dass es eine Aktion, Bewegung oder einen Zustand (verhindert, kann es das Ergebnis oder Resultat oder den zukünftigen Zustand vollständig verhindern. Zusätzlich kann sich „(ver)hindern“ auch auf eine Reduzierung oder Verringerung des Ergebnisses, der Performance oder des Effekts beziehen, der anderweitig auftreten könnte. Wenn dementsprechend eine Komponente, ein Element oder ein Merkmal derart bezeichnet wird, dass es ein Ergebnis oder einen Zustand verhindert, muss es das Ergebnis oder den Zustand nicht vollständig verhindern oder eliminieren.
  • Hierin beschriebene Ausführungsbeispiele können sich auf eine Front-End-of-Line (FEOL) Halbleiter-Verarbeitung und -Strukturen beziehen. FEOL ist der erste Abschnitt der Herstellung einer integrierten Schaltung (IC; integrated circuit), wo die individuellen Bauelemente (z.B. Transistoren, Kondensatoren, Widerstände, etc.) in dem Halbleitersubstrat oder der -Schicht strukturiert werden. FEOL deckt im Allgemeinen alles ab bis zu (aber nicht einschließlich) der Abscheidung von Metall-Verbindungsschichten. Nach der letzten FEOL-Operation ist das Ergebnis üblicherweise ein Wafer mit isolierten Transistoren (z.B. ohne irgendwelche Drähte).
  • Hierin beschriebene Ausführungsbeispiele können auf eine Back-End-of-Line (BEOL) Halbleiter-Verarbeitung und -Strukturen ausgerichtet sein. BEOL ist der zweite Abschnitt einer IC-Herstellung, wo die individuellen Bauelemente (z.B. Transistoren, Kondensatoren, Widerstände, etc.) mit einer Verdrahtung auf dem Wafer verbunden werden, z.B. der Metallisierungsschicht oder -Schichten. BEOL umfasst Kontakte, Isolierschichten (Dielektrika), Metallebenen und Bond-Positionen für Chip-zu-Package-Verbindungen. Bei dem BEOL-Teil der Herstellungsstufe werden Kontakte (Anschlussflächen), Verbindungsdrähte (interconnect wires), Vias und dielektrische Strukturen gebildet. Für moderne IC-Prozesse können bei dem BEOL mehr als 10 Metallschichten hinzugefügt werden.
  • Nachstehend beschriebene Ausführungsbeispiele können auf FEOL-Verarbeitung und - Strukturen, BEOL-Verarbeitung und -Strukturen, oder sowohl FEOL- als auch BEOL-Verarbeitung und -Strukturen anwendbar sein. Genauer gesagt, obwohl ein exemplarisches Verarbeitungsschema dargestellt sein kann, das ein FEOL-Verarbeitungsszenario verwendet, können solche Ansätze auch auf eine BEOL-Verarbeitung anwendbar sein. Auf ähnliche Weise, obwohl ein exemplarisches Verarbeitungsschema dargestellt sein kann, das ein BEOL-Verarbeitungsszenario verwendet, können solche Ansätze auch auf eine BEOL-Verarbeitung anwendbar sein.
  • Gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung werden Kontakt-über-aktivem-Gate (COAG) -Strukturen und -Prozesse beschrieben. Ein oder mehrere Ausführungsbeispiele der vorliegenden Offenbarung richten sich auf Halbleiterstrukturen oder -Bauelemente mit einer oder mehreren Gate- Kontakt-Strukturen (z.B. Gate-Kontakt-Vias), angeordnet über aktiven Abschnitten von Gate-Elektroden der Halbleiterstrukturen oder -Bauelemente. Ein oder mehrere Ausführungsbeispiele der vorliegenden Offenbarung richten sich auf Verfahren zum Herstellen von Halbleiter-Strukturen oder -Bauelementen mit einer oder mehreren Gate-Kontakt-Strukturen, gebildet über aktiven Abschnitten von Gate-Elektroden der Halbleiter-Strukturen oder - Bauelemente. Hierin beschriebene Ansätze können verwendet werden, um einen Standardzellenbereich durch Ermöglichen einer Gate-Kontakt-Bildung über aktiven Gate-Regionen zu reduzieren. Bei einem oder mehreren Ausführungsbeispielen sind die Gate-Kontakt-Strukturen, die hergestellt sind, um die Gate-Elektroden zu kontaktieren, selbstjustierte Via-Strukturen.
  • Um Kontext bereitzustellen, erfordern einige Implementierungen von Kontakt-über-aktivem-Gate ein selektives („Farben“-) Ätzen. Ein zugeordnetes Prozessfenster für das Ätzen ist möglicherweise unzureichend. Beispielsweise können defekte Modi von Öffnungen und Kurzschlüssen die Fertigungsausbeute nachhaltig herabsetzen. Der offene Schaltungsdefekt kann auch einer Damascene-Metallisierung zugeordnet sein, die nicht in der Lage ist, tiefe und enge Räume einzufüllen.
  • Um weiteren Kontext bereitzustellen, legen einige Implementierungen von COAG strenge Kontrollen auf mehrere Module auf, wie beispielsweise Gate- und Kontaktaussparung, dielektrischen Hartmasken-Abscheidung und dielektrische Politur, um eine Einheitlichkeit der ätzselektiven („farbigen“) Hartmasken und strikte Einhaltung der Hartmaskendicke der Spezifikationen sicherzustellen. Eine begrenzte Ätzselektivität der Farbätzungen lässt möglicherweise wenig Raum für irgendwelche vorgeschalteten Prozess-Abweichungen, die für eine Fertigungslinie typisch sind. Die COAG-Implementierungen stellen möglicherweise kein robustes Prozessfenster bereit, das alle Öffnungen und Kurzschlüsse eliminiert.
  • Gemäß einem oder mehreren Ausführungsbeispielen der vorliegenden Offenbarung können die hierin beschriebenen COAG-Ansätze implementiert werden, um eine der Farbätzungen durch Erzeugen von leitfähigen Gate-Abgriffen während einer Gate-Aussparung und dadurch, dass solchen leitfähigen Gate-Abgriffsstrukturen erlaubt ist, über das ausgesparte Gate herauszuragen, und eine Verbindung mit der Metallschicht darüber herzustellen, zu eliminieren. Ausführungsbeispiele können auch implementiert werden, um die Notwendigkeit von Metallzwischenraumfüllung in tiefe und enge Räume zu eliminieren. Ausführungsbeispiele können implementiert werden, um vorteilhaft einen Hochertrags-Herstellungsprozess zu erreichen.
  • Um weiteren Kontext bereitzustellen, kann bei Technologien, bei denen Raum- und Layout-Einschränkungen im Vergleich zu Raum- und Layout-Einschränkungen der aktuellen Generation etwas entspannt sind, ein Kontakt zu einer Gate-Struktur hergestellt werden, durch Herstellen eines Kontakts zu einem Abschnitt der Gate-Elektrode, angeordnet über einer Isolationsregion. Als Beispiel stellt 1A eine Draufsicht eines Halbleiterbauelements mit einem Gate-Kontakt angeordnet über einem inaktiven Abschnitt einer Gate-Elektrode dar.
  • Bezugnehmend auf 1A umfasst eine Halbleiterstruktur oder ein -Bauelement 100A eine Diffusions- oder aktive Region 104, angeordnet in einem Substrat 102, und innerhalb einer Isolationsregion 106. Eine oder mehrere Gate-Leitungen (auch bekannt als Poly-Leitungen), wie beispielsweise Gate-Leitungen 108A, 108B und 108C, sind über der Diffusions- oder aktiven Region 104 sowie über einem Abschnitt der Isolationsregion 106 angeordnet. Source- oder Drain-Kontakte (auch bekannt als Grabenkontakte), wie beispielsweise Kontakte 110A und 110B, sind über Source- und Drain-Regionen der Halbleiter-Struktur oder des -Bauelements 100A angeordnet. Grabenkontakt-Vias 112A und 112B stellen jeweils einen Kontakt zu Grabenkontakten 110A und/oder 110B her. Ein separater Gate-Kontakt 114 und ein darüberliegendes Gate-Kontakt-Via 116 stellen einen Kontakt zu der Gate-Leitung 108B bereit. Im Gegensatz zu den Source- oder Drain-Grabenkontakten 110A oder 110B ist der Gate-Kontakt 114 aus einer Draufsichtsperspektive über der Isolationsregion 106 aber nicht über der Diffusions- oder aktiven Region 104 angeordnet. Ferner ist weder der Gate-Kontakt 114 noch das Gate-Kontakt-Via 116 zwischen den Source- oder Drain-Grabenkontakten 110A und 110B angeordnet.
  • 1B stellt eine Querschnittansicht eines nicht-planaren Halbleiterbauelements mit einem Gate-Kontakt angeordnet über einem inaktiven Abschnitt einer Gate-Elektrode dar. Bezugnehmend auf 1B umfasst eine Halbleiter-Struktur oder ein -Bauelement 100B z.B. eine nicht-planare Version des Bauelements 100A von 1A, eine nicht-planare Diffusions- oder aktive Region 104B (z.B. eine Finnenstruktur), gebildet aus dem Substrat 102 und innerhalb der Isolationsregion 106. Die Gate-Leitung 108B ist über der nicht-planaren Diffusions- oder aktiven Region 104B sowie über einem Abschnitt der Isolationsregion 106 angeordnet. Wie gezeigt ist, umfasst eine Gate-Leitung 108B eine Gate-Elektrode 150 und eine Gate-Dielektrikum-Schicht 152 zusammen mit einer dielektrischen Abdeckungsschicht 154. Der Gate-Kontakt 114 und ein darüberliegendes Gate-Kontakt-Via 116 sind aus dieser Perspektive ebenfalls sichtbar, zusammen mit einer darüberliegenden Metall-Verbindung 160, die alle in dielektrischen Zwischenschicht-Stapeln oder-Schichten 170 angeordnet sind. Wie auch aus der Perspektive von 1B ersichtlich ist, ist der Gate-Kontakt 114 über der Isolationsregion 106, aber nicht über der nicht-planaren Diffusions- oder aktiven Region 104B angeordnet.
  • Bezugnehmend erneut auf 1A und 1B platziert die Anordnung von Halbleiter-Struktur oder -Bauelement 100A und 100B jeweils den Gate-Kontakt über Isolationsregionen. Eine solche Anordnung verschwendet Layout-Raum. Ein Platzieren des Gate-Kontakts über aktiven Regionen würde entweder ein extrem enges Ausrichtungsbudget erfordern oder Gate-Abmessungen müssten zunehmen, um genug Raum bereitzustellen, um den Gate-Kontakt zu landen. Ferner wurde historisch ein Kontakt mit dem Gate über Diffusionsregionen aufgrund des Risikos, durch ein anderes Gate-Material (z.B. Polysilizium) zu bohren und die darunterliegende, aktive Region zu kontaktieren, vermieden. Ein oder mehrere hierin beschriebene Ausführungsbeispiele adressieren die oben beschriebenen Probleme durch Bereitstellen durchführbarer Ansätze und der resultierenden Strukturen zum Herstellen von Kontaktstrukturen, die Abschnitte einer Gate-Elektrode kontaktieren, die über einer Diffusions- oder aktiven Region gebildet sind.
  • Als ein Beispiel stellt 2A eine Draufsicht eines Halbleiterbauelements mit einem Gate-Kontakt-Via angeordnet über einem aktiven Abschnitt einer Gate-Elektrode dar, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung. Bezugnehmend auf 2A umfasst eine Halbleiterstruktur oder ein -Bauelement 200A eine Diffusions-oder aktive Region 204, angeordnet in einem Substrat 202, und innerhalb einer Isolationsregion 206. Eine oder mehrere Gate-Leitungen, wie beispielsweise Gate-Leitungen 208A, 208B und 208C, sind über der Diffusions- oder aktiven Region 204 sowie über einem Abschnitt der Isolationsregion 206 angeordnet. Source- oder Drain-Grabenkontakte, wie beispielsweise Grabenkontakte 210A und 210B, sind über Source-und Drain-Regionen der Halbleiter-Struktur oder des -Bauelements 200A angeordnet. Grabenkontakt-Vias 212A und 212B stellen einen Kontakt zu Grabenkontakten 210A und/oder 210B her. Ein Gate-Kontakt-Via 216 ohne dazwischenliegende separate Gate-Kontaktschicht stellt einen Kontakt zu der Gate-Leitung 208B bereit. Im Gegensatz zu 1A ist der Gate-Kontakt 216 aus einer Draufsichtsperspektive über der Diffusions-oder aktiven Region 204 und zwischen den Source- oder Drain-Kontakten 210A und 210B angeordnet.
  • 2B stellt eine Querschnittsansicht eines nicht-planaren Halbleiterbauelements mit einem Gate-Kontakt-Via angeordnet über einem aktiven Abschnitt einer Gate-Elektrode dar, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung. Bezugnehmend auf 2B umfasst eine Halbleiter-Struktur oder ein -Bauelement 200B, z.B. eine nicht-planare Version des Bauelements 200A von 2A, eine nicht-planare Diffusions- oder aktive Region 204B (z.B. eine Finnenstruktur), gebildet aus dem Substrat 202 und innerhalb der Isolationsregion 206. Die Gate-Leitung 208B ist über der nicht-planaren Diffusions- oder aktiven Region 204B sowie über einem Abschnitt der Isolationsregion 206 angeordnet. Wie gezeigt ist, umfasst die Gate-Leitung 208B eine Gate-Elektrode 250 und eine Gate-Dielektrikum-Schicht 252 zusammen mit einer dielektrischen Abdeckungsschicht 254. Das Gate-Kontakt-Via 216 ist aus dieser Perspektive ebenfalls sichtbar, zusammen mit einer darüberliegenden Metall-Verbindung 260, die beide in Zwischenschicht-Dielektrikums-Stapeln oder-Schichten 270 angeordnet sind. Wie auch aus der Perspektive von 2B ersichtlich ist, ist das Gate-Kontakt-Via 216 über der nicht-planaren Diffusions- oder aktiven Region 204B angeordnet.
  • Somit sind Bezug nehmend erneut auf 2A und 2B bei einem Ausführungsbeispiel Grabenkontakt-Vias 212A, 212B und ein Gate-Kontakt-Via 216 in derselben Schicht gebildet und sind im Wesentlichen koplanar. Im Vergleich zu 1A und 1B würde der Kontakt zu der Gate-Leitung ansonsten eine zusätzliche Gate-Kontaktschicht umfassen, die z.B. senkrecht zu der entsprechenden Gate-Leitung verlaufen könnte. Bei der oder den Strukturen, die in Zuordnung zu den 2A und 2B beschrieben sind, ermöglicht die Herstellung der Strukturen 200A und/oder 200B das Landen eines Kontakts direkt von einer Metall-Verbindungsschicht auf einem aktiven Gate-Abschnitt ohne Kurzschluss mit benachbarten Source- und Drain-Regionen. Bei einem Ausführungsbeispiel stellt eine solche Anordnung eine große Reduzierung des Bereichs bei dem Schaltungs-Layout bereit, durch Eliminieren des Bedarfs, Transistor-Gates bei der Isolierung auszudehnen, um einen zuverlässigen Kontakt herzustellen. Wie durchgehend verwendet, bezieht sich bei einem Ausführungsbeispiel ein Bezug auf einen aktiven Abschnitt eines Gates auf den Abschnitt einer Gate-Leitung oder -Struktur, der über (aus einer Draufsichtsperspektive) einer aktiven oder Diffusions-Region eines darunterliegenden Substrats angeordnet ist. Bei einem Ausführungsbeispiel bezieht sich ein Bezug auf einen inaktiven Abschnitt eines Gates auf den Abschnitt einer Gate-Leitung oder -Struktur, der über (aus einer Draufsichtsperspektive) einer Isolationsregion eines darunterliegenden Substrats angeordnet ist.
  • Bei einem Ausführungsbeispiel ist die Halbleiter-Struktur oder das -Bauelement 200 ein nicht-planares Bauelement, wie beispielsweise aber nicht beschränkt auf ein Fin-FET-oder ein Trigate-Bauelement. Bei einem solchen Ausführungsbeispiel ist eine entsprechende Halbleiterkanalregion aus einem dreidimensionalen Körper zusammengesetzt oder ist darin gebildet. Bei einem solchen Ausführungsbeispiel umgeben die Gate-Elektrodenstapel der Gate-Leitungen 208A und 208B zumindest eine obere Oberfläche und ein Paar von Seitenwänden des dreidimensionalen Körpers. Bei einem anderen Ausführungsbeispiel ist zumindest die Kanalregion als ein diskreter dreidimensionaler Körper hergestellt, wie beispielsweise bei einem Gate-All-Around-(Gate-Rundum-) Bauelement. Bei einem solchen Ausführungsbeispiel umgeben die Gate-Elektroden-Stapel der Gate-Leitungen 208A und 208B die Kanalregion jeweils vollständig.
  • Allgemeiner richten sich ein oder mehrere Ausführungsbeispiele auf Ansätze für und Strukturen gebildet durch das Landen eines Gate-Kontakt-Vias direkt auf einem aktiven Transistorgate. Solche Ansätze können den Bedarf nach einer Erweiterung einer Gate-Leitung auf einer Isolierung zu Kontaktzwecken beseitigen. Solche Ansätze können auch den Bedarf nach einer separaten Gate-Kontakt (GCN; gate contact) -Schicht zum Leiten von Signalen von einer Gate-Leitung oder -Struktur beseitigen. Bei einem Ausführungsbeispiel wird das Beseitigen der obigen Merkmale erreicht durch Aussparen von Kontaktmetallen in einem Grabenkontakt (TCN; trench contact) und Einbringen eines zusätzlichen, dielektrischen Materials in den Prozessfluss (z.B. Grabenisolierschicht (TILA; trench insulating layer)). Das zusätzliche dielektrische Material ist als Grabenkontakt-Dielektrikums-Abdeckungsschicht mit Ätz-Charakteristika umfasst, die sich von der dielektrischen Gate-Material-Abdeckungsschicht unterscheiden, die zur Grabenkontakt-Ausrichtung bei einem Verarbeitungsschema (z.B. GILA) eines Gate-ausgerichteten Kontaktprozesses (GAP; gate-aligned contact process) verwendet werden (z.B. Verwendung einer Gate-Isolierschicht (GILA; gate insulating layer)).
  • Gemäß einem oder mehreren Ausführungsbeispielen der vorliegenden Offenbarung wird vor der Gate-Aussparung eine Strukturierungsoperation eingebracht, um eine Herstellung einer erhöhten leitfähigen Abgriffsstruktur zu ermöglichen, die mit den schließlich hergestellten, darüber liegenden Metallschichten zu verbinden ist. Bei einem Ausführungsbeispiel muss eine nachfolgende Via-Ätzung, die in einer darüberliegenden Metallisierungsschicht durchgeführt wird, keine GILA-Ätzung mehr umfassen, und die Metallisierung muss sich nur in das Zwischenschicht-Dielektrikum füllen und nicht tief in ein ausgespartes Gate. Bei einem Ausführungsbeispiel können die leitfähigen Abgriffe ausgespart und mit einer dünnen dielektrischen Schicht abgedeckt werden, um ein Gate-Herausätzen von einem Auftreten in dem TCN-Abschnitt des Flusses abzuhalten. Die Schutzabdeckung kann anschließend während einem TILA-Polieren entfernt werden, bevor eine BEOL-Verarbeitung eingeleitet wird.
  • Als einen beispielhaften Verarbeitungsablauf stellen 3A-3D Querschnittsansichten dar, die verschiedene Operationen bei einem Verfahren zum Herstellen einer Kontakt-über-aktivem-Gate (COAG) -Struktur mit einem leitfähigen Gate-Abgriff darstellen, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
  • Bezugnehmend auf 3A umfasst eine Startstruktur 300 eine Mehrzahl von Gate-Strukturen 304/306 über einem Substrat 302. Die Gate-Strukturen umfassen jeweils eine Gate-Elektrode 304 und eine Gate-Dielektrikum 306. Dielektrische Abstandhalter 308 sind entlang Seitenwänden der Gate-Strukturen 304/306 gebildet. Die Grabenkontaktstellen 310 sind zwischen den dielektrischen Abstandhaltern 308 der benachbarten Gate-Strukturen 304/306. Bei einem Ausführungsbeispiel umfassen die Grabenkontaktstellen 310 ein dielektrisches Material in der Struktur 300 an dem Punkt bei einer Verarbeitung der Startstruktur 300, bei dem das dielektrische Material später entfernt und dann durch leitfähiges Grabenkontaktmaterial ersetzt wird. Bei einem anderen Ausführungsbeispiel umfassen die Grabenkontaktstellen 310 ein leitfähiges Material an dem Punkt bei der Verarbeitung der Startstruktur 300.
  • Bezugnehmend auf 3B wird eine Maske 312 über der Startstruktur 300 gebildet. Die Maske 312 deckt einen oder mehrere Abschnitte der Mehrzahl von Gate-Strukturen 304/306 ab.
  • Bezugnehmend auf 3C werden die oberen Abschnitte der Mehrzahl von Gate-Strukturen 304/306, die durch die Maske 312 freigelegt sind, dann ausgespart, um ausgesparte Gate-Dielektrikumsabschnitte 316 und ausgesparte Gate-Dielektrikumsabschnitte 318 zu bilden. Die oberen Abschnitte der Mehrzahl von Gate-Strukturen 304/306, die durch die Maske 312 abgedeckt sind, sind jedoch vor Aussparen geschützt, um Gate-Abgriffsstruktur-Gate-Elektroden-Abschnitte 320 und Gate-Abgriffsstruktur-Gate-Dielektrikumsabschnitte 322 zu bilden.
  • Bezug nehmend auf 3D wird eine Gate-isolierende Abdeckungsschicht 324 auf den ausgesparten Gate-Elektrodenabschnitten 316 und den ausgesparten Gate-Dielektrikumsabschnitten 318 gebildet. Bei einem Ausführungsbeispiel wird die Gate-isolierende Abdeckungsschicht 324 durch eine Deckschichtabscheidung und nachfolgende Planarisierung gebildet, die die Maske 312 während dem Prozess entfernt. Als ein Ergebnis sind in der Struktur von 3D die Gate-Abgriffsstruktur-Gate-Elektrodenabschnitte 320 und die Gate-Abgriffsstruktur-Gate-Dielektrikumsabschnitte 322 freigelegt.
  • Gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung umfasst eine weitere Verarbeitung der Struktur von 3D ein Bilden eines Zwischenschicht-Dielektrikumsmaterials über der Struktur von 3D und dann ein Bilden einer Öffnung in dem Zwischenschicht-Dielektrikumsmaterial. Die Öffnung legt eine leitfähige Abgriffsstruktur einer der Mehrzahl von Gate-Strukturen frei. In der Öffnung wird dann eine leitfähige Struktur gebildet, wobei die leitfähige Struktur in direktem Kontakt mit der leitfähigen Abgriffsstruktur ist.
  • Als einen anderen beispielhaften Verarbeitungsablauf stellen 4A-4D Querschnittsansichten dar, die verschiedene Operationen bei einem anderen Verfahren zum Herstellen einer Kontakt-über-aktivem-Gate (COAG) -Struktur mit einem leitfähigen Gate-Abgriff darstellen, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung. Der Verarbeitungsablauf kann verwendet werden, um leitfähige Gate-Abgriffe während einer Zwischenverarbeitung effektiv zu schützen.
  • Bezugnehmend auf 4A umfasst eine Startstruktur 400 eine Mehrzahl von teilweise ausgesparten Gate-Strukturen 404/406 über einem Substrat 402. Die teilweise ausgesparten Gate-Strukturen umfassen jeweils eine teilweise ausgesparte Gate-Elektrode 404 und ein teilweise ausgespartes Gate-Dielektrikum 406. Dielektrische Abstandhalter 408 sind entlang Seitenwänden der teilweise ausgesparten Gate-Strukturen 404/406. Die dielektrischen Abstandhalter 408 stehen über die teilweise ausgesparten Gate-Strukturen 404/406 hervor. Die Grabenkontaktstellen 410 sind zwischen den dielektrischen Abstandhaltern 408 der benachbarten, teilweise ausgesparten Gate-Strukturen 404/406. Bei einem Ausführungsbeispiel umfassen die Grabenkontaktstellen 410 ein dielektrisches Material in der Struktur 400 an dem Punkt bei einer Verarbeitung der Startstruktur 400, bei dem das dielektrische Material später entfernt und dann durch leitfähiges Grabenkontaktmaterial ersetzt wird. Bei einem anderen Ausführungsbeispiel umfassen die Grabenkontaktstellen 410 ein leitfähiges Material an dem Punkt bei der Verarbeitung einer Startstruktur 400.
  • Bezugnehmend auf 4B wird eine Maske 412 über der Startstruktur 400 gebildet. Die Maske 412 deckt einen oder mehrere Abschnitte der Mehrzahl von teilweise ausgesparten Gate-Strukturen 404/406 ab.
  • Bezugnehmend auf 4C werden die oberen Abschnitte der Mehrzahl von teilweise ausgesparten Gate-Strukturen 404/406, die durch die Maske 412 freigelegt wurden, dann ferner ausgespart, um weiter ausgesparte Gate-Dielektrikumsabschnitte 416 und weiter ausgesparte Gate-Dielektrikumsabschnitte 418 zu bilden. Die oberen Abschnitte der Mehrzahl von teilweise ausgesparten Gate-Strukturen 404/406, die durch die Maske 412 abgedeckt werden, sind jedoch vor Aussparen geschützt, um teilweise ausgesparte Gate-Abgriffsstruktur-Gate-Elektroden-Abschnitte 420 und teilweise ausgesparte Gate-Abgriffsstruktur-Gate-Dielektrikumsabschnitte 422 zu bilden.
  • Bezug nehmend auf 4D wird eine Gate-isolierende Abdeckungsschicht 424 auf den weiter ausgesparten Gate-Elektrodenabschnitten 416 und den weiter ausgesparten Gate-Dielektrikumsabschnitten 418 gebildet. Bei einem Ausführungsbeispiel wird die Gate-isolierende Abdeckungsschicht 424 durch eine Deckschichtabscheidung und nachfolgende Planarisierung gebildet, die einem Abschnitt aber nicht alles der Maske 412 während dem Prozess entfernt. Ein Maskenabschnitt 430 wird über den Gate-Abgriffsstruktur-Gate-Elektrodenabschnitten 420 und den Gate-Abgriffsstruktur-Gate-Dielektrikumsabschnitten 422 als eine Schutzschicht beibehalten.
  • Gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung umfasst eine weitere Verarbeitung der Struktur von 4D ein Planarisieren der Struktur, um den Maskenabschnitt 430 zu entfernen und die Gate-Abgriffsstruktur-Gate-Elektrodenabschnitte 420 und die Gate-Abgriffsstruktur-Gate-Dielektrikumsabschnitte 422 freizulegen. Die Entfernung des Maskenabschnitts 430 kann nach einer Zwischenverarbeitung, wie beispielsweise der Bildung einer leitfähigen Kontaktstruktur an den Stellen 410, durchgeführt werden. Anschließende Verarbeitung kann ein Bilden eines Zwischenschicht-Dielektrikumsmaterials und dann ein Bilden einer Öffnung in dem Zwischenschicht-Dielektrikumsmaterial umfassen. Die Öffnung legt eine leitfähige Abgriffsstruktur einer der Mehrzahl von Gate-Strukturen frei. In der Öffnung wird dann eine leitfähige Struktur gebildet, wobei die leitfähige Struktur in direktem Kontakt mit der leitfähigen Abgriffsstruktur ist.
  • Gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung und wie in den nachfolgend beschriebenen Strukturen beispielhaft dargestellt, umfasst eine Integrierte-Schaltungs-Struktur, die aus einem Prozess gebildet wird, der in Zuordnung zu 3A-3D oder 4A-4D beschrieben ist, eine Mehrzahl von Gate-Strukturen (z.B. 316/318) über einem Substrat (z.B. 302). Jede der Gate-Strukturen (z.B. 316/318) umfasst eine Gate-Isolierschicht (z.B. 324) darauf. Jede der Mehrzahl von Gate-Strukturen (z.B. 316/318), umfasst eine leitfähige Abgriffsstruktur (z.B. 320), die durch die entsprechende Gate-Isolierschicht (z.B. 324) hervorsteht. Eine Mehrzahl von leitfähigen Grabenkontaktstrukturen (z.B. 310, oder letztlich an den Stellen 310) wechselt sich mit der Mehrzahl von Gate-Strukturen (z.B. 316/318) ab. Bei einem Ausführungsbeispiel umfasst jede der leitfähigen Grabenkontaktstrukturen eine Grabenisolierschicht darauf (z.B. wie letztlich an den Stellen 310 gebildet wurde, Beispiele für welche nachfolgend beschrieben sind).
  • Bei einem Ausführungsbeispiel, wie es in den nachfolgend beschriebenen Beispielen beschrieben ist, ist ein Zwischenschicht-Dielektrikumsmaterial über den Grabenisolierschichten (z.B. Stellen 310) und den Gate-Isolierschichten (z.B. 324). Eine Öffnung wird in dem Zwischenschicht-Dielektrikumsmaterial gebildet. Die Öffnung legt die leitfähige Abgriffsstruktur (z.B. 320) einer der Mehrzahl von Gate-Strukturen (z.B. 316/318) frei. Wie bei einem Beispiel beschrieben ist, ist bei einem Ausführungsbeispiel unten eine leitfähige Struktur in der Öffnung. Die leitfähige Struktur ist in direktem Kontakt mit der leitfähigen Abgriffsstruktur (z.B. 320) einer der Mehrzahl von Gate-Strukturen (z.B. 316/318). Bei einem Ausführungsbeispiel legt eine solche Öffnung ferner einen Abschnitt der entsprechenden Gate-Isolierschicht (z.B. 324) frei. Bei einem bestimmten solchen Ausführungsbeispiel legt die Öffnung ferner eine Grabenisolierschicht einer benachbarten der Mehrzahl von leitfähigen Grabenkontaktstrukturen (z.B. an der Stelle 310) frei.
  • Bei einem Ausführungsbeispiel umfasst die Integrierte-Schaltungs-Struktur ferner eine Mehrzahl von dielektrischen Abstandhaltern (z.B. 308), die sich mit der Mehrzahl von Gate-Strukturen (z.B. 316/318) und der Mehrzahl von leitfähigen Grabenkontaktstrukturen (z.B. Stellen 310) abwechseln. Bei einem solchen Ausführungsbeispiel legt die Öffnung weiter einen Abschnitt eines der Mehrzahl von dielektrischen Abstandhaltern (z.B. 308) frei.
  • Bei einem Ausführungsbeispiel umfassen die Grabenisolierschichten und die Gate-Isolierschichten ein unterschiedliches Material. Bei einem solchen Ausführungsbeispiel umfassen die Grabenisolierschichten Siliziumkarbid und die Gate-Isolierschichten umfassen Siliziumnitrid.
  • Bei einem Ausführungsbeispiel sind die Mehrzahl der leitfähigen Grabenkontaktstrukturen (z.B. Stellen 310) und die Mehrzahl der Gate-Strukturen (z.B. 316/318) auf einer Halbleiterfinne. Bei einem solchen Ausführungsbeispiel ist die leitfähige Abgriffsstruktur (z.B. 320) der einen der Mehrzahl von Gate-Strukturen (z.B. 316/318) über der Halbleiterfinne.
  • Als beispielhaftes Herstellungsschema stellen 5A-5B Querschnittansichten dar, die verschiedene Operationen bei einem Verfahren zum Herstellen einer Halbleiterstruktur mit einer Gate-Kontakt-Struktur angeordnet über einem aktiven Abschnitt eines Gates repräsentieren, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
  • Bezugnehmend auf 5A ist eine Mehrzahl von Gate-Strukturen 508 über einer Finne oder einem Substrat 502 gebildet. Die Gate-Strukturen 508 können ein Gate-Dielektrikum und eine Gate-Elektrode umfassen. Jede der Gate-Strukturen 508 umfasst eine Gate-Isolierschicht (GIILA) 510 oder eine Gate-Dielektrikumsabdeckung darauf. An der abgebildeten Stelle weist die zentrale der Gate-Strukturen 508 jedoch einen leitfähigen Gate-Abgriff 530 anstelle eines Abschnitts der entsprechenden GILA auf. Dielektrische Abstandhalter 507 können entlang Seitenwänden jeder Gate-Stapel 508/GILA 510-Paarung sein. Die Gate-Strukturen 508 wechseln sich mit leitfähigen Grabenkontaktstrukturen 504ab. Jede der leitfähigen Grabenkontaktstrukturen 504 umfasst eine Grabenisolierschicht (TILA) 506 oder eine Kontaktdielektrikumsabdeckung darauf.
  • Bei einem bestimmten Ausführungsbeispiel, wie in 5A dargestellt ist, ist eine erste dielektrische Ätzstoppschicht 512 direkt auf und durchgehend über den Grabenisolierschichten 506 und den Gate-Isolierschichten 510 und möglicherweise den dielektrischen Abstandhaltern 507. Eine zweite dielektrische Ätzstoppschicht 514 ist direkt auf und durchgehend über der ersten dielektrischen Ätzstoppschicht 512. Die zweite dielektrische Ätzstoppschicht 514 ist unterschiedlich zu der ersten dielektrischen Ätzstoppschicht 512. Bei einem bestimmten Ausführungsbeispiel ist die zweite dielektrische Ätzstoppschicht 514 aus Aluminiumoxid zusammengesetzt und die erste dielektrische Ätzstoppschicht 512 ist aus Siliziumnitrid zusammengesetzt.
  • Bezug nehmend wiederum auf 5A ist ein Zwischenschicht-Dielektrikums- (ILD) - Material 516 auf der zweiten dielektrischen Ätzstoppschicht 514. Eine Öffnung 518 ist in dem ILD-Material 516 gebildet. Die Öffnung 518 kann einen Grabenabschnitt 520 und einen Via-Abschnitt 522 umfassen. Bei einem Ausführungsbeispiel wird die Öffnung 518 unter Verwendung eines Trocken- oder Plasmaätzprozesses in dem ILD-Material 516 gebildet. Ein ursprünglicher Ätzprozess kann auf der zweiten dielektrischen Ätzstoppschicht 514 stoppen. Die zweite dielektrische Ätzstoppschicht 514 und die erste dielektrische Ätzstoppschicht 512 können dann zusammen oder sequentiell strukturiert werden. Es wird darauf hingewiesen, dass stattdessen eine einzelne Ätzstoppschicht oder keine Ätzstoppschicht verwendet werden kann. In jedem Fall legt der Via-Abschnitt 522 der Öffnung 518 den leitfähigen Gate-Abgriff 530 der zentralen der Gate-Strukturen 508 frei.
  • Bezugnehmend auf 5B wird in der Öffnung 518 eine leitfähige Struktur 532gebildet. Bei einem Ausführungsbeispiel umfasst die leitfähige Struktur 532 eine obere Leiterbahn oder Verbindung und ein unteres leitfähiges Via, wie dargestellt ist. Bei einem Ausführungsbeispiel ist die leitfähige Struktur 532 in elektrischem Kontakt mit dem leitfähigen Gate-Abgriff 530 der zentralen der Gate-Strukturen 508.
  • Gemäß einem oder mehreren Ausführungsbeispielen der vorliegenden Offenbarung sind Ätzstoppschichtstapel implementiert, um eine verbesserte Via-Kontakt-Selektivität zu TILA/GILA und eine wesentlich verbesserte Ausbeute bereitzustellen. Bei einem Ausführungsbeispiel landet eine Via-Öffnungsätzung auf einer oberen dielektrischen Ätzstoppschicht mit sehr hoher Selektivität. Die obere dielektrische Ätzstoppschicht wird mit Selektivität zu einer darunterliegenden niedrigeren dielektrischen Ätzstoppschicht geätzt. Die niedrigere dielektrische Ätzstoppschicht wird dann geätzt, um darunterliegende TILA/GILA-Regionen freizulegen. Der Multi-Operationen-Durchbruchsprozess kann implementiert werden, um einen Verlust für die darunterliegenden TILA/GILA-Regionen zu reduzieren und eine erhebliche Ertragsverbesserung zu ermöglichen.
  • Als ein beispielhaftes Herstellungsschema umfasst eine Startstruktur eine oder mehrere Gate-Stapelstrukturen, die über einem Substrat angeordnet sind. Die Gate-Stapel-Strukturen können eine Gate-Dielektrikum-Schicht und eine Gate-Elektrode umfassen. Grabenkontakte, z.B. Kontakte zu Diffusionsregionen des Substrats oder zu epitaxialen Regionen, die innerhalb des Substrats gebildet wurden, sind durch dielektrische Abstandhalter von Gate-Stapelstrukturen beabstandet. Eine isolierende Abdeckungsschicht kann auf den Gate-Stapel-Strukturen (z.B. GILA) angeordnet sein. Bei einem Ausführungsbeispiel sind Kontakt-Blockierregionen oder „Kontakt-Plugs“, die möglicherweise aus einem Zwischenschicht-Dielektrikumsmaterial hergestellt sind, in Regionen umfasst, wo eine Kontaktbildung blockiert werden soll.
  • Bei einem Ausführungsbeispiel ist die Kontaktstruktur im Wesentlichen perfekt mit einer bestehenden Gate-Struktur ausgerichtet, während die Verwendung einer lithographischen Operation mit übermäßig engem Ausrichtungsbudget eliminiert wird. Bei einem solchen Ausführungsbeispiel ermöglicht dieser Ansatz die Verwendung eines intrinsisch hoch selektiven Nassätzens (z.B. versus Trocken- oder Plasma-Ätzen), um Kontaktöffnungen zu erzeugen. Bei einem Ausführungsbeispiel wird eine Kontaktstruktur durch Verwenden einer existierenden Gate-Struktur in Kombination mit einer Kontakt-Plug-Lithographieoperation gebildet. Bei einem solchen Ausführungsbeispiel ermöglicht der Ansatz die Beseitigung des Bedarfs nach einer ansonsten kritischen Lithographieoperation zum Erzeugen einer Kontaktstruktur, wie sie bei anderen Ansätzen verwendet wird. Bei einem Ausführungsbeispiel wird ein Graben-Kontaktgitter nicht separat strukturiert, sondern zwischen Poly- (Gate-) Leitungen gebildet. Zum Beispiel wird bei einem solchen Ausführungsbeispiel ein Graben-Kontaktgitter nach der Gate-Gitter-Strukturierung aber vor dem Gate-Gitter-Schneiden gebildet.
  • Ferner können die Gate-Stapel-Strukturen durch einen Austausch-Gate-Prozess hergestellt werden. Bei einem solchen Schema kann ein Dummy-Gate-Material, wie z.B. Polysilizium- oder Siliziumnitrid-Säulenmaterial entfernt und durch permanentes Gate-Elektrodenmaterial ersetzt werden. Bei einem solchen Ausführungsbeispiel wird eine permanente Gate-Dielektrikum-Schicht auch bei diesem Prozess gebildet, und wird nicht aus einer vorangehenden Verarbeitung durchgetragen. Bei einem Ausführungsbeispiel werden Dummy-Gates durch einen Trockenätz- oder Nassätzprozess entfernt. Bei einem Ausführungsbeispiel bestehen Dummy-Gates aus polykristallinem Silizium oder amorphem Silizium und werden mit einem Trockenätzprozess entfernt, umfassend SF6. Bei einem anderen Ausführungsbeispiel sind Dummy-Gates aus polykristallinem Silizium oder amorphem Silizium zusammengesetzt und werden mit einem Nassätzprozess entfernt, umfassend wässriges NH4OH oder Tetramethylammoniumhydroxid. Bei einem Ausführungsbeispiel umfassen Dummy-Gates Siliziumnitrid und werden mit einem Nassätzen entfernt, umfassend flüssige Phosphorsäure.
  • Bei einem Ausführungsbeispiel betrachten einer oder mehrere der hierin beschriebenen Ansätze im Wesentlichen einen Dummy- und Austausch-Gate-Prozess in Kombination mit einem Dummy- und Austausch-Kontakt-Prozess. Bei einem solchen Ausführungsbeispiel wird der Austausch-Kontakt-Prozess nach dem Austausch-Gate-Prozess ausgeführt, um ein Tempern bei hoher Temperatur von zumindest einem Abschnitt des permanenten Gate-Stapels zu erlauben. Zum Beispiel wird bei einem spezifischen Ausführungsbeispiel ein Tempern von zumindest einem Abschnitt der permanenten Gate-Strukturen, z.B. nachdem eine Gate-Dielektrikum-Schicht gebildet ist, bei einer höheren Temperatur als ungefähr 600 Grad Celsius ausgeführt. Das Tempern wird vor der Bildung der permanenten Kontakte ausgeführt.
  • Als nächstes können die Grabenkontakte ausgespart werden, um ausgesparte Grabenkontakte bereitzustellen, die eine Höhe unter der oberen Oberfläche der benachbarten Abstandhalter aufweisen. Eine isolierende Abdeckungsschicht wird dann auf den ausgesparten Grabenkontakten (z.B. TILA) gebildet. Gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung ist die isolierende Abdeckungsschicht auf den ausgesparten Grabenkontakten aus einem Material zusammengesetzt, dass eine unterschiedliche Ätz-Charakteristik als die isolierende Abdeckungsschicht auf den Gate-Stapel-Strukturen aufweist.
  • Die Grabenkontakte können durch einen Prozess ausgespart werden, der selektiv für die Materialien der Abstandhalter und der Gate-isolierenden Abdeckungsschicht ist. Zum Beispiel werden bei einem Ausführungsbeispiel die Grabenkontakte durch einen Ätzprozess ausgespart, wie beispielsweise einen Nassätzprozess oder Trockenätzprozess. Die Grabenkontakt-isolierende Abdeckungsschicht kann durch einen Prozess gebildet werden, der geeignet ist, eine konforme und abdichtende Schicht über den freiliegenden Abschnitten der Grabenkontakte bereitzustellen. Beispielsweise wird bei einem Ausführungsbeispiel die Grabenkontakt-isolierende Abdeckungsschicht durch einen Prozess einer chemischen Gasphasenabscheidung (CVD; chemical vapor deposition) als eine konforme Schicht über der gesamten Struktur gebildet. Die konforme Schicht wird dann planarisiert, z.B. durch chemisch-mechanisches Polieren (CMP; chemical mechanical polishing), um das Grabenkontakt-isolierende Abdeckungsschichtmaterial nur oberhalb der ausgesparten Grabenkontakte bereitzustellen.
  • Bezüglich geeigneter Materialkombinationen für Gate- oder Grabenkontakt-isolierende Abdeckungsschichten ist bei einem Ausführungsbeispiel eines des Paares von Gateversus Grabenkontakt-Isolierabdeckungsschichten aus Siliziumoxid zusammengesetzt, während das andere aus Siliziumnitrid zusammengesetzt ist. Bei einem anderen Ausführungsbeispiel ist eines des Paares von Gate- versus Grabenkontakt-Isolierabdeckungsmaterial aus Siliziumoxid zusammengesetzt, während das andere aus Kohlenstoff-dotiertem Siliziumnitrid zusammengesetzt ist. Bei einem anderen Ausführungsbeispiel ist eines des Paares von Gate- versus Grabenkontakt-Isolierabdeckungsmaterial aus Siliziumoxid zusammengesetzt, während das andere aus Siliziumkarbid zusammengesetzt ist. Bei einem anderen Ausführungsbeispiel ist eines des Paares von Gate- versus Grabenkontakt-Isolierabdeckungsmaterial aus Siliziumnitrid zusammengesetzt, während das andere aus Kohlenstoff-dotiertem Siliziumnitrid zusammengesetzt ist. Bei einem anderen Ausführungsbeispiel ist eines des Paares von Gate- versus Grabenkontakt-Isolierabdeckungsmaterial aus Siliziumnitrid zusammengesetzt, während das andere aus Siliziumkarbid zusammengesetzt ist. Bei einem anderen Ausführungsbeispiel ist eines des Paares von Gate- versus Grabenkontakt-Isolierabdeckungsmaterial aus Kohlenstoff-dotiertem Siliziumnitrid zusammengesetzt, während das andere aus Siliziumkarbid zusammengesetzt ist.
  • Als eine beispielhafte Struktur stellt 5C eine Draufsicht und entsprechende Querschnittsansichten einer Integrierte-Schaltungs-Struktur mit Grabenkontakten und einem Gate-Kontakt dar, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
  • Bezugnehmend auf 5C umfasst eine Integrierte-Schaltungs-Struktur 550 eine Gate-Leitung 554 über einem Halbleitersubstrat oder einer Finne 552, wie beispielsweise einer Silizium-Finne. Die Gate-Leitung 554 umfasst einen Gate-Stapel 555 (z.B. umfassend eine Gate-Dielektrikum-Schicht oder einen -Stapel und eine Gate-Elektrode auf der Gate-Dielektrikum-Schicht oder dem -Stapel) und eine Gate-isolierende Abdeckungsschicht 556 auf dem Gate-Stapel 555. Dielektrische Abstandhalter 558 sind entlang Seitenwänden des Gate-Stapels 555 und bei einem Ausführungsbeispiel entlang Seitenwänden der Gate-isolierenden Abdeckungsschicht 556, wie gezeigt ist.
  • Grabenkontakte 560 sind benachbart zu den Seitenwänden der Gate-Leitung 554 mit den dielektrischen Abstandhaltern 558 zwischen der Gate-Leitung 554 und den Grabenkontakten 560. Individuelle der Grabenkontakte 560 umfassen eine leitfähige Kontaktstruktur 561 und eine Grabenkontakt-isolierende Abdeckungsschicht 562 auf der leitfähigen Kontaktstruktur 561.
  • Bezugnehmend wiederum auf 5C, wird ein Gate-Kontakt-Via 564 auf einem Gate-Abgriffsmerkmal (z.B. einem nicht ausgesparten Abschnitt) gebildet, das innerhalb einer Öffnung der Gate-isolierenden Abdeckungsschicht 556 angeordnet ist. Das Gate-Kontakt-Via 564 stellt einen elektrischen Kontakt mit dem Gate-Stapel 555 an der Stelle des Gate-Abgriffsmerkmals her. Bei einem Ausführungsbeispiel kontaktiert das Gate-Kontakt-Via 564 elektrisch den Gate-Stapel 555 an einer Position über dem Halbleitersubstrat oder der Finne 552 und lateral zwischen den Grabenkontakten 560, wie gezeigt ist. Bei einem solchen Ausführungsbeispiel verhindert die Grabenkontakt-isolierende Abdeckungsschicht 562 auf der leitfähigen Kontaktstruktur 561 einen Gate-zu-Source-Kurzschluss oder Gate-zu-Drain-Kurzschluss durch das Gate-Kontakt-Via 564.
  • Bezugnehmend wiederum auf 5C sind Graben-Kontakt-Vias 566 in einer Öffnung der Grabenkontakt-isolierenden Abdeckungsschicht 562 gebildet und kontaktieren die entsprechenden Kontaktstrukturen 561 elektrisch. Bei einem Ausführungsbeispiel kontaktieren die Grabenkontakt-Vias 566 elektrisch die entsprechenden leitfähigen Kontaktstrukturen 561 an Positionen über dem Halbleitersubstrat oder der Finne 552 und lateral benachbart zu dem Gate-Stapel 555 der Gate-Leitung 554, wie gezeigt ist. Bei einem solchen Ausführungsbeispiel verhindert die Gate-isolierende Abdeckungsschicht 556 auf dem Gate-Stapel 555 einen Source-zu-Gate-Kurzschluss oder Drain-zu- Gate-Kurzschluss durch die Grabenkontakt-Vias 566.
  • Es wird darauf hingewiesen, dass unterschiedliche strukturelle Beziehungen zwischen einer isolierenden Gate-Abdeckungsschicht und einer isolierenden Grabenkontakt-Abdeckungsschicht hergestellt werden können. Als Beispiele stellen 6A-6F Querschnittansichten von verschiedenen Integrierte-Schaltungs-Strukturen dar, jeweils mit Grabenkontakten umfassend eine darüberliegende isolierende Abdeckungsschicht und mit Gate-Stapeln umfassend eine darüberliegende isolierende Abdeckungsschicht gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
  • Bezugnehmend auf 6A, 6B und 6C umfassen Integrierte-Schaltungs-Strukturen 600A, 600B und/oder 600C eine Finne 602, wie beispielsweise eine Silizium-Finne. Obgleich als Querschnittsansicht dargestellt, wird darauf hingewiesen, dass die Finne 602 eine Oberseite 602A und Seitenwände (in die und aus der Seite der gezeigten Perspektive) aufweist. Erste 604 und zweite 606 Gate-Dielektrikum-Schichten sind über der Oberseite 602A der Finne 602 und lateral benachbart zu den Seitenwänden der Finne 602. Die erste 608 und zweite 610 Gate-Elektrode sind über der ersten 604 und/oder zweiten 606 Gate-Dielektrikum-Schicht über der Oberseite 602A der Finne 602 und lateral benachbart zu den Seitenwänden der Finne 602. Die erste 608 und zweite 610 Gate-Elektrode umfassen jeweils eine konforme leitfähige Schicht 609A, wie beispielsweise eine Arbeitsfunktions-Einstellungsschicht, und ein leitfähiges Füllmaterial 609B über der konformen leitfähigen Schicht 609A. Die erste 608 und zweite 610 Gate-Elektrode weisen beide eine erste Seite 612 und eine zweite Seite 614 gegenüberliegend zu der ersten Seite 612 auf. Die erste 608 und zweite 610 Gate-Elektrode weisen beide ferner eine isolierende Abdeckung 616 mit einer oberen Oberfläche 618 auf.
  • Gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung weisen einer oder mehrere Abschnitte der ersten 608 und der zweiten 610 Gate-Elektrode eine beibehaltene leitfähige Gate-Abgriffsstruktur auf. Zum Beispiel ist in jeder der , , , und jeweils die Gate-Struktur rechts (Gate-Elektrode 610) an einer Stelle gezeigt, die eine darüber liegende Gate-isolierende Abdeckung umfasst. Die Gate-Struktur auf der linken Seite (Gate-Elektrode 608) ist jedoch an einer Stelle gezeigt, die eine erhaltene leitfähige Gate-Abgriffsstruktur umfasst und als solche keinen darüberliegenden Gate-isolierenden Abdeckungsabschnitt an dieser Stelle umfasst.
  • Ein erster dielektrischer Abstandhalter 620 ist benachbart zu der ersten Seite 612 der ersten Gate-Elektrode 608. Ein zweiter dielektrischer Abstandhalter 622 ist benachbart zu der zweiten Seite 614 der zweiten Gate-Elektrode 610. Eine Halbleiter-Source- oder Drain-Region 624 ist benachbart zu dem ersten 620 und zweiten 622 dielektrischen Abstandhalter. Eine Grabenkontaktstruktur 626 ist über der Halbleiter-Source- oder Drain-Region 624 benachbart zu dem ersten 620 und zweiten 622 dielektrischen Abstandhalter.
  • Die Grabenkontaktstruktur 626 umfasst eine isolierende Abdeckung 628 auf einer leitfähigen Struktur 630. Die isolierende Abdeckung 628 der Grabenkontaktstruktur 626 weist eine obere Oberfläche 629 im Wesentlichen koplanar mit oberen Oberflächen 618 der isolierenden Abdeckungen 616 der ersten 608 und zweiten 610 Gate-Elektrode auf. Bei einem Ausführungsbeispiel erstreckt sich die isolierende Abdeckung 628 der Grabenkontaktstruktur 626 lateral in Aussparungen 632 in dem ersten 620 und zweiten 622 dielektrischen Abstandhalter. Bei einem solchen Ausführungsbeispiel hängt die isolierende Abdeckung 628 der Grabenkontaktstruktur 626 über die leitfähige Struktur 630 der Grabenkontaktstruktur 626. Bei anderen Ausführungsbeispielen jedoch erstreckt sich die isolierende Abdeckung 628 der Grabenkontaktstruktur 626 nicht lateral in Aussparungen 632 in dem ersten 620 und zweiten 622 dielektrischen Abstandhalter und hängt somit nicht über die leitfähige Struktur 630 der Grabenkontaktstruktur 626.
  • Es wird darauf hingewiesen, dass die leitfähige Struktur 630 der Grabenkontaktstruktur 626 möglicherweise nicht rechteckig ist, wie in 6A-6C gezeigt ist. Zum Beispiel kann die leitfähige Struktur 630 der Grabenkontaktstruktur 626 eine QuerschnittsGeometrie aufweisen, die ähnlich oder gleich zu der Geometrie ist, die für die leitfähige Struktur 630A gezeigt ist, die in der Projektion von 6A dargestellt ist.
  • Bei einem Ausführungsbeispiel weist die isolierende Abdeckung 628 der Grabenkontaktstruktur 626 eine unterschiedliche Zusammensetzung zu einer Zusammensetzung der isolierenden Abdeckungen 616 der ersten 608 und zweiten 610 Gate-Elektrode auf. Bei einem solchen Ausführungsbeispiel umfasst die isolierende Abdeckung 628 der Grabenkontaktstruktur 626 ein Karbid-Material, wie beispielsweise ein Siliziumkarbid-Material. Die isolierenden Abdeckungen 616 der ersten 608 und zweiten 610 Gate-Elektrode umfassen ein Nitrid-Material, wie beispielsweise ein Silizi umni tri d -Material.
  • Bei einem Ausführungsbeispiel umfassen die isolierenden Abdeckungen 616 der ersten 608 und zweiten 610 Gate-Elektrode beide eine untere Oberfläche 617A unter einer unteren Oberfläche 628A der isolierenden Abdeckung 628 der Grabenkontaktstruktur 626, wie in 6A gezeigt ist. Bei einem anderen Ausführungsbeispiel umfassen die isolierenden Abdeckungen 616 der ersten 608 und zweiten 610 Gate-Elektrode beide eine untere Oberfläche 617B im Wesentlichen koplanar zu einer unteren Oberfläche 628B der isolierenden Abdeckung 628 der Grabenkontaktstruktur 626, wie in 6B gezeigt ist. Bei einem anderen Ausführungsbeispiel umfassen die isolierenden Abdeckungen 616 der ersten 608 und zweiten 610 Gate-Elektrode beide eine untere Oberfläche 617C über einer unteren Oberfläche 628C der isolierenden Abdeckung 628 der Grabenkontaktstruktur 626, wie in 6C gezeigt ist.
  • Bei einem Ausführungsbeispiel umfasst die leitfähige Struktur 630 der Grabenkontaktstruktur 628 eine U-förmige Metallschicht 634, eine T-förmige Metallschicht 636 auf und über der Gesamtheit der U-förmigen Metallschicht 634, und eine dritte Metallschicht 638 auf der T-förmigen Metallschicht 636. Die isolierende Abdeckung 628 der Grabenkontaktstruktur 626 ist auf der dritten Metallschicht 638. Bei einem solchen Ausführungsbeispiel umfassen die dritte Metallschicht 638 und die U-förmige Metallschicht 634 Titan und die T-förmige Metallschicht 636 umfasst Kobalt. Bei einem bestimmten solchen Ausführungsbeispiel umfasst die T-förmige Metallschicht 636 ferner Kohlenstoff.
  • Bei einem Ausführungsbeispiel ist eine erste Metallsilizidschicht 640 direkt zwischen der leitfähigen Struktur 630 der Grabenkontaktstruktur 626 und der Halbleiter-Source- oder Drain-Region 624. Bei einem solchen Ausführungsbeispiel umfasst die Metallsilizidschicht 640 Titan und Silizium. Bei einem bestimmten solchen Ausführungsbeispiel ist die Halbleiter-Source- oder Drain-Region 624 eine N-Typ-Halbleiter-Source- oder Drain-Region. Bei einem anderen Ausführungsbeispiel umfasst die Metallsilizidschicht 640 Nickel, Platin und Silizium. Bei einem bestimmten solchen Ausführungsbeispiel ist die Halbleiter-Source- oder Drain-Region 624 eine P-Typ-Halbleiter-Source- oder Drain-Region. Bei einem anderen solchen bestimmten Ausführungsbeispiel umfasst die Metallsilizidschicht ferner Germanium.
  • Bei einem Ausführungsbeispiel, Bezug nehmend auf 6D, ist ein leitfähiges Via 650 auf und elektrisch verbunden mit einem Gate-Abgriffsabschnitt der ersten Gate-Elektrode 608 über der Oberseite 602A der Finne 602. Das leitfähige Via 650 ist einer Öffnung in einer ersten dielektrischen Ätzstoppschicht 650 (wie beispielsweise in Verbindung mit der ersten dielektrischen Ätzstoppschicht 512 beschrieben) und einer zweiten dielektrischen Ätzstoppschicht 652 (wie beispielsweise in Verbindung mit der zweiten dielektrischen Ätzstoppschicht 514 beschrieben). Bei einem solchen Ausführungsbeispiel ist das leitfähige Via 650 auf einem Abschnitt der isolierenden Abdeckung 628 der Grabenkontaktstruktur 626, ist aber nicht elektrisch verbunden mit der leitfähigen Struktur 630 der Grabenkontaktstruktur 626.
  • Bei einem Ausführungsbeispiel, Bezug nehmend auf 6E, ist ein leitfähiges Via 660 auf und elektrisch verbunden mit einem Abschnitt der Grabenkontaktstruktur 626. Das leitfähige Via ist einer Öffnung in einer ersten dielektrischen Ätzstoppschicht 650 (wie beispielsweise in Verbindung mit der ersten dielektrischen Ätzstoppschicht 512 beschrieben) und einer zweiten dielektrischen Ätzstoppschicht 652 (wie beispielsweise in Verbindung mit der zweiten dielektrischen Ätzstoppschicht 514 beschrieben) und ferner in einer Öffnung 662 der isolierenden Abdeckung 628 der Grabenkontaktstruktur 626. Bei einem solchen Ausführungsbeispiel ist das leitfähige Via 660 auf einem Abschnitt der isolierenden Abdeckungen 616 der ersten 608 und zweiten 610 Gate-Elektrode, ist aber nicht elektrisch verbunden mit der ersten 608 und zweiten 610 Gate-Elektrode. Bei einem bestimmten solchen Ausführungsbeispiel ist das leitfähige Via 660 in einem erodierten Abschnitt 664 der isolierenden Abdeckungen 616 der ersten 608 und zweiten 610 Gate-Elektrode.
  • Bezugnehmend wiederum auf 6E ist bei einem Ausführungsbeispiel das leitfähige Via 660 ein zweites leitfähiges Via in einer selben Struktur wie das leitfähige Via 650 von 6D. Bei einem solchen Ausführungsbeispiel ist ein solches zweites leitfähiges Via 660 von dem leitfähigen Via 650 isoliert. Bei einem anderen solchen Ausführungsbeispiel ist ein solches zweites leitfähiges Via 660 mit dem leitfähigen Via 650 zusammengeführt, um einen elektrischen Kurzschluss-Kontakt 670 zu bilden, wie in 6F gezeigt ist.
  • Die hierin beschriebenen Ansätze und Strukturen können die Bildung von anderen Strukturen oder Bauelementen ermöglichen, die unter Verwendung anderer Methoden nicht oder schwierig herzustellen waren. Bei einem ersten Beispiel stellt 7A eine Draufsicht eines anderen Halbleiterbauelements mit einem Gate-Kontakt-Via angeordnet über einem aktiven Abschnitt eines Gates dar, gemäß einem anderen Ausführungsbeispiel der vorliegenden Offenbarung. Bezugnehmend auf 7A umfasst eine Halbleiter-Struktur oder ein -Bauelement 700 eine Mehrzahl von Gate-Strukturen 708A-708C verzahnt mit einer Mehrzahl von Grabenkontakten 710A und 710B (diese Merkmale sind über einer aktiven Region eines Substrats angeordnet, nicht gezeigt). Ein Gate-Kontakt-Via 780 ist auf einem aktiven Abschnitt der Gate-Struktur 708B gebildet. Das Gate-Kontakt-Via 780 ist ferner auf dem aktiven Abschnitt der Gate-Struktur 708C, den Kopplungs-Gate-Strukturen 708B und 708C, angeordnet. Es wird darauf hingewiesen, dass der dazwischenliegende Grabenkontakt 710B von dem Kontakt 780 unter Verwendung einer Grabenkontakt-Isolier-Abdeckungsschicht (z.B. TILA) isoliert sein kann. Die Kontakt-Konfiguration von 7A kann einen einfacheren Ansatz zum Abstreifen benachbarter Gate-Leitungen in einem Layout bereitstellen, ohne den Bedarf zum Routen des Streifens durch obere Schichten einer Metallisierung, was somit kleinere Zellenbereiche oder weniger komplizierte Verdrahtungsschemata oder beides ermöglicht.
  • Bei einem zweiten Beispiel stellt 7B eine Draufsicht eines anderen Halbleiterbauelements mit einem Grabenkontakt-Via dar, das ein Paar von Grabenkontakten koppelt, gemäß einem anderen Ausführungsbeispiel der vorliegenden Offenbarung. Bezugnehmend auf 7B umfasst eine Halbleiter-Struktur oder ein - Bauelement 750 eine Mehrzahl von Gate-Strukturen 758A-758C verzahnt mit einer Mehrzahl von Grabenkontakten 760A und 760B (diese Merkmale sind über einer aktiven Region eines Substrats angeordnet, nicht gezeigt). Ein Grabenkontakt-Via 790 ist auf dem Grabenkontakt 760A gebildet. Das Grabenkontakt-Via 790 ist ferner auf dem Grabenkontakt 760B angeordnet, der die Grabenkontakte 760A und 760B koppelt. Es wird darauf hingewiesen, dass die dazwischenliegende Gate-Struktur 758B von dem Grabenkontakt-Via 790 unter Verwendung einer Gate-Isolations-Abdeckungsschicht (z.B. durch einen GILA-Prozess) isoliert sein kann. Die Kontakt-Konfiguration von 7B kann einen einfacheren Ansatz zum Abstreifen benachbarter Grabenkontakte in einem Layout bereitstellen, ohne den Bedarf zum Routen des Streifens durch obere Schichten einer Metallisierung, was somit kleinere Zellenbereiche oder weniger komplizierte Verdrahtungsschemata oder beides ermöglicht.
  • Eine isolierende Abdeckungsschicht für eine Gate-Elektrode kann unter Verwendung mehrerer Abscheidungsoperationen hergestellt werden und kann folglich Artefakte eines Mehrfach-Abscheidungs-Herstellungsprozesses umfassen. Als Beispiel stellen 8A-8F Querschnittsansichten dar, die verschiedene Operationen bei einem Verfahren zum Herstellen einer Integrierte-Schaltungs-Struktur repräsentieren, mit einem Gate-Stapel mit einer darüberliegenden isolierenden Abdeckungsschicht, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
  • Bezugnehmend auf 8A umfasst eine Startstruktur 800 einen Gate-Stapel 804 über einem Substrat oder einer Finne 802. Der Gate-Stapel 804 umfasst eine Gate-Dielektrikum-Schicht 806, eine konforme leitfähige Schicht 808 und ein leitfähiges Füllmaterial 810. Bei einem Ausführungsbeispiel ist die Gate-Dielektrikum-Schicht 806 eine High-k-Gate-Dielektrikum-Schicht, gebildet unter Verwendung eines Atomschichtabscheidungs- (ALD; atomic layer deposition) Prozesses, und die konforme leitfähige Schicht ist eine Arbeitsfunktionsschicht gebildet unter Verwendung eines ALD-Prozesses. Bei einem solchen Ausführungsbeispiel ist eine thermische oder chemische Oxidschicht 812, wie beispielsweise eine thermische oder chemische Siliziumdioxid- oder Siliziumoxidschicht zwischen dem Substrat oder der Finne 802 und der Gate-Dielektrikum-Schicht 806. Dielektrische Abstandhalter 814, wie beispielsweise Siliziumnitrid-Abstandhalter, sind benachbarte Seitenwände des Gate-Stapels 804. Der Gate-Dielektrikumsstapel 804 und die dielektrischen Abstandhalter 814 sind in einer Zwischenschicht-Dielektrikums- (IILD-) Schicht 816 gehäust. Bei einem Ausführungsbeispiel wird der Gate-Stapel 804 unter Verwendung eines Austausch-Gates und eines Austausch-Gate-Dielektrikums-Verarbeitungsschemas gebildet. Eine Maske 818 wird über dem Gate-Stapel 804 und der ILD-Schicht 816 strukturiert, um eine Öffnung 820 bereitzustellen, die den Gate-Stapel 804 freilegt.
  • Bezugnehmend auf 8B werden unter Verwendung eines selektiven Ätzprozesses oder mehrerer Prozesse der Gate-Stapel 804 umfassend die Gate-Dielektrikum-Schicht 806, die konforme leitfähige Schicht 808 und das leitfähige Füllmaterial 810 relativ zu den dielektrischen Abstandhaltern 814 und der Schicht 816 ausgespart. Maske 818 wird dann entfernt. Das Aussparen stellt einen Hohlraum 822 über einem ausgesparten Gate-Stapel 824 bereit. Es wird darauf hingewiesen, dass gemäß einem oder mehreren hierin beschriebenen Ausführungsbeispielen ein oder mehrere Abschnitte des Gate-Stapels 804 maskiert werden können, um eine leitfähige Gate-Abgriffsstelle zu erhalten.
  • Bei einem anderen Ausführungsbeispiel, das nicht gezeigt ist, sind die konforme leitfähige Schicht 808 und das leitfähige Füllmaterial 810 relativ zu den dielektrischen Abstandhaltern 814 und der Schicht 816 ausgespart, aber die Gate-Dielektrikum-Schicht 806 ist nicht ausgespart oder nur minimal ausgespart. Es wird darauf hingewiesen, dass bei anderen Ausführungsbeispielen ein maskenloser Ansatz basierend auf einer hohen Ätz-Selektivität für die Aussparung verwendet wird.
  • Bezug nehmend auf 8C wird ein erster Abscheidungsprozess in einem Mehrfach-Abscheidungsprozess zum Herstellen einer Gate-isolierenden Abdeckungsschicht ausgeführt. Der erste Abscheidungsprozess wird verwendet, um eine erste Isolierschicht 826 konform mit der Struktur von 8B zu bilden. Bei einem Ausführungsbeispiel umfasst die erste Isolierschicht 826 Silizium und Stickstoff, z.B. ist die erste Isolierschicht 826 eine Siliziumnitrid- (Si3N4) Schicht, eine Silizium-reiche Siliziumnitridschicht, eine Silizium-arme Siliziumnitridschicht oder eine Kohlenstoff-dotierte Siliziumnitridschicht. Bei einem Ausführungsbeispiel füllt die erste Isolierschicht 826 nur teilweise den Hohlraum 822 über dem ausgesparten Gate-Stapel 824, wie gezeigt ist.
  • Bezugnehmend auf 8D wird die erste Isolierschicht 826 einem Rückätzprozess ausgesetzt, wie beispielsweise einem anisotropen Ätzprozess, um erste Abschnitte 828 einer isolierenden Abdeckungsschicht bereitzustellen. Die ersten Abschnitte 828 einer isolierenden Abdeckungsschicht füllen den Hohlraum 822 über dem ausgesparten Gate-Stapel 824 nur teilweise.
  • Bezugnehmend auf 8E werden zusätzlich abwechselnd Abscheidungsprozesse und Rückätzprozesse ausgeführt, bis der Hohlraum 822 mit einer isolierenden Gate-Abdeckungsstruktur 830 über dem ausgesparten Gate-Stapel 824 gefüllt ist. Nähte 832 können in einer Querschnittanalyse sichtbar sein und können anzeigend für die Anzahl von abwechselnden Abscheidungsprozessen und Rückätzprozessen sein, die zum Isolieren der Gate-Abdeckungsstruktur 830 verwendet werden. Bei dem in 8E gezeigten Beispiel ist das Vorliegen von drei Sätzen von Nähten 832A, 832B und 832C anzeigend für vier abwechselnde Abscheidungsprozesse und Rückätzprozesse, die zum Isolieren der Gate-Abdeckungsstruktur 830 verwendet werden. Bei einem Ausführungsbeispiel weisen die Materialien 830A, 830B, 830C und 830D der isolierenden Gate-Abdeckungsstruktur 830, die durch Nähte 832 getrennt sind, alle genau oder im Wesentlichen die gleiche Zusammensetzung auf. Es wird darauf hingewiesen, dass gemäß einem oder mehreren hierin beschriebenen Ausführungsbeispielen eine isolierende Gate-Abdeckungsstruktur 830 nicht an Stellen hergestellt wird, an denen ein leitfähiger Gate-Abgriff aufrechterhalten wurde.
  • Bezug nehmend auf 8F wird eine erste dielektrische Ätzstoppschicht 850 (wie beispielsweise in Zuordnung zu der ersten dielektrischen Ätzstoppschicht 512 beschrieben) auf der Struktur von 8E gebildet. Eine zweite dielektrische Ätzstoppschicht 852 (wie beispielsweise in Zuordnung zu der zweiten dielektrischen Ätzstoppschicht 514 beschrieben) wird auf der ersten dielektrischen Ätzstoppschicht 850 gebildet.
  • Wie durchgehend in der vorliegenden Anmeldung beschrieben, kann ein Substrat aus einem Halbleitermaterial zusammengesetzt sein, das einem Herstellungsprozess widerstehen kann und in dem Ladung migrieren kann. Bei einem Ausführungsbeispiel ist ein hierin beschriebenes Substrat ein Bulk-Substrat, umfassend eine kristalline Silizium-, Silizium/Germanium- oder Germanium-Schicht dotiert mit einem Ladungsträger, wie beispielsweise aber nicht beschränkt auf Phosphor, Arsen, Bor oder eine Kombination derselben, um eine aktive Region zu bilden. Bei einem Ausführungsbeispiel ist die Konzentration von Silizium-Atomen in einem solchen Bulk-Substrat größer als 97%. Bei einem anderen Ausführungsbeispiel ist ein Bulk-Substrat aus einer epitaxialen Schicht, gewachsen oben auf einem einzelnen kristallinen Substrat, z.B. einer epitaxialen Siliziumschicht, die oben auf einem Bor-dotierten monokristallinen Bulk-SiliziumSubstrat gewachsen ist, zusammengesetzt. Das Bulk-Substrat kann alternativ aus einem Material der Gruppe III-V bestehen. Bei einem Ausführungsbeispiel umfasst ein Bulk-Substrat ein III-V Material, wie beispielsweise aber nicht beschränkt auf Galliumnitrid, Galliumphosphid, Galliumarsenid, Indiumphosphid, Indiumantimonid, Indiumgalliumarsenid, Aluminiumgalliumarsenid, Indiumgalliumphosphid oder eine Kombination derselben. Bei einem Ausführungsbeispiel umfasst ein Bulk-Substrat ein III-V Material und die Ladungsträger-Dotierstoff-Verunreinigungsatome sind solche wie beispielsweise aber nicht beschränkt auf Kohlenstoff, Silizium, Germanium, Sauerstoff, Schwefel, Selen oder Tellur.
  • Wie durchgehend in der vorliegenden Anmeldung beschrieben ist, können Isolationsregionen, wie beispielsweise Flache-Graben-Isolationsregionen oder Teilfinnen-Isolationsregionen aus einem Material zusammengesetzt sein, das geeignet ist zum schließlichen elektrischen Isolieren, oder zum Beitragen zur Isolierung von Abschnitten einer permanenten Gate-Struktur von einem darunter liegenden Bulk-Substrat oder zum Isolieren aktiver Regionen, die innerhalb eines darunter liegenden Bulk-Substrat gebildet sind, wie beispielsweise Isolieren finnenaktiver Regionen. Zum Beispiel umfasst bei einem Ausführungsbeispiel eine Isolationsregion eine oder mehrere Schichten eines dielektrischen Materials, wie beispielsweise aber nicht beschränkt auf Siliziumdioxid, Siliziumoxynitrid, Siliziumnitrid, Kohlenstoff-dotiertes Siliziumnitrid oder eine Kombination derselben.
  • Wie durchgehend in der vorliegenden Anmeldung beschrieben ist, können Gate-Leitungen oder Gate-Strukturen aus einem Gate-Elektrodenstapel zusammengesetzt sein, der eine Gate-Dielektrikum-Schicht und eine Gate-Elektrodenschicht umfasst. Bei einem Ausführungsbeispiel umfasst die Gate-Elektrode des Gate-Elektrodenstapels ein Metall-Gate und die Gate-Dielektrikum-Schicht umfasst ein High-k-Material. Zum Beispiel umfasst bei einem Ausführungsbeispiel die Gate-Dielektrikum-Schicht ein Material, wie beispielsweise aber nicht beschränkt auf Hafniumoxid, Hafniumoxynitrid, Hafniumsilikat, Lanthanoxid, Zirkoniumoxid, Zirkoniumsilikat, Tantaloxid, Barium-Strontium-Titanat, Barium-Titanat, Strontium-Titanat, Yttriumoxid, Aluminiumoxid, Blei-Scandium-Tantal-Oxid, Blei-Zink-Niobat oder einer Kombination derselben. Ferner kann ein Abschnitt der Gate-Dielektrikum-Schicht eine Schicht aus nativem Oxid umfassen, gebildet aus den oberen paar Schichten eines Halbleitersubstrats. Bei einem Ausführungsbeispiel umfasst die Gate-Dielektrikum-Schicht einen oberen High-k-Abschnitt und einen unteren Abschnitt, umfassend ein Oxid aus einem Halbleitermaterial. Bei einem Ausführungsbeispiel umfasst die Gate-Dielektrikum-Schicht einen oberen Abschnitt aus Hafniumoxid und einen unteren Abschnitt aus Siliziumdioxid oder Siliziumoxynitrid. Bei einigen Implementierungen ist ein Abschnitt des Gate-Dielektrikums eine „U“-förmige Struktur, umfassend einen unteren Abschnitt im Wesentlichen parallel zu der Oberfläche des Substrats und zwei Seitenwandabschnitte, die im Wesentlichen senkrecht zu der oberen Oberfläche des Substrats sind.
  • Bei einem Ausführungsbeispiel umfasst eine Gate-Elektrode eine Metallschicht, wie beispielsweise, aber nicht beschränkt auf Metallnitride, Metallkarbide, Metallsilizide, Metallaluminide, Hafnium, Zirkonium, Titan, Tantal, Aluminium, Ruthenium, Palladium, Platin, Kobalt, Nickel oder leitfähige Metalloxide. Bei einem spezifischen Ausführungsbeispiel umfasst die Gate-Elektrode ein Nicht-Arbeitsfunktions-Einstellungs-Füllmaterial gebildet über einer Metall-Arbeitsfunktions-EinstellungsSchicht. Die Gate-Elektrodenschicht kann aus einem P-Typ-Arbeitsfunktionsmetall oder einem N-Typ-Arbeitsfunktionsmetall bestehen, abhängig davon, ob der Transistor ein PMOS- oder ein NMOS-Transistor sein soll. Bei einigen Implementierungen kann die Gate-Elektrodenschicht aus einem Stapel von zwei oder mehr Metallschichten bestehen, wobei eine oder mehrere Metallschichten Arbeitsfunktions-Metallschichten sind und zumindest eine Metallschicht eine leitfähige Füllschicht ist. Für einen PMOS-Transistor umfassen Metalle, die für die Gate-Elektrode verwendet werden können, Ruthenium, Palladium, Platin, Cobalt, Nickel und leitfähige Metalloxide, z. B. Rutheniumoxid, sind aber nicht darauf beschränkt. Eine P-Typ-Metallschicht ermöglicht die Bildung einer PMOS-Gate-Elektrode mit einer Arbeitsfunktion, die zwischen etwa 4,9 eV und etwa 5,2 eV ist. Für einen NMOS-Transistor umfassen Metalle, die für die Gate-Elektrode verwendet werden können, Hafnium, Zirkonium, Titan, Tantal, Aluminium, Legierungen dieser Metalle und Karbide dieser Metalle, wie beispielsweise Hafniumkarbid, Zirkoniumkarbid, Titankarbid, Tantalkarbid und Aluminiumkarbid, sind aber nicht darauf beschränkt. Eine N-Typ-Metallschicht ermöglicht die Bildung einer NMOS-Gate-Elektrode mit einer Arbeitsfunktion, die zwischen etwa 3,9 eV und etwa 4,2 eV ist. Bei einigen Implementierungen kann die Gate-Elektrode aus einer „U“-förmigen Struktur bestehen, die einen unteren Abschnitt, der im Wesentlichen parallel zu der Oberfläche des Substrats ist, und zwei Seitenwandabschnitte umfasst, die im Wesentlichen senkrecht zu der oberen Oberfläche des Substrats sind. Bei einer anderen Implementierung kann zumindest eine der Metallschichten, die die Gate-Elektrode bilden, einfach eine planare Schicht sein, die im Wesentlichen parallel zu der oberen Oberfläche des Substrats ist und keine Seitenwandabschnitte im Wesentlichen senkrecht zu der oberen Oberfläche des Substrats umfasst. Bei weiteren Implementierungen der Offenbarung kann die Gate-Elektrode aus einer Kombination aus U-förmigen Strukturen und planaren, nicht U-förmigen Strukturen bestehen. Zum Beispiel kann die Gate-Elektrode aus einer oder mehreren U-förmigen Metallschichten bestehen, die auf einer oder mehreren planaren, nicht U-förmigen Schichten gebildet sind.
  • Wie durchgehend in der vorliegenden Anmeldung beschrieben ist, können Abstandhalter, die Gate-Leitungen oder Elektrodenstapeln zugeordnet sind, ein Material umfassen, das geeignet ist zum schließlichen elektrischen Isolieren, oder zum Beitragen zur Isolation von einer permanenten Gate-Struktur von benachbarten leitfähigen Kontakten, wie beispielsweise selbstjustierten Kontakten. Zum Beispiel umfassen bei einem Ausführungsbeispiel die Abstandhalter ein dielektrisches Material, wie beispielsweise aber nicht beschränkt auf Siliziumdioxid, Siliziumoxynitrid, Siliziumnitrid oder Kohlenstoff-dotiertes Siliziumnitrid.
  • Bei einem Ausführungsbeispiel, wie durchgehend in der vorliegenden Beschreibung verwendet, besteht ein Zwischenschicht-Dielektrikums- (ILD) Material aus oder umfasst eine(r) Schicht eines dielektrischen oder isolierenden Materials. Beispiele von geeigneten dielektrischen Materialien umfassen, sind aber nicht beschränkt auf Oxide von Silizium (z.B. Siliziumdioxid (SiO2)), dotierte Oxide von Silizium, fluorierte Oxide von Silizium, Kohlenstoff-dotierte Oxide von Silizium, verschiedene Low-k-Dielektrikums-Materialien, die in der Technik bekannt sind, und Kombinationen derselben. Das Zwischenschicht-Dielektrikumsmaterial kann durch Techniken gebildet werden, wie beispielsweise chemische Gasphasenabscheidung (CVD; chemical vapor deposition), physikalische Gasphasenabscheidung (PVD; physical vapor deposition) oder durch andere Abscheidungsverfahren.
  • Bei einem Ausführungsbeispiel, wie auch durchgehend in der vorliegenden Beschreibung verwendet, sind Metallleitungen oder Verbindungsleitungsmaterial (und Via-Material) aus einem oder mehreren Metallen oder anderen leitfähigen Strukturen zusammengesetzt. Ein übliches Beispiel ist die Verwendung von Kupfer-Leitungen und -Strukturen, die Barriereschichten zwischen dem Kupfer und dem umgebenden ILD-Material umfassen können oder nicht. Nach hiesigem Gebrauch umfasst der Ausdruck Metall Legierungen, Stapel und andere Kombinationen aus mehreren Metallen. Zum Beispiel können die Metall-Verbindungsleitungen Barriereschichten (z.B. Schichten umfassend eines oder mehrere aus Ta, TaN, Ti oder TiN), Stapel aus unterschiedlichen Metallen oder Legierungen, etc. umfassen. Somit können die Verbindungsleitungen eine Einzelmaterialschicht sein oder können aus mehreren Schichten gebildet sein, umfassend Leiterbahnschichten und Füllschichten. Irgendein geeigneter Abscheidungsprozess, wie beispielsweise Elektroplattieren, chemische Gasphasenabscheidung oder physikalische Gasphasenabscheidung kann zum Bilden von Verbindungsleitungen verwendet werden. Bei einem Ausführungsbeispiel umfassen die Verbindungsleitungen ein leitfähiges Material, wie beispielsweise, aber nicht beschränkt auf Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au oder Legierungen derselben. Die Verbindungsleitungen werden in der Technik manchmal auch bezeichnet als Leiterbahnen, Drähte, Leitungen, Metall oder einfach Verbindung.
  • Bei einem Ausführungsbeispiel, wie durchgehend in der vorliegenden Beschreibung verwendet, umfassen Hartmaskenmaterialien dielektrische Materialien, die sich von dem Zwischenschichtdielektrikumsmaterial unterscheiden. Bei einem Ausführungsbeispiel können unterschiedliche Hartmaskenmaterialien in unterschiedlichen Regionen verwendet werden, um unterschiedliche Wachstums- oder Ätz-Selektivität zueinander oder zu dem darunterliegenden Dielektrikum und den Metallschichten bereitzustellen.
  • Bei einigen Ausführungsbeispielen umfasst eine Hartmaskenschicht eine Schicht aus einem Nitrid von Silizium (z.B. Siliziumnitrid) oder eine Schicht aus einem Oxid von Silizium oder beides oder eine Kombination derselben. Andere geeignete Materialien können Kohlenstoff-basierte Materialien umfassen. Bei einem anderen Ausführungsbeispiel umfasst ein Hartmaskenmaterial eine Metallspezies. Zum Beispiel kann eine Hartmaske oder ein anderes darüberliegendes Material eine Schicht aus einem Nitrid von Titan oder einem anderen Metall (z.B. Titannitrid) aufweisen. Potenziell geringere Beträge anderer Materialien, wie beispielsweise Sauerstoff, können in einer oder mehreren dieser Schichten umfasst sein. Alternativ können im Stand der Technik bekannte, andere Hartmaskenschichten verwendet werden, abhängig von der bestimmten Implementierung. Die Hartmaskenschichten können durch CVD, PVD oder durch andere Abscheidungsverfahren gebildet werden.
  • Bei einem Ausführungsbeispiel, wie durchgehend in der vorliegenden Beschreibung verwendet, werden lithographische Operationen unter Verwendung einer 193nm Immersions-Lithographie (i193), Extrem-Ultraviolett- (EUV; extreme ultra-violet) Lithographie oder Elektronenstrahl-Direkt-Schreib- (EBDW; electron beam direct write) Lithographie oder ähnlichem ausgeführt. Ein Positiv-Ton- oder ein Negativ-Ton-Resist können verwendet werden. Bei einem Ausführungsbeispiel ist eine lithographische Maske eine Dreischichtmaske, zusammengesetzt aus einem topographischen Maskierungsabschnitt, einer anti-reflektierenden Beschichtungs (ARC; anti-reflective coating) -Schicht und einer Photoresistschicht. Bei einem bestimmten solchen Ausführungsbeispiel ist der topographische Maskierungsabschnitt eine Kohlenstoff-Hartmasken- (CHM; carbon hardmask) Schicht und die anti-reflektierende Beschichtungs-Schicht ist eine Silizium-ARC-Schicht.
  • Bei einem Ausführungsbeispiel können hierin beschriebene Ansätze ein Bilden einer Kontaktstruktur umfassen, die im Wesentlichen sehr gut mit einer bestehenden Gate-Struktur ausgerichtet ist, während die Verwendung einer lithographischen Operation mit übermäßig engem Ausrichtungsbudget eliminiert wird. Bei einem solchen Ausführungsbeispiel ermöglicht dieser Ansatz die Verwendung eines intrinsisch hochselektiven Nassätzens (z.B. versus Trocken- oder Plasma-Ätzen), um Kontaktöffnungen zu erzeugen. Bei einem Ausführungsbeispiel wird eine Kontaktstruktur durch Verwenden einer existierenden Gate-Struktur in Kombination mit einer Kontakt-Plug- Lithographieoperation gebildet. Bei einem solchen Ausführungsbeispiel ermöglicht der Ansatz die Beseitigung des Bedarfs nach einer ansonsten kritischen Lithographieoperation zum Erzeugen einer Kontaktstruktur, wie sie bei anderen Ansätzen verwendet wird. Bei einem Ausführungsbeispiel wird ein Graben-Kontaktgitter nicht separat strukturiert, sondern zwischen Poly- (Gate-) Leitungen gebildet. Zum Beispiel wird bei einem solchen Ausführungsbeispiel ein Graben-Kontaktgitter nach der Gate-Gitter-Strukturierung aber vor dem Gate-Gitter-Schneiden gebildet.
  • Ferner kann eine Gate-Stapel-Struktur durch einen Austausch-Gate-Prozess hergestellt werden. Bei einem solchen Schema kann ein Dummy-Gate-Material, wie z.B. Polysilizium- oder Siliziumnitrid-Säulenmaterial entfernt und durch permanentes Gate-Elektrodenmaterial ersetzt werden. Bei einem solchen Ausführungsbeispiel wird eine permanente Gate-Dielektrikum-Schicht auch bei diesem Prozess gebildet, und wird nicht aus einer vorangehenden Verarbeitung durchgetragen. Bei einem Ausführungsbeispiel werden Dummy-Gates durch einen Trockenätz- oder Nassätzprozess entfernt. Bei einem Ausführungsbeispiel sind Dummy-Gates aus polykristallinem Silizium oder amorphem Silizium zusammengesetzt und werden mit einem Trockenätzprozess entfernt, umfassend die Verwendung von SF6. Bei einem anderen Ausführungsbeispiel sind Dummy-Gates aus polykristallinem Silizium oder amorphem Silizium zusammengesetzt und werden mit einem Nassätzprozess entfernt, umfassend die Verwendung von wässrigem NH4OH oder Tetramethylammoniumhydroxid. Bei einem Ausführungsbeispiel umfassen Dummy-Gates Siliziumnitrid und werden mit einem Nassätzen entfernt, umfassend flüssige Phosphorsäure.
  • Bei einem Ausführungsbeispiel betrachten einer oder mehrere der hierin beschriebenen Ansätze im Wesentlichen einen Dummy- und Austausch-Gate-Prozess in Kombination mit einem Dummy- und Austausch-Kontakt-Prozess, um eine Struktur zu erhalten. Bei einem solchen Ausführungsbeispiel wird der Austausch-Kontakt-Prozess nach dem Austausch-Gate-Prozess ausgeführt, um ein Tempern bei hoher Temperatur von zumindest einem Abschnitt des permanenten Gate-Stapels zu erlauben. Zum Beispiel wird bei einem solchen spezifischen Ausführungsbeispiel ein Tempern von zumindest einem Abschnitt der permanenten Gate-Strukturen, z.B. nachdem eine Gate-Dielektrikum-Schicht gebildet ist, bei einer höheren Temperatur als ungefähr 600 Grad Celsius ausgeführt. Das Tempern wird vor der Bildung der permanenten Kontakte ausgeführt.
  • Bei einigen Ausführungsbeispielen platziert die Anordnung einer Halbleiterstruktur oder eines -Bauelements einen Gate-Kontakt über Abschnitten einer Gate-Leitung oder eines Gate-Stapels über Isolationsregionen. Solch eine Anordnung jedoch kann als ineffiziente Verwendung von Layout-Raum betrachtet werden. Bei einem anderen Ausführungsbeispiel weist ein Halbleiterbauelement Kontaktstrukturen auf, die Abschnitte einer Gate-Elektrode kontaktieren, die über einer aktiven Region gebildet ist. Im Allgemeinen, vor dem (z.B. zusätzlich zu dem) Bilden einer Gate-Kontaktstruktur (wie beispielsweise eines Via) über einem aktiven Abschnitt eines Gates und in derselben Schicht wie ein Grabenkontakt-Via, umfassen ein oder mehrere Ausführungsbeispiele der vorliegenden Offenbarung zuerst das Verwenden eines Gate-ausgerichteten Grabenkontakt-Prozesses. Ein solcher Prozess kann implementiert sein zum Bilden von Graben-Kontaktstrukturen zur Halbleiterstrukturherstellung, z.B. zur Herstellung integrierter Schaltungen. Bei einem Ausführungsbeispiel wird eine GrabenKontaktstruktur ausgerichtet mit einer bestehenden Gate-Struktur gebildet. Im Gegensatz dazu umfassen andere Ansätze üblicherweise einen zusätzlichen Lithographieprozess mit einer engen Registrierung einer lithographischen Kontaktstruktur mit einer existierenden Gate-Struktur in Kombination mit selektiven Kontakt-Ätzungen. Zum Beispiel kann ein anderer Prozess die Strukturierung eines Poly- (Gate-) Gitters mit einer separaten Strukturierung von Kontaktmerkmalen umfassen.
  • Es wird darauf hingewiesen, dass Abstandsteilungs-Verarbeitungs- und Strukturierungs-Schemata implementiert sein können, um hierin beschriebene Ausführungsbeispiele zu ermöglichen, oder können als Teil von hierin beschriebenen Ausführungsbeispielen umfasst sein. Abstandsteilungs-Strukturierung bezieht sich üblicherweise auf eine Abstands-Halbierung, Abstands-Viertelung, etc. Abstandsteilungs-Schemata können an eine FEOL-Verarbeitung, BEOL-Verarbeitung oder sowohl FEOL- (Bauelement) als auch BEOL- (Metallisierung) Verarbeitung anwendbar sein. Gemäß einem oder mehreren hierin beschriebenen Ausführungsbeispielen wird eine optische Lithographie zuerst implementiert, um unidirektionale Leitungen (z.B. entweder strikt unidirektional oder überwiegend unidirektional) in einem vordefinierten Abstand zu drucken. Abstandsteilungs-Verarbeitung wird dann als eine Technik zum Erhöhen der Leitungsdichte implementiert.
  • Bei einem Ausführungsbeispiel wird der Ausdruck „Gitterstruktur“ für Finnen, Gate-Leitungen, Metall-Leitungen, ILD-Leitungen oder Hartmasken-Leitungen hierin verwendet, um Bezug auf eine Gitterstruktur mit engem Abstand zu nehmen. Bei einem solchen Ausführungsbeispiel ist der enge Abstand nicht direkt durch eine ausgewählte Lithographie erreichbar. Zum Beispiel kann eine Struktur basierend auf einer ausgewählten Lithographie zuerst gebildet werden, aber der Abstand (pitch) kann durch die Verwendung einer Abstandhalter-Masken-Strukturierung halbiert werden, wie im Stand der Technik bekannt ist. Weiter kann der Originalabstand durch eine zweite Runde einer Abstandhalter-Masken-Strukturierung geviertelt werden. Dementsprechend können hierin beschriebene gitterartige Strukturen Metall-Leitungen, ILD-Leitungen oder Hartmasken-Leitungen aufweisen, die mit einem im Wesentlichen konsistenten Abstand beabstandet sind, und eine im Wesentlichen konsistente Breite aufweisen. Zum Beispiel wäre bei einigen Ausführungsbeispielen die Abstands-Variation innerhalb von zehn Prozent und die Breiten-Variation wäre innerhalb von zehn Prozent, und bei einigen Ausführungsbeispielen wäre die Abstands-Variation innerhalb von fünf Prozent und die Breiten-Variation wäre innerhalb von fünf Prozent. Die Struktur kann durch einen Abstands-Halbierungs- oder Abstands-Viertelungs- oder einen anderen Abstandsteilungs-Ansatz hergestellt werden. Bei einem Ausführungsbeispiel ist die Gitterung nicht notwendigerweise mit einem einzelnen Abstand.
  • Bei einem Ausführungsbeispiel wird der Deckschichtfilm unter Verwendung von Lithographie und Ätzverarbeitung strukturiert, was z.B. eine auf Abstandhaltern basierende Doppelstrukturierung (SBDP; spacer-based-double-patterning) oder Abstandhalbierung, oder eine auf Abstandhaltern basierende Viertelstrukturierung (SBQP; spacer-based-quadruple-patterning) oder Abstandsviertelung umfassen kann. Es sollte darauf hingewiesen werden, dass auch andere Abstandsteilungsansätze implementiert werden können. In jedem Fall kann bei einem Ausführungsbeispiel ein gitterartiges Layout durch einen ausgewählten Lithographie-Ansatz hergestellt werden, wie beispielsweise eine 193nm-Immersions-Lithographie (193i). Eine Abstandsteilung kann implementiert werden, um die Dichte der Leitungen in dem gitterartigen Layout um einen Faktor n zu erhöhen. Die Bildung des gitterartigen Layouts mit einer 193i-Lithographie plus Abstandsteilung um einen Faktor ‚n‘ kann bezeichnet werden als 193i + P/n Abstandsteilung. Bei einem solchen Ausführungsbeispiel kann die 193nm-Immersions-Skalierung für viele Generationen mit kosteneffektiver Abstands-Teilung erweitert werden.
  • Es wird darauf hingewiesen, dass nicht alle Aspekte der oben beschriebenen Prozesse praktiziert werden müssen, um in das Wesen und den Schutzbereich der Ausführungsbeispiele der vorliegenden Offenbarung zu fallen. Zum Beispiel müssen bei einem Ausführungsbeispiel Dummy-Gates nicht immer vor dem Herstellen der Gate-Kontakte über aktiven Abschnitten der Gate-Stapel gebildet werden. Die oben beschriebenen Gate-Stapel können tatsächlich permanente Gate-Stapel sein, wie sie anfänglich gebildet wurden. Auch können die hierin beschriebenen Prozesse verwendet werden, um ein oder eine Mehrzahl von Halbleiterbauelementen herzustellen. Die Halbleiterbauelemente können Transistoren oder ähnliche Bauelemente sein. Zum Beispiel sind bei einem Ausführungsbeispiel die Halbleiterbauelemente Metall-Oxid-Halbleiter- (MOS-; metal-oxide semiconductor) Transistoren für Logik oder Speicher, oder sind Bipolartransistoren. Auch bei einem Ausführungsbeispiel weisen die Halbleiterbauelemente eine dreidimensionale Architektur, wie beispielsweise ein Trigate-Bauelement, ein unabhängig zugegriffenes Doppel-Gate-Bauelement oder einen FIN-FET auf. Ein oder mehrere Ausführungsbeispiele können insbesondere nützlich sein zum Herstellen von Halbleiterbauelementen bei einem 10-Nanometer- (10 nm) Technologie-Knoten oder Unter-10-Nanometer- (10 nm) Technologie-Knoten.
  • Zusätzliche oder dazwischenliegende Operationen für eine FEOL-Schicht- oder Struktur-Herstellung können mikroelektronische Standard-Herstellungsprozesse umfassen, wie beispielsweise Lithografie, Ätzen, Dünnfilm-Abscheidung, Planarisierung (wie beispielsweise chemisch mechanisches Polieren (CMP)), Diffusion, Metrologie, die Verwendung von Opferschichten, die Verwendung von Ätzstoppschichten, die Verwendung von Planarisierungsstoppschichten oder irgendeine andere zugeordnete Aktion mit mikroelektronischer Komponentenherstellung. Es wird ferner darauf hingewiesen, dass die für die vorangehenden Prozessflüsse beschriebenen Prozessoperationen in alternativen Sequenzen ausgeführt werden können, und nicht jede Operation ausgeführt werden muss oder zusätzliche Prozessoperationen ausgeführt werden können, oder beides.
  • Hierin offenbarte Ausführungsbeispiele können verwendet werden, um eine breite Vielzahl von unterschiedlichen Typen von integrierten Schaltungen oder mikroelektronischen Bauelementen herzustellen. Beispiele solcher integrierten Schaltungen umfassen, sind aber nicht beschränkt auf Prozessoren, Chipsatz-Komponenten, Graphik-Prozessoren, digitale Signalprozessoren, Microcontroller und ähnliches. Bei anderen Ausführungsbeispielen kann ein Halbleiterspeicher hergestellt werden. Ferner können die integrierten Schaltungen oder andere mikroelektronische Bauelemente in einer Vielzahl von elektronischen Bauelementen verwendet werden, die in der Technik bekannt sind. Zum Beispiel in Computersystemen (z.B. Desktop, Laptop, Server), Mobiltelefonen, persönlicher Elektronik, etc. Die integrierten Schaltungen können mit einem Bus und anderen Komponenten in den Systemen gekoppelt sein. Zum Beispiel kann ein Prozessor durch einen oder mehrere Busse mit einem Speicher, einem Chipsatz, etc. gekoppelt sein. Jeder aus dem Prozessor, dem Speicher und dem Chipsatz kann potenziell unter Verwendung der hierin offenbarten Ansätze hergestellt werden.
  • 9 stellt eine Rechenvorrichtung 900 gemäß einer Implementierung der Offenbarung dar. Die Rechenvorrichtung 900 häust eine Platine 902. Die Platine 902 kann eine Anzahl von Komponenten umfassen, einschließlich aber nicht beschränkt auf einen Prozessor 904 und zumindest einen Kommunikationschip 906. Der Prozessor 904 ist physisch und elektrisch mit der Platine 902 gekoppelt. Bei einigen Implementierungen ist der zumindest eine Kommunikationschip 906 auch physisch und elektrisch mit der Platine 902 gekoppelt. Bei weiteren Implementierungen ist der Kommunikationschip 906 Teil des Prozessors 904.
  • Abhängig von ihren Anwendungen kann die Rechenvorrichtung 900 andere Komponenten umfassen, die physisch und elektrisch mit der Platine 902 gekoppelt sein können oder nicht. Diese anderen Komponenten umfassen, sind aber nicht beschränkt auf einen flüchtigen Speicher (z.B. DRAM), einen nichtflüchtigen Speicher (z.B. ROM), einen Flash-Speicher, einen Graphikprozessor, einen digitalen Signalprozessor, einen Krypto-Prozessor, einen Chipsatz, eine Antenne, eine Anzeige, eine Touchscreen-Anzeige, eine Touchscreen-Steuerung, eine Batterie, einen Audio-Codec, einen Video-Codec, einen Leistungsverstärker, ein GPS (global positioning system; globales Positionierungssystem) -Bauelement, einen Kompass, ein Akzelerometer, ein Gyroskop, einen Lautsprecher, eine Kamera, und eine Massenspeichervorrichtung (wie beispielsweise Festplattenlaufwerk, CD (compact disk), DVD (digital versatile disk) und so weiter.).
  • Der Kommunikationschip 906 ermöglicht eine drahtlose Kommunikation für die Übertragung von Daten zu und von der Rechenvorrichtung 900. Der Ausdruck „drahtlos“ und seine Ableitungen können verwendet werden, um Schaltungen, Bauelemente, Systeme, Verfahren, Techniken, Kommunikationskanäle etc. zu beschreiben, die Daten durch die Verwendung modulierter, elektromagnetischer Strahlung durch ein nicht festes Medium kommunizieren können. Der Ausdruck impliziert nicht, dass die zugeordneten Bauelemente nicht irgendwelche Drähte umfassen, obwohl sie dies bei einigen Ausführungsbeispielen möglicherweise nicht tun. Der Kommunikationschip 906 kann irgendeine Anzahl von drahtlosen Standards oder Protokollen implementieren, umfassend aber nicht beschränkt auf Wi-Fi (IEEE 802.11 Familie), WiMAX (IEEE 802.16 Familie), IEEE 802.20, Long Term Evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, Ableitungen davon, sowie irgendwelche anderen drahtlosen Protokolle, die bezeichnet werden als 3G, 4G, 5G, und darüber hinaus. Die Rechenvorrichtung 900 kann eine Mehrzahl von Kommunikationschips 906 umfassen. Zum Beispiel kann ein erster Kommunikationschip 906 zweckgebunden sein für drahtlose Kommunikation mit kürzerem Bereich, wie beispielsweise Wi-Fi und Bluetooth, und ein zweiter Kommunikationschip 906 kann zweckgebunden sein für drahtlose Kommunikation mit längerem Bereich, wie beispielsweise GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, und andere.
  • Der Prozessor 904 der Rechenvorrichtung 900 umfasst einen Integrierte-Schaltungs-Die, der innerhalb des Prozessors 904 gepackaget ist. Bei einigen Implementierungen von Ausführungsbeispielen der Offenbarung umfasst der Integrierte-Schaltungs-Die des Prozessors eine oder mehrere Strukturen, wie beispielsweise Integrierte-Schaltungs-Strukturen, aufgebaut gemäß Implementierungen der Offenbarung. Der Ausdruck „Prozessor“ kann sich auf irgendeine Vorrichtung oder einen Abschnitt einer Vorrichtung oder beides beziehen, die/der elektronische Daten aus Registern oder Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten zu transformieren, die in Registern oder Speicher oder beidem gespeichert werden können.
  • Der Kommunikationschip 906 umfasst auch einen Integrierte-Schaltungs-Die, der innerhalb des Kommunikationschips 906 gepackaget ist. Gemäß einer anderen Implementierung der Offenbarung ist der Integrierte-Schaltungs-Die des Kommunikationschips gemäß Implementierungen der Offenbarung gebaut.
  • Bei weiteren Implementierungen kann eine andere Komponente, die innerhalb der Rechenvorrichtung 900 gehäust ist, einen Integrierte-Schaltungs-Die enthalten, der gemäß Implementierungen von Ausführungsbeispielen der Offenbarung gebaut ist.
  • Bei verschiedenen Ausführungsbeispielen kann die Rechenvorrichtung 900 ein Laptop, ein Netbook, ein Notebook, ein Ultrabook, ein Smartphone, ein Tablet, ein PDA (persönlicher digitaler Assistent), ein ultramobiler PC, ein Mobiltelefon, ein Desktop-Computer, ein Server, ein Drucker, ein Scanner, ein Monitor, eine Set-Top-Box, eine Unterhaltungs-Steuereinheit (entertainment control unit), eine Digitalkamera, ein tragbarer Musikspieler oder ein digitaler Videorecorder sein. Bei weiteren Implementierungen kann die Rechenvorrichtung 900 irgendein anderes elektronisches Bauelement sein, das Daten verarbeitet.
  • 10 stellt einen Interposer 1000 dar, der ein oder mehrere Ausführungsbeispiele der Offenbarung umfasst. Der Interposer 1000 ist ein dazwischenliegendes Substrat, das verwendet wird, um ein erstes Substrat 1002 zu einem zweiten Substrat 1004 zu überbrücken. Das erste Substrat 1002 kann zum Beispiel ein Integrierte-Schaltungs-Die sein. Das zweite Substrat 1004 kann zum Beispiel ein Speichermodul, eine Computerhauptplatine oder ein anderer Integrierte-Schaltungs-Die sein. Im Allgemeinen ist es der Zweck eines Interposers 1000, eine Verbindung zu einem weiteren Abstand auszubreiten oder eine Verbindung zu einer unterschiedlichen Verbindung umzuleiten. Zum Beispiel kann ein Interposer 1000 einen Integrierte-Schaltungs-Die mit einem Kugelgitterarray- (BGA; ball grid array) 1006 koppeln, das nachfolgend mit dem zweiten Substrat 1004 gekoppelt werden kann. Bei einigen Ausführungsbeispielen sind das erste und zweite Substrat 1002/1004 an gegenüberliegenden Seiten des Interposers 1000 angebracht. Bei anderen Ausführungsbeispielen sind das erste und zweite Substrat 1002/1004 an derselben Seite des Interposers 1000 angebracht. Und bei weiteren Ausführungsbeispielen sind drei oder mehr Substrate mittels des Interposers 1000 verbunden.
  • Der Interposer 1000 kann aus einem Epoxidharz, einem glasfaserverstärkten Epoxidharz, einem Keramikmaterial oder einem Polymermaterial, wie beispielsweise Polyimid, gebildet sein. Bei weiteren Implementierungen kann der Interposer aus wechselnden starren oder flexiblen Materialien gebildet sein, die dieselben Materialien aufweisen können, die oben zur Verwendung in einem Halbleitersubstrat beschrieben wurden, wie beispielsweise Silizium, Germanium und andere Gruppe III-V und Gruppe IV Materialien.
  • Der Interposer kann Metall-Verbindungen 1008 und Vias 1010 umfassen, umfassend aber nicht beschränkt auf Silizium-Durchkontaktierungen (TSV; through-silicon via) 1012. Der Interposer 1000 kann ferner eingebettete Bauelemente 1014 umfassen, umfassend sowohl passive als auch aktive Bauelemente. Solche Bauelemente umfassen, sind aber nicht beschränkt auf Kondensatoren, Entkopplungs-Kondensatoren, Widerstände, Induktivitäten, Sicherungen, Dioden, Transformatoren, Sensoren und Elektrostatische-Entladungs (ESD; electrostatic discharge) -Bauelemente. Komplexere Bauelemente, wie beispielsweise Radiofrequenz- (RF-) Bauelemente, Leistungsverstärker, Leistungsmanagement-Bauelemente, Antennen, Arrays, Sensoren und MEMS-Bauelemente können ebenfalls auf dem Interposer 1000 gebildet sein. Gemäß Ausführungsbeispielen der Offenbarung können hierin offenbarte Vorrichtungen oder Verfahren bei der Herstellung des Interposers 1000 oder bei der Herstellung von Komponenten umfasst in dem Interposer 1000 verwendet werden.
  • 11 ist eine isometrische Ansicht einer mobilen Rechenplattform 1100, die eine integrierten Schaltung (IC) einsetzt, hergestellt gemäß einem oder mehreren der hierin beschriebenen Prozesse oder umfassend ein oder mehrere der hierin beschriebenen Merkmale, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
  • Die mobile Rechenplattform 1100 kann irgendeine tragbare Vorrichtung sein, die für jede aus einer elektronischen Datenanzeige, elektronischen Datenverarbeitung und drahtlosen, elektronischen Datenübertragung ausgebildet ist. Zum Beispiel kann die mobile Rechenplattform 1100 irgendeines von einem Tablet, einem Smartphone, einem Laptop-Computer, etc. sein und umfasst einen Anzeigebildschirm 1105, bei dem das beispielhafte Ausführungsbeispiel ein Touchscreen (kapazitiv, induktiv, resistiv, etc.), ein integriertes System 1110 auf Chipebene (SoC) oder Package-Ebene und eine Batterie 1113 ist. Wie dargestellt ist, je größer die Ebene der Integration in dem System 1110 ist, ermöglicht durch die höhere Transistorpackdichte, desto größer der Abschnitt der mobilen Rechenplattform 1100, der durch die Batterie 1113 oder nichtflüchtigen Speicher belegt sein kann, wie beispielsweise ein Solid-State-Laufwerk, oder desto größer der Transistorgate-Zählwert für eine verbesserte Plattform-Funktionalität. Ähnlich, je größer die Trägermobilität jedes Transistors in dem System 1110, desto größer die Funktionalität. Als solches können hierin beschriebene Techniken Performance- und Formfaktor-Verbesserungen bei der mobilen Rechenplattform 1100 ermöglichen.
  • Das integrierte System 1110 ist ferner in der auseinandergezogenen Ansicht 1120 dargestellt. Bei dem exemplarischen Ausführungsbeispiel umfasst die gepackagte Vorrichtung 1177 zumindest einen Speicherchip (z.B. RAM) oder zumindest einen Prozessorchip (z.B. einen Multi-Kern-Mikroprozessor und/oder Graphikprozessor), hergestellt gemäß einem oder mehreren der hierin beschriebenen Prozesse oder umfassend eines oder mehrere der hierin beschriebenen Merkmale. Die gepackagte Vorrichtung 1177 ist ferner mit der Platine 1160 gekoppelt, zusammen mit einem oder mehreren aus einer integrierten Leistungsmanagement-Schaltung (PMIC; power management integrated circuit) 1115, einer integrierten RF (drahtlos) -Schaltung (RFIC; RF integrated circuit) 1125 umfassend einen Breitband-RF-(Drahtlos-) Sender und/oder - Empfänger (z.B. umfassend ein digitales Basisband- und ein analoges Front-End-Modul, das ferner einen Leistungsverstärker auf einem Sendepfad und einen rauscharmen Verstärker auf einem Empfangspfad umfasst), und einer Steuerung 1111 derselben. Funktional führt die PMIC 1115 eine Batterieleistungsregelung, DC-zu-DC-Umwandlung, etc. aus, und hat somit einen Eingang, der mit der Batterie 1113 und mit einem Ausgang gekoppelt ist, was eine Stromversorgung an alle anderen funktionalen Module bereitstellt. Wie weiter dargestellt ist, umfasst bei dem exemplarischen Ausführungsbeispiel die RFIC 1125 einen Ausgang, der mit einer Antenne gekoppelt ist, um irgendeine Anzahl von drahtlosen Standards oder Protokollen zu implementieren oder bereitzustellen, umfassend aber nicht beschränkt auf Wi-Fi (IEEE 802.11 Familie), WiMAX (IEEE 802.16 Familie), IEEE 802.20, Long Term Evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, Ableitungen davon, sowie irgendwelche anderen drahtlosen Protokolle, die bezeichnet werden als 3G, 4G, 5G, und darüber hinaus. Bei alternativen Implementierungen kann jedes dieser Platinenebenen-Module auf separaten ICs integriert sein, gekoppelt mit dem Package-Substrat der gepackagten Vorrichtung 1177 oder innerhalb einer einzelnen IC (SoC), gekoppelt mit dem Package-Substrat der gepackagten Vorrichtung 1177.
  • Bei einem anderen Aspekt werden die Halbleitergehäuse zum Schützen eines Integrierte-Schaltungs- (IC-) Chips oder Dies verwendet und auch, um dem Die eine elektrische Schnittstelle zu der externen Schaltungsanordnung bereitzustellen. Mit dem zunehmenden Bedarf nach kleineren elektronischen Bauelementen werden Halbleiterpackages entworfen, um sogar noch kompakter zu sein und müssen eine größere Schaltungsdichte unterstützen. Ferner führt der Bedarf nach Bauelementen mit besserer Performance zu einem Bedarf nach einem verbesserten Halbleiterpackage, das ein dünnes Packagingprofil und geringe Gesamt-Verwölbung ermöglicht, die kompatibel mit einer nachfolgenden Anordnungs-Verarbeitung ist.
  • Bei einem Ausführungsbeispiel wird ein Drahtbonden an ein Keramik- oder organisches Package-Substrat verwendet. Bei einem anderen Ausführungsbeispiel wird ein C4-Prozess verwendet, um einen Die an einem Keramik- oder organischen Package-Substrat zu befestigen. Genauer gesagt können C4-Lötkugel-Verbindungen implementiert werden, um Flip-Chip-Verbindungen zwischen Halbleiterbauelementen und Substraten bereitzustellen. Eine Flip-Chip- oder Controlled Collapse Chip Connection (C4) ist ein Typ einer Befestigung verwendet für Halbleiterbauelemente, wie beispielsweise Integrierte-Schaltungs- (IC-) Chips, MEMS oder Komponenten, die Lötkugeln (solder bumps) anstelle von Drahtbonden verwenden. Die Lötkugeln werden auf die C4-Anschlussflächen abgeschieden, die auf der oberen Seite des Substratpackages angeordnet sind. Um das Halbleiterbauelement an dem Substrat zu befestigen, wird es mit der aktiven Seite nach unten gewandt auf den Befestigungsbereich umgedreht. Die Lötkugeln werden verwendet, um das Halbleiterbauelement direkt mit dem Substrat zu verbinden.
  • 12 stellt eine Querschnittansicht eines an einem Flip-Chip befestigten Dies dar, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
  • Bezugnehmend auf 12 umfasst eine Vorrichtung 1200 einen Die 1202, wie beispielsweise eine integrierte Schaltung (IC), hergestellt gemäß einem oder mehreren der hierin beschriebenen Prozesse oder umfassend ein oder mehrere der hierin beschriebenen Merkmale, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung. Der Die 1202 umfasst metallisierte Anschlussflächen 1204 auf demselben. Ein Package-Substrat 1206, wie beispielsweise ein Keramik- oder organisches Substrat, umfasst Verbindungen 1208 auf demselben. Der Die 1202 und das Package-Substrat 1206 sind elektrisch durch Lötkugeln 1210 verbunden, die mit den metallisierten Anschlussflächen 1204 und den Verbindungen 1208 gekoppelt sind. Ein Unterfüllmaterial 1212 umgibt die Lötkugeln 1210.
  • Das Verarbeiten eines Flip-Chips kann ähnlich zu einer herkömmlichen IC-Herstellung sein, mit einigen zusätzlichen Operationen. Nahe dem Ende des Herstellungsprozesses werden die Befestigungsanschlussflächen metallisiert, um sie aufnahmebereiter für Lötmittel zu machen. Dies besteht üblicherweise aus mehreren Behandlungen. Ein kleiner Lötmittel-Punkt wird dann auf jede metallisierte Anschlussfläche abgeschieden. Die Chips werden dann wie üblich aus dem Wafer geschnitten. Um den Flip-Chip in eine Schaltung anzubringen, wird der Chip umgedreht, um die Lötkontaktstelle nach unten auf die Verbinder auf der darunterliegenden Elektronik oder Schaltungsplatine zu bringen. Das Lötmittel wird dann wieder aufgeschmolzen, um eine elektrische Verbindung zu erzeugen, üblicherweise unter Verwendung eines Ultraschall- oder alternativ eines Reflow-Löt-Prozesses. Dies hinterlässt auch einen kleinen Raum zwischen der Schaltungsanordnung des Chips und der darunterliegenden Befestigung. In den meisten Fällen wird dann ein elektrisch isolierendes Klebemittel „unterfüllt“, um eine stärkere mechanische Verbindung bereitzustellen, eine Wärmebrücke bereitzustellen und sicherzustellen, dass die Lötverbindungen nicht durch differentielle Erwärmung des Chips und des Rests des Systems belastet werden.
  • Bei anderen Ausführungsbeispielen werden neuere Packaging- und Die-zu-Die-Verbindungs-Ansätze, wie beispielsweise Silizium-Durchkontaktierungen (TSV; through silicon via) und Silizium-Interposer implementiert, um ein Hochperformance-Mehrchipmodul (MCM; Multi-Chip Module) und ein System-in-einem-Package (SiP; System in Package) herzustellen, das eine integrierte Schaltung (IC) einsetzt, hergestellt gemäß einem oder mehreren der hierin beschriebenen Prozesse oder umfassend ein oder mehrere der hierin beschriebenen Merkmale, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
  • Somit umfassen Ausführungsbeispiele der vorliegenden Offenbarung Kontakt-über-aktivem-Gate (COAG) -Strukturen mit leitfähigen Gate-Abgriffen und Verfahren zum Herstellen von Kontakt-über-aktivem-Gate (COAG) -Strukturen mit leitfähigen Gate-Abgriffen.
  • Obwohl spezifische Ausführungsbeispiele oben beschrieben wurden, sollen diese Ausführungsbeispiele nicht den Schutzbereich der vorliegenden Offenbarung einschränken, auch wenn nur ein einzelnes Ausführungsbeispiel im Hinblick auf ein bestimmtes Merkmal beschrieben ist. Beispiele von Merkmalen, die in der Offenbarung bereitgestellt sind, sollen darstellend sein und nicht einschränkend, außer anderweitig angegeben. Die obige Beschreibung soll solche Alternativen, Modifikationen und Entsprechungen abdecken, wie sie für einen Fachmann auf dem Gebiet offensichtlich wären, der den Vorteil der vorliegenden Offenbarung hat.
  • Der Schutzbereich der vorliegenden Offenbarung umfasst jegliches Merkmal oder Kombination von Merkmalen, die hierin offenbart sind (entweder explizit oder implizit) oder irgendeine Verallgemeinerung davon, ob dadurch irgendwelche oder alle der hierin adressierten Probleme verringert werden oder nicht. Dementsprechend können neue Ansprüche während der Verfolgung der vorliegenden Anmeldung (oder einer Anmeldung, die die Priorität derselben beansprucht) für irgendeine solche Kombination von Merkmalen formuliert werden. Genauer gesagt, Bezug nehmend auf die beiliegenden Ansprüche, können Merkmale aus abhängigen Patentansprüchen mit jenen der unabhängigen Ansprüche kombiniert werden und Merkmale aus entsprechenden unabhängigen Ansprüchen können auf irgendeine geeignete Weise kombiniert werden und nicht nur in den spezifischen Kombinationen, die in den beigefügten Ansprüchen aufgezählt sind.
  • Die folgenden Beispiele beziehen sich auf weitere Ausführungsbeispiele. Die verschiedenen Merkmale der unterschiedlichen Ausführungsbeispiele können verschieden mit einigen Merkmalen kombiniert werden, die umfasst sind, und andere können ausgeschlossen werden, um für eine Vielzahl von unterschiedlichen Anmeldungen zu passen.
  • Beispielhaftes Ausführungsbeispiel 1: Eine Integrierte-Schaltungs-Struktur umfasst eine Mehrzahl von Gate-Strukturen über einem Substrat, wobei jede der Gate-Strukturen eine Gate-Isolierschicht darauf umfasst. Jede der Mehrzahl von Gate-Strukturen umfasst eine leitfähige Abgriffsstruktur, die durch die entsprechende Gate-Isolierschicht hervorsteht. Eine Mehrzahl von leitfähigen Grabenkontaktstrukturen wechselt sich mit der Mehrzahl von Gate-Strukturen ab, wobei jede der leitfähigen Grabenkontaktstrukturen eine Grabenisolierschicht darauf umfasst. Ein Zwischenschicht-Dielektrikumsmaterial ist über den Grabenisolierschichten und den Gate-Isolierschichten. Eine Öffnung ist in dem Zwischenschicht-Dielektrikumsmaterial, wobei die Öffnung die leitfähige Abgriffsstruktur einer der Mehrzahl von Gate-Strukturen freilegt. Eine leitfähige Struktur ist in der Öffnung, wobei die leitfähige Struktur in direktem Kontakt mit der leitfähigen Abgriffsstruktur einer der Mehrzahl von Gate-Strukturen ist.
  • Beispielhaftes Ausführungsbeispiel 2: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 1, wobei die Öffnung weiter einen Abschnitt der entsprechenden Gate-Isolierschicht freilegt.
  • Beispielhaftes Ausführungsbeispiel 3: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 1 oder 2, wobei die Öffnung weiter eine Grabenisolierschicht einer benachbarten der Mehrzahl von leitfähigen Grabenkontaktstrukturen freilegt.
  • Beispielhaftes Ausführungsbeispiel 4: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 1, 2 oder 3, wobei die Grabenisolierschichten und die Gate-Isolierschichten ein unterschiedliches Material umfassen.
  • Beispielhaftes Ausführungsbeispiel 5: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 4, wobei die Grabenisolierschichten Siliziumkarbid umfassen und die Gate-Isolierschichten Siliziumnitrid umfassen.
  • Beispielhaftes Ausführungsbeispiel 6: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 4, wobei die Grabenisolierschichten Siliziumnitrid umfassen und die Gate-Isolierschichten Siliziumkarbid umfassen.
  • Beispielhaftes Ausführungsbeispiel 7: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 1, 2, 3, 4, 5 oder 6, ferner umfassend eine Mehrzahl von dielektrischen Abstandhaltern, die sich mit der Mehrzahl von Gate-Strukturen und der Mehrzahl von leitfähigen Grabenkontaktstrukturen abwechseln, wobei die Öffnung weiter einen Abschnitt eines der Mehrzahl von dielektrischen Abstandhaltern freilegt.
  • Beispielhaftes Ausführungsbeispiel 8: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 1, 2, 3, 4, 5, 6 oder 7, wobei die Mehrzahl von leitfähigen Grabenkontaktstrukturen und die Mehrzahl von Gate-Strukturen auf einer Halbleiterfinne sind, und die leitfähige Abgriffsstruktur der einen der Mehrzahl von Gate-Strukturen über der Halbleiterfinne ist.
  • Beispielhaftes Ausführungsbeispiel 9: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 1, 2, 3, 4, 5, 6, 7 oder 8, wobei die leitfähige Struktur eine obere Leiterbahn und ein unteres leitfähiges Via umfasst.
  • Beispielhaftes Ausführungsbeispiel 10: Eine Integrierte-Schaltungs-Struktur umfasst eine Mehrzahl von Gate-Strukturen über einem Substrat, wobei jede der Gate-Strukturen eine Gate-Isolierschicht darauf umfasst. Jede der Mehrzahl von Gate-Strukturen umfasst eine leitfähige Abgriffsstruktur, die durch die entsprechende Gate-Isolierschicht hervorsteht. Eine Mehrzahl von leitfähigen Grabenkontaktstrukturen wechselt sich mit der Mehrzahl von Gate-Strukturen ab, wobei jede der leitfähigen Grabenkontaktstrukturen eine Grabenisolierschicht darauf umfasst. Ein Zwischenschicht-Dielektrikumsmaterial ist über den Grabenisolierschichten und den Gate-Isolierschichten. Eine Öffnung ist in dem Zwischenschicht-Dielektrikumsmaterial und in einer der Grabenisolierschichten. Eine leitfähige Struktur ist in der Öffnung, wobei die leitfähige Struktur in direktem Kontakt mit einer entsprechenden der Graben-Kontakt-Strukturen ist.
  • Beispielhaftes Ausführungsbeispiel 11: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 10, wobei die Grabenisolierschichten und die Gate-Isolierschichten ein unterschiedliches Material umfassen.
  • Beispielhaftes Ausführungsbeispiel 12: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 11, wobei die Grabenisolierschichten Siliziumkarbid umfassen und die Gate-Isolierschichten Siliziumnitrid umfassen.
  • Beispielhaftes Ausführungsbeispiel 13: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 11, wobei die Grabenisolierschichten Siliziumnitrid umfassen und die Gate-Isolierschichten Siliziumkarbid umfassen.
  • Beispielhaftes Ausführungsbeispiel 14: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 10, 11, 23 oder 13, ferner umfassend eine Mehrzahl von dielektrischen Abstandhaltern, die sich mit der Mehrzahl von Gate-Strukturen und der Mehrzahl von leitfähigen Grabenkontaktstrukturen abwechseln.
  • Beispielhaftes Ausführungsbeispiel 15: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 14, wobei die Öffnung weiter einen Abschnitt eines der Mehrzahl von dielektrischen Abstandhaltern freilegt.
  • Beispielhaftes Ausführungsbeispiel 16: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 11, 12, 13, 14 oder 15, wobei die Mehrzahl von leitfähigen Grabenkontaktstrukturen und die Mehrzahl von Gate-Strukturen auf einer Halbleiterfinne sind.
  • Beispielhaftes Ausführungsbeispiel 17: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 16, wobei die leitfähige Abgriffsstruktur der einen der Mehrzahl von Gate-Strukturen über der Halbleiterfinne ist.
  • Beispielhaftes Ausführungsbeispiel 18: Die Integrierte-Schaltungs-Struktur gemäß beispielhaftem Ausführungsbeispiel 10, 11, 12, 13, 14, 15, 16 oder 17, wobei die leitfähige Struktur eine obere Leiterbahn und ein unteres leitfähiges Via umfasst.
  • Beispielhaftes Ausführungsbeispiel 19: Ein Verfahren zum Herstellen einer Integrierte-Schaltungs-Struktur umfasst ein Bilden einer Gate-Struktur innerhalb einer dielektrischen Struktur über einem Substrat. Das Verfahren umfasst auch ein Maskieren eines Abschnitts der Gate-Struktur. Das Verfahren umfasst auch ein Aussparen eines unmaskierten Abschnitts der Gate-Struktur innerhalb der dielektrischen Struktur. Das Verfahren umfasst auch ein Bilden einer Gate-isolierenden Abdeckschicht auf dem ausgesparten, unmaskierten Abschnitt der Gate-Struktur. Das Verfahren umfasst auch ein Freilegen des maskierten Abschnitts der Gate-Struktur, um eine leitfähige Abgriffsstruktur zu bilden.
  • Beispielhaftes Ausführungsbeispiel 20: Das Verfahren des beispielhaften Ausführungsbeispiels 19, ferner umfassend ein Bilden eines Zwischenschicht-Dielektrikumsmaterials über der Gate-Isolierschicht und der dielektrischen Struktur; ein Bilden einer Öffnung in dem Zwischenschicht-Dielektrikumsmaterial, wobei die Öffnung die leitfähige Abgriffsstruktur der Gate-Struktur freilegt; und ein Bilden einer leitfähigen Struktur in der Öffnung, wobei die leitfähige Struktur in direktem Kontakt mit der leitfähigen Abgriffsstruktur ist.
  • Beispielhaftes Ausführungsbeispiel 21: Ein Verfahren zum Herstellen einer Integrierte-Schaltungs-Struktur umfasst ein Bilden einer Gate-Struktur innerhalb einer dielektrischen Struktur über einem Substrat. Das Verfahren umfasst auch ein Aussparen der Gate-Struktur innerhalb der dielektrischen Struktur. Das Verfahren umfasst auch ein Maskieren eines Abschnitts der ausgesparten Gate-Struktur. Das Verfahren umfasst auch ferner ein Aussparen eines unmaskierten Abschnitts der ausgesparten Gate-Struktur innerhalb der dielektrischen Struktur. Das Verfahren umfasst auch ein Bilden einer Gate-isolierenden Abdeckschicht auf dem weiter ausgesparten, unmaskierten Abschnitt der Gate-Struktur. Das Verfahren umfasst auch ein Freilegen des maskierten Abschnitts der ausgesparten Gate-Struktur, um eine leitfähige Abgriffsstruktur zu bilden.
  • Beispielhaftes Ausführungsbeispiel 22: Das Verfahren des beispielhaften Ausführungsbeispiels 21, ferner umfassend ein Bilden eines Zwischenschicht-Dielektrikumsmaterials über der Gate-Isolierschicht und der dielektrischen Struktur; ein Bilden einer Öffnung in dem Zwischenschicht-Dielektrikumsmaterial, wobei die Öffnung die leitfähige Abgriffsstruktur der Gate-Struktur freilegt; und ein Bilden einer leitfähigen Struktur in der Öffnung, wobei die leitfähige Struktur in direktem Kontakt mit der leitfähigen Abgriffsstruktur ist.

Claims (22)

  1. Eine Integrierte-Schaltungs-Struktur, umfassend: eine Mehrzahl von Gate-Strukturen über einem Substrat, jede der Gate-Strukturen umfassend eine Gate-Isolierschicht darauf, wobei jede der Mehrzahl von Gate-Strukturen eine leitfähige Abgriffsstruktur umfasst, die durch die entsprechende Gate-Isolierschicht hervorsteht; eine Mehrzahl von leitfähigen Grabenkontaktstrukturen, die sich mit der Mehrzahl von Gate-Strukturen abwechseln, wobei jede der leitfähigen Grabenkontaktstrukturen eine Grabenisolierschicht darauf umfasst; ein Zwischenschicht-Dielektrikumsmaterial über den Grabenisolierschichten und den Gate-Isolierschichten; eine Öffnung in dem Zwischenschicht-Dielektrikumsmaterial, wobei die Öffnung die leitfähige Abgriffsstruktur einer der Mehrzahl von Gate-Strukturen freilegt; und eine leitfähige Struktur in der Öffnung, wobei die leitfähige Struktur in direktem Kontakt mit der leitfähigen Abgriffsstruktur einer der Mehrzahl von Gate-Strukturen ist.
  2. Die Integrierte-Schaltungs-Struktur gemäß Anspruch 1, wobei die Öffnung weiter einen Abschnitt der entsprechenden Gate-Isolierschicht freilegt.
  3. Die Integrierte-Schaltungs-Struktur gemäß einem der vorangehenden Ansprüche, wobei die Öffnung weiter eine Grabenisolierschicht einer benachbarten der Mehrzahl von leitfähigen Grabenkontaktstrukturen freilegt.
  4. Die Integrierte-Schaltungs-Struktur gemäß einem der vorangehenden Ansprüche, wobei die Grabenisolierschichten und die Gate-Isolierschichten ein unterschiedliches Material umfassen.
  5. Die Integrierte-Schaltungs-Struktur gemäß einem der vorangehenden Ansprüche, wobei die Grabenisolierschichten Siliziumkarbid umfassen und die Gate-Isolierschichten Siliziumnitrid umfassen.
  6. Die Integrierte-Schaltungs-Struktur gemäß einem der vorangehenden Ansprüche, wobei die Grabenisolierschichten Siliziumnitrid umfassen und die Gate-Isolierschichten Siliziumkarbid umfassen.
  7. Die Integrierte-Schaltungs-Struktur gemäß einem der vorangehenden Ansprüche, ferner umfassend: eine Mehrzahl von dielektrischen Abstandhaltern, die sich mit der Mehrzahl von Gate-Strukturen und der Mehrzahl von leitfähigen Grabenkontaktstrukturen abwechseln, wobei die Öffnung weiter einen Abschnitt eines der Mehrzahl von dielektrischen Abstandhaltern freilegt.
  8. Die Integrierte-Schaltungs-Struktur gemäß einem der vorangehenden Ansprüche, wobei die Mehrzahl von leitfähigen Grabenkontaktstrukturen und die Mehrzahl von Gate-Strukturen auf einer Halbleiterfinne sind, und die leitfähige Abgriffsstruktur der einen der Mehrzahl von Gate-Strukturen über der Halbleiterfinne ist.
  9. Die Integrierte-Schaltungs-Struktur gemäß einem der vorangehenden Ansprüche, wobei die leitfähige Struktur eine obere Leiterbahn und ein unteres leitfähiges Via umfasst.
  10. Eine Integrierte-Schaltungs-Struktur, umfassend: eine Mehrzahl von Gate-Strukturen über einem Substrat, jede der Gate-Strukturen umfassend eine Gate-Isolierschicht darauf, wobei jede der Mehrzahl von Gate-Strukturen eine leitfähige Abgriffsstruktur umfasst, die durch die entsprechende Gate-Isolierschicht hervorsteht; eine Mehrzahl von leitfähigen Grabenkontaktstrukturen, die sich mit der Mehrzahl von Gate-Strukturen abwechseln, wobei jede der leitfähigen Grabenkontaktstrukturen eine Grabenisolierschicht darauf umfasst; ein Zwischenschicht-Dielektrikumsmaterial über den Grabenisolierschichten und den Gate-Isolierschichten; eine Öffnung in dem Zwischenschicht-Dielektrikumsmaterial und in einer der Grabenisolierschichten; und eine leitfähige Struktur in der Öffnung, wobei die leitfähige Struktur in direktem Kontakt mit der leitfähigen Abgriffsstruktur einer der Mehrzahl von Gate-Strukturen ist, wobei die leitfähige Struktur in direktem Kontakt mit einer entsprechenden der Grabenkontaktstrukturen ist.
  11. Die Integrierte-Schaltungs-Struktur gemäß Anspruch 10, wobei die Grabenisolierschichten und die Gate-Isolierschichten ein unterschiedliches Material umfassen.
  12. Die Integrierte-Schaltungs-Struktur gemäß einem der Ansprüche 10-11, wobei die Grabenisolierschichten Siliziumkarbid umfassen und die Gate-Isolierschichten Siliziumnitrid umfassen.
  13. Die Integrierte-Schaltungs-Struktur gemäß einem der Ansprüche 10-12, wobei die Grabenisolierschichten Siliziumnitrid umfassen und die Gate-Isolierschichten Siliziumkarbid umfassen.
  14. Die Integrierte-Schaltungs-Struktur gemäß einem der Ansprüche 10-13, ferner umfassend: eine Mehrzahl von dielektrischen Abstandhaltern, die sich mit der Mehrzahl von Gate-Strukturen und der Mehrzahl von leitfähigen Grabenkontaktstrukturen abwechseln.
  15. Die Integrierte-Schaltungs-Struktur gemäß einem der Ansprüche 10-14, wobei die Öffnung weiter einen Abschnitt eines der Mehrzahl von dielektrischen Abstandhaltern freilegt.
  16. Die Integrierte-Schaltungs-Struktur gemäß einem der Ansprüche 10-15, wobei die Mehrzahl von leitfähigen Grabenkontaktstrukturen und die Mehrzahl von Gate-Strukturen auf einer Halbleiterfinne sind.
  17. Die Integrierte-Schaltungs-Struktur gemäß einem der Ansprüche 10-16, wobei die leitfähige Abgriffsstruktur der einen der Mehrzahl von Gate-Strukturen über der Halbleiterfinne ist.
  18. Die Integrierte-Schaltungs-Struktur gemäß einem der Ansprüche 10-17, wobei die leitfähige Struktur eine obere Leiterbahn und ein unteres leitfähiges Via umfasst.
  19. Ein Verfahren zum Herstellen einer Integrierte-Schaltungs-Struktur, das Verfahren umfassend: Bilden einer Gate-Struktur innerhalb einer dielektrischen Struktur über einem Substrat; Maskieren eines Abschnitts der Gate-Struktur; Aussparen eines unmaskierten Abschnitts der Gate-Struktur innerhalb der dielektrischen Struktur; Bilden einer Gate-isolierenden Abdeckschicht auf dem ausgesparten, unmaskierten Abschnitt der Gate-Struktur; und Freilegen des maskierten Abschnitts der Gate-Struktur, um eine leitfähige Abgriffsstruktur zu bilden.
  20. Das Verfahren gemäß Anspruch 19, ferner umfassend: Bilden eines Zwischenschicht-Dielektrikumsmaterials über der Gate-Isolierschicht und der dielektrischen Struktur; Bilden einer Öffnung in dem Zwischenschicht-Dielektrikumsmaterial, wobei die Öffnung die leitfähige Abgriffsstruktur der Gate-Struktur freilegt; und Bilden einer leitfähigen Struktur in der Öffnung, wobei die leitfähige Struktur in direktem Kontakt mit der leitfähigen Abgriffsstruktur ist.
  21. Ein Verfahren zum Herstellen einer Integrierte-Schaltungs-Struktur, das Verfahren umfassend: Bilden einer Gate-Struktur innerhalb einer dielektrischen Struktur über einem Substrat; Aussparen der Gate-Struktur innerhalb der dielektrischen Struktur; Maskieren eines Abschnitts der ausgesparten Gate-Struktur; weiter Aussparen eines unmaskierten Abschnitts der ausgesparten Gate-Struktur innerhalb der dielektrischen Struktur; Bilden einer Gate-isolierenden Abdeckschicht auf dem weiter ausgesparten, unmaskierten Abschnitt der Gate-Struktur; und Freilegen des maskierten Abschnitts der ausgesparten Gate-Struktur, um eine leitfähige Abgriffsstruktur zu bilden.
  22. Das Verfahren gemäß Anspruch 21, ferner umfassend: Bilden eines Zwischenschicht-Dielektrikumsmaterials über der Gate-Isolierschicht und der dielektrischen Struktur; Bilden einer Öffnung in dem Zwischenschicht-Dielektrikumsmaterial, wobei die Öffnung die leitfähige Abgriffsstruktur der Gate-Struktur freilegt; und Bilden einer leitfähigen Struktur in der Öffnung, wobei die leitfähige Struktur in direktem Kontakt mit der leitfähigen Abgriffsstruktur ist.
DE102019132101.8A 2019-01-02 2019-11-27 Kontakt-über-aktivem-gate-strukturen mit leitfähigen gateabgriffenfür fortgeschrittene integrierte-schaltungsstruktur-herstellung Pending DE102019132101A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/238,428 US11581412B2 (en) 2019-01-02 2019-01-02 Contact over active gate structures with conductive gate taps for advanced integrated circuit structure fabrication
US16/238,428 2019-01-02

Publications (1)

Publication Number Publication Date
DE102019132101A1 true DE102019132101A1 (de) 2020-07-02

Family

ID=71079878

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019132101.8A Pending DE102019132101A1 (de) 2019-01-02 2019-11-27 Kontakt-über-aktivem-gate-strukturen mit leitfähigen gateabgriffenfür fortgeschrittene integrierte-schaltungsstruktur-herstellung

Country Status (2)

Country Link
US (3) US11581412B2 (de)
DE (1) DE102019132101A1 (de)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11444171B2 (en) 2019-03-06 2022-09-13 Intel Corporation Self-aligned gate endcap (SAGE) architecture having gate or contact plugs
US20220190128A1 (en) * 2020-12-16 2022-06-16 Intel Corporation Contact over active gate structures with tapered gate or trench contact for advanced integrated circuit structure fabrication
KR20220132139A (ko) * 2021-03-23 2022-09-30 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11923246B2 (en) 2021-09-15 2024-03-05 International Business Machines Corporation Via CD controllable top via structure

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10756204B2 (en) * 2017-11-30 2020-08-25 Intel Corporation Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication

Also Published As

Publication number Publication date
US20240120397A1 (en) 2024-04-11
US11581412B2 (en) 2023-02-14
US20200212189A1 (en) 2020-07-02
US20230154995A1 (en) 2023-05-18
US11888043B2 (en) 2024-01-30

Similar Documents

Publication Publication Date Title
DE102018127129A1 (de) Gate-Austausch-Strukturen für die Herstellung einer fortschrittlichen integrierten Schaltungsstruktur
DE112016007503T5 (de) Integrierte-Schaltung-Bauelement mit Rückseiten-Zwischenverbindung zu tiefem Source/Drain-Halbleiter
DE102020129973A1 (de) Einzelgate-3d-nanodraht-inverter für dickes-gate-soc-anwendungen mit hoher dichte
DE102019132101A1 (de) Kontakt-über-aktivem-gate-strukturen mit leitfähigen gateabgriffenfür fortgeschrittene integrierte-schaltungsstruktur-herstellung
DE112015006959T5 (de) Verfahren zum bilden rückseitiger selbstausgerichteter durchkontaktierungen und dadurch gebildete strukturen
DE112016007034T5 (de) Trigate- und finfet-bauelemente mit selbstausgerichtetem gate-rand
DE102020103386A1 (de) Selbstausgerichtete-gate-endkappe(sage)-architektur mit gate- oder kontaktstopfen
DE102020107045A1 (de) Source- oder drain-strukturen mit phosphor- und arsen-co-dotierstoffen
DE102020104981A1 (de) Selbstausgerichtete-gate-endkappe(sage)-architektur mit lokalen zwischenverbindungen
DE102020113776A1 (de) Depop unter verwendung zyklischer selektiver abstandhalter-ätzung
DE102019108021A1 (de) Wrap-Around-Kontaktstrukturen für Halbleiterfinnen
DE102019132137A1 (de) Integrierte gate-all-around-schaltungsstrukturen mit oxid-teilfinnen
DE102020128908A1 (de) Integrierte Gate-All-Around-Schaltkreisstrukturen, die Bausteine mit elektrischem Kanal-Substrat-Kontakt aufweisen
DE102020107015A1 (de) Source- oder drain-strukturen mit vertikalen gräben
DE112017008331T5 (de) Vertikal gestapelte Transistorbauelemente mit Isolationswandstrukturen, die einen elektrischen Leiter umfassen
DE102021121273A1 (de) Herstellung von gate-all-around-integrierte-schaltung-strukturen mit vor-abstandshalter-abscheidung-geschnittenen gates
DE102019111079A1 (de) CMOS-kompatible Isolationsleckverbesserungen bei Galliumnitridtransistoren
DE102020128647A1 (de) Gate-all-around-integrierte-schaltungs-strukturen mit isolatorsubstrat
DE112016007366T5 (de) Halbleitervorrichtung, die finnenendspannungsinduzierende merkmale aufweist
DE102020113775A1 (de) Vorrichtung, umfassend Luft-Beabstanden von Gate-Abstandhaltern und anderen Dielektrika und Verfahren zur Bereitstellung einer solchen
DE102019114022A1 (de) Source- oder Drainstrukturen mit Kontaktätzstoppschicht
DE102019114241A1 (de) Kanalstrukturen mit teilfinnen-dotierstoff-diffusionssperrschichten
DE102021121941A1 (de) Ansätze mit geringem widerstand für die herstellung von kontakten und der sich daraus ergebenden strukturen
DE102019104915A1 (de) Duale selbstausgerichtete Gate-Endabdeckungs- (SAGE) Architekturen
DE102020130463A1 (de) Finnenformung und daraus resultierende integrierte schaltungsstrukturen