DE102016117034A1 - Halbleiterstruktur und Verfahren zur Bildung derselben - Google Patents

Halbleiterstruktur und Verfahren zur Bildung derselben Download PDF

Info

Publication number
DE102016117034A1
DE102016117034A1 DE102016117034.8A DE102016117034A DE102016117034A1 DE 102016117034 A1 DE102016117034 A1 DE 102016117034A1 DE 102016117034 A DE102016117034 A DE 102016117034A DE 102016117034 A1 DE102016117034 A1 DE 102016117034A1
Authority
DE
Germany
Prior art keywords
layer
mtj
width
spacer
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102016117034.8A
Other languages
English (en)
Inventor
Harry-Hak-Lay Chuang
Shih-Chang Liu
Chern-Yow Hsu
Kuei-Hung Shen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016117034A1 publication Critical patent/DE102016117034A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)
  • Semiconductor Memories (AREA)

Abstract

Die vorliegende Offenbarung stellt eine Halbleiterstruktur bereit, die eine Nte Metallschicht über einer Transistorregion, wobei N eine natürliche Zahl ist, und eine untere Elektrode über der Nten Metallschicht aufweist. Die untere Elektrode umfasst einen unteren Abschnitt mit einer ersten Breite, der in einer unteren Elektrodendurchkontaktierung (BEVA) angeordnet ist, wobei die erste Breite an einer oberen Oberfläche der BEVA gemessen wird, und einen oberen Abschnitt mit einer zweiten Breite, der über dem unteren Abschnitt angeordnet ist. Die Halbleiterstruktur weist auch eine magnetische Tunnelkontakt-(MTJ)-Schicht mit einer dritten Breite, die über dem oberen Abschnitt angeordnet ist, eine obere Elektrode über der MTJ-Schicht und eine (N + 1)te Metallschicht über der oberen Elektrode auf. Die erste Breite ist größer als die dritte Breite.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität auf die vorläufige US-Patentanmeldung mit Seriennr. 62/273,469, die am 31. Dezember 2015 eingereicht und deren Offenbarung in ihrer Gesamtheit hierin durch Bezugnahme aufgenommen wird.
  • ALLGEMEINER STAND DER TECHNIK
  • Halbleiter werden in integrierten Schaltungen für elektronische Anwendungen, darunter Radios, Fernseher, Mobiltelefonen und Personalcomputervorrichtungen verwendet. Ein Typ einer wohl bekannten Halbleitervorrichtung ist die Halbleiterspeichervorrichtung wie dynamische wahlfreie Zugriffsspeicher (DRAM) oder Flashspeicher, die beide Ladungen zum Speichern von Informationen verwenden.
  • Eine jüngere Entwicklung in Halbleiterspeichervorrichtungen beinhaltet die Spinelektronik, welche die Halbleitertechnologie und magnetische Materialien und Vorrichtungen kombiniert. Die Spinpolarisierung von Elektronen und eben nicht die Ladung der Elektronen wird verwendet, um den Zustand „1” oder „0” anzugeben. Eine solche spinelektronische Vorrichtung ist eine magnetische Tunnelkontakt-(Magnetic Tunneling Junction = MTJ)-Vorrichtung mit Spin-Drehmomentübertragung (Spin Torque Transfer = STT).
  • Eine MTJ-Vorrichtung weist eine freie Schicht, eine Tunnelschicht und eine verankerte Schicht („pinned layer”) auf. Die Magnetisierungsrichtung der freien Schicht kann durch Anlegen eines Stroms durch die Tunnelschicht umgekehrt werden, was bewirkt, dass die injizierten polarisierten Elektronen innerhalb der freien Schicht so genannte Spindrehmomente auf die Magnetisierung der freien Schicht ausüben. Die verankerte Schicht weist eine feste Magnetisierungsrichtung auf. Wenn Strom in die Richtung von der freien Schicht zu der verankerten Schicht fließt, fließen Elektronen in einer Umkehrrichtung, das heißt, von der verankerten Schicht zu der freien Schicht. Die Elektronen werden nach Durchleiten durch die verankerte Schicht. Fließen durch die Tunnelschicht und danach in die freie Schicht, wo sie sich ansammeln, in der gleichen Magnetisierungsrichtung der verankerten Schicht polarisiert. Schließlich ist die Magnetisierung der freien Schicht parallel zu derjenigen der verankerten Schicht, wobei sich die MTJ-Vorrichtung in einem niederohmigen Zustand befindet. Die Elektroneninjektion, die durch Strom verursacht wird, wird als eine Hauptinjektion bezeichnet.
  • Wenn Strom, der von der verankerten Schicht in die freie Schicht fließt, angelegt wird, fließen Elektronen in der Richtung von der freien Schicht zu der verankerten Schicht. Die Elektronen, welche die gleiche Polarisierung wie die Magnetisierungsrichtung der verankerten Schicht haben, können durch die Tunnelschicht und in die verankerte Schicht fließen. Umgekehrt werden Elektronen mit einer Polarisierung, die eine andere als die Magnetisierung der verankerten Schicht ist, von der verankerten Schicht reflektiert (blockiert) und sammeln sich in der freien Schicht an. Schließlich wird die Magnetisierung der freien Schicht antiparallel zu derjenigen der verankerten Schicht, wobei sich die MTJ-Vorrichtung in einem hochohmigen Zustand befindet. Die entsprechende Elektroneninjektion, die durch Strom verursacht wird, wird als eine geringfügige Injektion bezeichnet.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung sind am besten aus der folgenden ausführlichen Beschreibung zu verstehen, wenn diese mit den beiliegenden Figuren gelesen wird. Es sei klargestellt, dass gemäß der Standardpraxis in der Industrie verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. In der Tat können die Abmessungen der verschiedenen Merkmale willkürlich erhöht oder reduziert werden.
  • 1A ist ein Querschnitt einer Halbleiterstruktur gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
  • 1B ist ein Querschnitt einer Halbleiterstruktur gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
  • 2 ist ein Querschnitt einer Halbleiterstruktur gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
  • 3 bis 23 sind Querschnitte einer in verschiedenen Stufen hergestellte CMOS-MEMS-Struktur gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Implementierung unterschiedlicher Merkmal des bereitgestellten Gegenstands bereit. Spezifische Beispiele von Komponenten und Anordnungen sind nachstehend zur Vereinfachung der vorliegenden Offenbarung beschrieben. Diese sind natürlich reine Beispiele und sollen nicht einschränkend sein. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der nun folgenden Beschreibung Ausführungsformen beinhalten, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen beinhalten, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sind, sodass das erste und das zweite Merkmal nicht in direktem Kontakt stehen. Zudem kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und schreibt selbst keine Beziehung zwischen den verschiedenen erläuterten Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können räumliche Begriffe wie „unterhalb”, „unter”, „unterer”, „über”, „oberer” und dergleichen hierin zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem anderen Element(en) oder Merkmal(en), die in den Figuren veranschaulicht sind, zu beschreiben. Die räumlichen Ausdrücke sollen neben den in den Figuren dargestellten Ausrichtungen andere Ausrichtungen der Vorrichtung umfassen, die verwendet wird oder in Betrieb ist. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad oder in anderen Ausrichtungen gedreht sein) und die hierin verwendeten räumlichen Deskriptoren können gleichermaßen entsprechend interpretiert werden.
  • Ungeachtet dessen, dass die numerischen Bereiche und Parameter, die den breiten Schutzumfang der Offenbarung darlegen, Annäherungen sind, werden die Zahlenwerte, die in den spezifischen Beispielen dargestellt sind, präzise wie möglich angegeben. Jeder Zahlenwert enthält jedoch inhärent gewisse Fehler, die notwendigerweise aus der Standardabweichung in den zugehörigen Prüfmessungen resultieren. Wie hier verwendet, bezieht sich der Begriff „etwa” im Allgemeinen auf innerhalb von 10%, 5%, 1% oder 0,5% eines bestimmten Wertes oder Bereichs. Als Alternative bezieht sich der Begriff „etwa” auf innerhalb eines akzeptablen Standardfehlers des Mittelwertes liegend, der von einem Durchschnittsfachmann in Betracht gezogen wird. Im Gegensatz zu den Anwendungs-/Arbeitsbeispielen oder sofern nicht ausdrücklich anderweitig angegeben, sind alle hierin offenbarten numerischen Bereiche, Mengen, Werte und Prozentangaben wie diejenigen für Materialmengen, Zeitdauern, Temperaturen, Betriebsbedingungen, Mengenverhältnisse und dergleichen als in allen Fällen durch den Begriff „etwa” modifiziert zu verstehen. Dementsprechend sind, sofern nicht das Gegenteil angegeben ist, die numerischen Parameter, die in der vorliegenden Offenbarung und den beiliegenden Ansprüchen angegeben sind, Annäherungen, die je nach Wunsch variieren können. Zumindest ist jeder numerische Parameter unter Berücksichtigung der Anzahl von beschriebenen signifikanten Stellen und durch Anwenden von gebräuchlichen Rundungstechniken zu verstehen. Bereiche können hierin von einem Endpunkt zu einem anderen Endpunkt oder zwischen zwei Endpunkten ausgedrückt werden. Alle hierin offenbarten Bereiche schließen die Endpunkte ein, sofern nicht anderweitig angegeben.
  • Eine eingebettete MRAM-Zelle in einer CMOS-Struktur wurde kontinuierlich weiterentwickelt. Eine Halbleiterschaltung mit eingebetteter MRAM-Zelle weist eine MRAM-Zellenregion und eine Logikregion auf, die von der MRAM-Zellenregion getrennt ist. Zum Beispiel kann sich die MRAM-Zellenregion am Zentrum der oben erwähnten Halbleiterschaltung befinden, während sich die Logikregion an einer Peripherie der Halbleiterschaltung befinden kann. Es sei klargestellt, die obige Aussage nicht einschränkend sein soll. Eine andere Anordnung hinsichtlich der MRAM-Zellregion und der Logikregion sind in dem vorgesehenen Schutzbereich der vorliegenden Offenbarung eingeschlossen.
  • In der MRAM-Zellregion kann eine Transistorstruktur unter der MRAM-Struktur angeordnet sein. In einigen Ausführungsformen wird die MRAM-Zelle in der Metallisierungsschicht eingebettet, die in einem Back-End-Of-Line(BEOL)-Vorgang hergestellt wird. Zum Beispiel sind die Transistorstrukturen in der MRAM-Zellenregion und in der Logikregion in einem gemeinsamen Halbleitersubstrat angeordnet, das in einem Front-End-Of-Line-Vorgang hergestellt wird, und sind in den genannten beiden Regionen in einigen Ausführungsformen im Wesentlichen identisch. Die MRAM-Zelle kann in einer beliebigen Position der Metallisierungsschicht eingebettet sein, zum Beispiel zwischen benachbarten Metalllinienschichten, die horizontal parallel zu einer Oberfläche des Halbleitersubstrats verteilt sind. Zum Beispiel kann sich der eingebettete MRAM zwischen der 4ten Metalllinienschicht und der 5ten Metalllinienschicht in einer MRAM-Zellenregion befinden. Horizontal zu der Logikregion verschoben ist die 4te Metalllinienschicht mit der 5ten Metalllinienschicht durch eine 4te Metalldurchkontaktierung verbunden. Mit anderen Worten besetzt unter Berücksichtigung der MRAM-Zellenregion und der Logikregion der eingebettete MRAM eine Dicke mindestens eines Abschnitts der 5ten Metalllinienschicht und der 4ten Metalldurchkontaktierung. Die für die Metalllinienschicht bereitgestellte Zahl ist hierin nicht einschränkend. Im Allgemeinen kann der Durchschnittsfachmann verstehen, dass sich der MRAM zwischen einer Nten Metalllinienschicht und einer (N + 1)ten Metalllinienschicht befindet, wobei N eine natürliche Zahl ist.
  • Der eingebettete MRAM weist einen magnetischen Tunnelkontakt (Magnetic Tunneling Junction = MTJ) auf, der aus ferromagnetischen Materialien zusammensetzt ist. Eine untere Elektrode und eine obere Elektrode sind mit dem MTJ zur Signal/Bias-Übermittlung elektrisch gekoppelt. Dem oben bereitgestellten Beispiel folgend ist die untere Elektrode ferner mit der Nten Metalllinienschicht verbunden, wohingegen die obere Elektrode ferner mit der (N + 1)ten Metalllinienschicht verbunden ist. Die zurückbleibenden Zwischenräume werden zum Schutz und zur elektrischen Isolation zwischen der Nten Metalllinienschicht und der (N + 1)ten Metalllinienschicht mit dielektrischen Schichten gefüllt. In einigen Ausführungsformen können die dielektrischen Schichten Materialien aufweisen, die andere als diejenigen in der oberen Elektrode, dem MTJ, der unteren Elektrode und dem Metall in der Metalllinienschicht sind, um eine gewünschte Merkmalsgeometrie und Isolierleistung zu erzielen.
  • Allerdings besteht ein übermäßiger Verlust der dielektrischen Schichten, welche die untere Elektrode umgeben, und in der Nähe der Nten Metalllinie. Zum Beispiel ist das Ätzmittel, das zum Definieren der oberen Elektrode, der MTJ-Schicht und der unteren Elektrode verwendet wird, für eine der oben erwähnten Schichten nicht selektiv und kann die darunterliegenden dielektrischen Schichten überätzen. Die Nicht-Selektivität zwischen der unteren Elektrode und den darunterliegenden dielektrischen Schichten kann bewirken, dass die Nte Metalllinie unter den dielektrischen Schichten freigelegt oder übermäßig gedünnt wird. Dieser Schaden gilt sowohl für die MRAM-Zellenregion als auch die Logikregion. Somit führt der Schaden an der dielektrischen Schicht zur Migration des darunterliegenden Metalls, zum Beispiel Cu-Atoms, und somit zum Auftreten von Kurzschlüssen sowohl in der MRAM-Zellenregion als auch der Logikregion.
  • Darüber hinaus wird in dem Prozess des Bildens des MTJ ein geeigneter Entfernungsprozess wie Trockenätzen nach einem Abscheidungsprozess des MTJ-Stapels ausgeführt, sodass der MTJ strukturiert wird. Während des Trockenätzprozesses werden aufgrund der Bombardierung des Materials der unteren Elektrode Teilchen gestreut. Diese gestreuten Teilchen können zurück zu den benachbarten Merkmalen wie der Seitenwand des as-strukturierten MTJ gesputtert werden und führen zu Kurzschluss oder Stromableitung.
  • Die vorliegende Offenbarung stellt eine Halbleiterstruktur bereit, wobei die untere Elektrode eine größere Breite als eine Unterseite des MTJ oder eine Unterseite der oberen Elektrode aufweist. Zudem kann der MRAM einen Spacer aufweisen, der auf der unteren Elektrode angeordnet ist. Somit ist der Ätzvorgang der unteren Elektrode von dem Ätzvorgang der oberen Elektrode und dem MTJ durch einen Spacer-Bildungsvorgang getrennt. Der Vorgang, der in der vorliegenden Offenbarung vorgestellt wird, verhindert, dass die dielektrischen Schichten, welche die untere Elektrodendurchkontaktierung umgeben, beschädigt werden, und verringern wirksam die Möglichkeit einer Migration von darunterliegenden Metallatomen.
  • Unter Bezugnahme auf 1A ist 1A ein Querschnitt einer Halbleiterstruktur 10 gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Die Halbleiterstruktur 10 kann eine Halbleiterschaltung sein, die eine MRAM-Zellenregion 100A aufweist. In einigen Ausführungsform kann die Halbleiterstruktur 10 andere Regionen aufweisen. die MRAM-Zellenregion 100A weist eine Transistorstruktur 101 in einem Halbleitersubstrat 100 auf. In einigen Ausführungsformen kann das Halbleitersubstrat 100 zum Beispiel ein Siliciumsubstrat sein, ist jedoch nicht darauf beschränkt. In einer Ausführungsform kann das Substrat 100 andere Halbleitermaterialien wie Siliciumgermanium, Siliciumcarbid, Galliumarsenid oder dergleichen aufweisen. In der vorliegenden Ausführungsform ist das Halbleitersubstrat 100 ein p-leitendes Halbleitersubstrat (P-Substrat) oder ein n-leitendes Halbleitersubstrat (N-Substrat), das Silicium umfasst. Als Alternative weist das Substrat 100 einen anderen elementaren Halbleiter wie Germanium; einen Verbindungshalbleiter, einschließlich Siliciumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon auf. In noch einer anderen alternative ist das Halbleitersubstrat 100 ein Halbleiter-auf-Isolator (Semiconductor On Insulator = SOI). In anderen Alternativen kann das Halbleitersubstrat 100 eine dotierte Epi-Schicht, eine Gradienten-Halbleiterschicht und/oder eine Halbleiterschicht aufweisen, die über einer anderen Halbleiterschicht eines anderen Typs liegt, wie einer Siliciumschicht auf einer Siliciumgermaniumschicht. Das Halbleitersubstrat 100 kann dotierte Regionen wie eine p-Wanne, eine n-Wanne oder Kombination davon aufweisen oder nicht.
  • Das Halbleitersubstrat 100 weist ferner stark dotierte Regionen wie Sources 103 und Drains 105 mindestens teilweise in dem Halbleitersubstrat 100 auf. Ein Gate 107 ist über einer oberen Oberfläche des Halbleitersubstrats 100 und zwischen der Source 103 und dem Drain 107 angeordnet. Kontaktstecker 108 sind in dem Zwischenschicht-Dielektrikum (ILD) 109 gebildet und können mit der Transistorstruktur 101 elektrisch gekoppelt werden. In einigen Ausführungsformen ist das ILD 109 auf dem Halbleitersubstrat 100 gebildet. Das ILD 109 kann durch verschiedene Techniken zur Bildung solcher Schichten gebildet werden, z. B. durch chemische Dampfphasenabscheidung (CVD), Niederdruck-CVD (LPCVD), plasmagestützte CVD (PECVD), Sputtern und physikalische Dampfphasenabscheidung (PVD), thermisches Wachstum und dergleichen. Das ILD 109 über dem Halbleitersubstrat 100 kann aus verschiedenen dielektrischen Materialien gebildet werden und kann zum Beispiel ein Oxid (z. B. Ge-Oxid), ein Oxinitrid (z. B. GaP-Oxinitrid), Siliciumdioxid (SiO2), ein stickstoffhaltiges Oxid (z. B. stickstoffhaltiges SiO2), ein stickstoffdotiertes Oxid (z. B. N2-implantiertes SiO2), Siliciumoxinitrid (SixOyNz) und dergleichen sein.
  • 1A zeigt einen planaren Transistor mit einer dotierten Region in dem Halbleitersubstrat 100. Allerdings ist die vorliegende Offenbarung nicht hierauf beschränkt. Ein nicht planarer Transistor wie eine FinFET-Struktur kann erhöhte dotierte Regionen aufweisen.
  • In einigen Ausführungsformen wird eine flache Grabenisolation (STI) 111 bereitgestellt, um benachbarte Transistoren zu definieren und elektrisch zu isolieren. In einigen Ausführungsformen ist die STI 111 auf dem Halbleitersubstrat 100 gebildet. Die STI 111, die aus geeigneten dielektrischen Materialien gebildet sein kann, kann zum elektrischen Isolieren eines Transistors von benachbarten Halbleitervorrichtungen wie anderen Transistoren bereitgestellt werden. Die STI 111 kann zum Beispiel ein Oxid (z. B. Ge-Oxid), ein Oxinitrid (z. B. GaP-Oxinitrid), Siliciumdioxid (SiO2), ein stickstoffhaltiges Oxid (z. B. stickstoffhaltiges SiO2), ein stickstoffdotiertes Oxid (z. B. N2-implantiertes SiO2), Siliciumoxinitrid (SixOyNz) und dergleichen aufweisen. Die STI 111 kann auch aus einem beliebigen geeigneten Material mit „hoher dielektrischer Konstante” oder „High-K” gebildet sein, wobei K größer als oder gleich etwa 8 ist, wie Titanoxid (TixOy, z. B. TiO2), Tantaloxid (TaxOy, z. B. Ta2O5), Bariumstrontiumtitanat (BST, BaTiO3/SrTiO3) und dergleichen. Als Alternative kann die STI 111 auch aus einem beliebigen geeigneten Material mit „niedriger dielektrischer Konstante” oder „Low-K” gebildet sein, wobei K kleiner als oder gleich etwa 4 ist.
  • Unter Bezugnahme auf 1A ist eine Metallisierungsstruktur 101' über der Transistorstruktur 101 angeordnet. Da die Nte Metallschicht 121' möglicherweise nicht die erste Metallschicht über der Transistorstruktur 101 ist, ist die Auslassung eines Abschnitts der Metallisierungsstruktur 101' durch Punkte dargestellt. In der MRAM-Zellenregion 100A ist eine MTJ-Struktur 130 zwischen einer Nt en Metalllinie 121' der Nten Metallschicht 121 und einer (N + 1)ten Metalllinie 123' der (N + 1)ten Metallschicht 123 angeordnet. In einigen Ausführungsformen sind die Metalllinien mit elektrisch leitfähigem Material, z. B. mit Kupfer, Gold oder einem anderen geeigneten Material oder einer Legierung gefüllt, um eine Anzahl von leitfähigen Durchkontaktierungen zu bilden. Metalllinien in anderen Metallschichten bilden eine Verbindungsstruktur, die aus im Wesentlichen reinem Kupfer (zum Beispiel mit einem Gewichtsprozentanteil von Kupfer von mehr als etwa 90 Prozent oder mehr als etwa 95 Prozent) oder Kupferlegierungen zusammengesetzt ist, und können mittels des Single- und/oder Dual-Damascene-Prozesses gebildet werden. Die Verbindungsstruktur kann ferner Metalldurchkontaktierungen 122 aufweisen, die Metalllinien 123' in den Metallschichten verbinden. Metalldurchkontaktierungen werden mit elektrisch leitfähigem Material gefüllt, das dem der Metalllinien ähnlich ist. Außerdem können Metalllinien und Metalldurchkontaktierungen im Wesentlichen frei von Aluminium sein oder nicht. Verbindungsstrukturen weisen mehrere Metallschichten, nämlich M1, M2...MN auf. In der gesamten Beschreibung bezieht sich der Ausdruck „Metallschicht” auf die Ansammlung der Metalllinien in der gleichen Schicht. Die Metallschichten M1 bis MN sind in Inter-Metall-Dielektrika (IMD) 125 gebildet, die aus Oxiden wie undotiertem Silikatglas (USG), fluoriertem Silikatglas (FSG), dielektrischen Low-k-Materialien oder dergleichen gebildet sind. Die dielektrischen Low-k-Materialien können k-Werte von unter 3,8 aufweisen, wenngleich die dielektrischen Materialien der IMD 125 auch nahe bei 3,8 liegen können. In einigen Ausführungsformen sind die k-Werte der Low-k-Materialien niedriger als etwa 3,0 und können unter etwa 2,5 liegen. Die Durchkontaktierung 122 kann durch verschiedene Techniken, z. B. Elektroplattieren, stromloses Plattieren, hochdichte ionisierte Metallplasma-(IMP)-Abscheidung, hochdichte induktiv gekoppelte Plasma-(ICP)-Abscheidung, Sputtern, physikalische Dampfphasenabscheidung (PVD), chemische Dampfphasenabscheidung (CVD), chemische Niederdruck-Dampfphasenabscheidung (LPCVD), plasmagestützte chemische Dampfphasenabscheidung (PECVD) und dergleichen gebildet werden.
  • Unter Bezugnahme auf die MRAM-Zellenregion 100A der Halbleiterstruktur 10 weist die MTJ-Struktur 130 mindestens eine untere Elektrode 137, eine obere Elektrode 133 und einen MTJ 135 auf. In einigen Ausführungsformen weist die untere Elektrode 137 eine trapezförmige Aussparung auf, die von einer dielektrischen Verbundschicht umgeben ist, die SiC 141 und Tetraethylorthosilikat (TEOS) 142 aufweist. Als Alternative kann das TEOS 142 durch siliciumreiche Oxide (SRO) ersetzt oder damit kombiniert werden. In einigen Ausführungsformen kann die untere Elektrode 137 Nitride wie TiN, TaN oder Ta aufweisen. In einigen Ausführungsformen ist die untere Elektrode 137 aus gestapelten Teilschichten gebildet, wobei jede Teilschicht eine andere Breite aufweist. Details der gestapelten Teilschichten der unteren Elektrode 137 werden in den folgenden Absätzen im Zusammenhang mit 2 weiter beschrieben.
  • In einigen Ausführungsformen, wie in der MRAM-Zellenregion 100A aus 1A dargestellt, ist die (N + 1)te Metalllinie 123' neben den IMD 125 von SiC 141 umgeben. Wie in 1A dargestellt, sind Seitenwände der oberen Elektrode 133 und der MTJ 135 lateral von einem Spacer 143 umgeben, der zum Beispiel aus Nitriden, Oxiden oder Oxinitriden zusammengesetzt ist. In einigen Ausführungsformen ist eine obere Oberfläche der unteren Elektrode 137 breiter als an einer unteren Oberfläche der oberen Elektrode 133 und als an einer unteren Oberfläche des MTJ 135, sodass der Spacer 143 nur auf einer Periphere der unteren Elektrode 137 angeordnet ist. In einigen Ausführungsformen sind der MTJ 135 und die obere Elektrode 133 mit einer vorbestimmten Struktur (z. B. einer Kreisform) aus einer Draufsichtperspektive konzipiert, wobei der Spacer 143 die Seitenwand des MTJ 135 und die obere Elektrode 133 lateral umgibt. In einigen Ausführungsformen ist die Seite des Spacers 143 weg von dem MTJ 135 mit einer Grenze der unteren Elektrode 137 ausgerichtet. In einigen Ausführungsformen kann der Spacer 143 auf einer mehrschichtigen Struktur gebildet sein. Zum Beispiel ist ein erstes Spacer-Material auf der MTJ-Struktur 130 angeordnet und ein zweites Spacer-Material ist über dem ersten Spacer-Material gebildet. Das erste Spacer-Material kann ein anderes als das zweite Spacer-Material sein.
  • In einigen Ausführungsformen ist der Spacer 143 lateral von einer Schutzschicht 127 wie einer Nitridschicht umgeben. In einigen Ausführungsformen ist die Schutzschicht 127 fakultativ und kann Siliciumnitride aufweisen. In einigen Ausführungsformen kann eine dielektrische Schicht 129 über der Schutzschicht 127 angeordnet sein. In einigen Ausführungsformen kann eine TEOS-Schicht 142 über dem SiC 141 angeordnet sein, das die (N + 1)te Metalllinie 123' umgibt.
  • In einigen Ausführungsformen ist die untere Elektrode 137 der MTJ-Struktur 130 elektrisch mit der dotierten Region gekoppelt. In einigen Ausführungsformen ist die dotierte Region ein Drain 105 oder eine Source 103. In anderen Ausführungsformen ist die untere Elektrode 137 der MTJ-Struktur 130 elektrisch mit dem Gate 107 gekoppelt. In einigen Ausführungsformen kann das Gate 107 der Halbleiterstruktur 10 ein Polysiliciumgate oder ein Metallgate sein.
  • 1B ist ein Querschnitt einer Halbleiterstruktur 20 gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Identische Bezugszeichen in 1B beziehen sich auf identische Elemente oder Äquivalente davon, wie in 1A dargestellt und werden der Einfachheit halber an dieser Stelle nicht wiederholt. Ein Unterschied zwischen der Halbleiterstruktur 20 und der Halbleiterstruktur 10 liegt darin, dass neben der MRAM-Zellenregion 100A die Halbleiterstruktur 20 ferner eine Logikregion 100B aufweist. Ähnlich der MRAM-Region 100A weist die Logikregion 100B eine gemeinsame Transistorstruktur 101 in dem Halbleitersubstrat 100 auf. In der Logikregion 100B ist die Nte Metalllinie 121' mit der (N + 1)ten Metalllinie 123' durch eine Nte Metalldurchkontaktierung 122' der Nten Metallschicht 121 verbunden. Darüber hinaus sind im Vergleich der MRAM-Zellenregion 100A und der Logikregion 100B die (N + I)te Metalllinie 123' und die Nte Metalldurchkontaktierung 122' in der Logikregion 100B nur von den IMD 125 umgeben. Außerdem ist eine Dicke der MTJ-Struktur 130 im Wesentlichen gleich oder größer als eine Summe der Dicke T2 der Nten Metalldurchkontaktierung 122' und der Dicke T1 eines Abschnitts der (N + 1)ten Metalllinie 123'.
  • 2 ist ein vergrößerter Querschnitt der Halbleiterstruktur 10 aus 1A gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Unter Bezugnahme auf 1A und 2 weist die untere Elektrode 137 eine gestapelte Struktur auf, die einen unteren Abschnitt 131 und einen oben liegenden Abschnitt (oberen Abschnitt) 132 aufweist. Der untere Abschnitt 131 ist über der Nten Metallschicht angeordnet und elektrisch damit gekoppelt und der obere Abschnitt 132 ist mit dem MTJ 135 elektrisch gekoppelt. Der untere Abschnitt 131 ist in einer unteren Elektrodendurchkontaktierung (Bottom Electrode Via = BEVA) angeordnet, die von der Verbundschicht 141/142 umgeben ist. In einigen Ausführungsformen ist ein Abschnitt der BEVA auch von der Nten Metallschicht 121 umgeben. Außerdem weist der untere Abschnitt 131 eine obere Oberfläche 131A auf, die mit der oberen Oberfläche einer der Verbundschicht 142, zum Beispiel einer TEOS-Schicht im Wesentlichen koplanar ist. In einigen Ausführungsformen weist die obere Oberfläche 131A des unteren Abschnitts 131 eine konkave Form auf, die aus der Kümpelwirkung in einem Planarisierungsprozess wie einem chemisch-mechanischen Polier-(CMP)-Vorgang zur Entfernung des unteren Abschnitts 131 resultieren kann, der im Übermaß über der Verbundschicht 141/142 gebildet wird. In einigen Ausführungsformen weist die obere Oberfläche 131A des unteren Abschnitts 131 eine Breite D4 auf. In einigen Ausführungsformen ist die Sperrschicht 161 wahlweise auf Seitenwänden und der Unterseite der BEVA gebildet, um eine Cu-Diffusion zu verhindern und eine bessere Haftung zwischen der unteren Elektrode 137 und ihren benachbarten Schichten bereitzustellen.
  • Der obere Abschnitt 132 der unteren Elektrode 137 weist eine untere Oberfläche 132B auf, welche die obere Oberfläche 131A des unteren Abschnitts 131 kontaktiert. Der obere Abschnitt 132 weist eine Breite D3 auf. In einigen Ausführungsformen ist die Breite D3 vom Zentrum zum Rand des oberen Abschnitts 132 gleichmäßig, und zwar ungeachtet einer Messung von einer oberen Oberfläche oder einer unteren Oberfläche des oberen Abschnitts 132. Die obere Elektrode 133 weist eine untere Oberfläche mit einer Breite D1 auf. Der MTJ 135 weist eine untere Oberfläche mit einer Breite D2 auf. Folglich ist die Breite D3 größer als die Breite D4. Darüber hinaus ist die Breite D3 größer als die Breite D1 und die Breite D2. Unter Bezugnahme auf 2 umgibt der Spacer 143 lateral den MTJ 135 und die obere Elektrode 133 von Seitenwänden davon und bildet von oben betrachtet ein hohles Zylindermerkmal. Daher kann eine Dicke D32 des Spacers 143 entlang der oberen Oberfläche 132A des oberen Abschnitts 132 gemessen werden. Anders ausgedrückt ist die Dicke D32 ein dickster Abschnitt zwischen einer Seite 143A und der anderen Seite 143B des Spacers 143. Somit entspricht die Breite D3 im Wesentlichen einer Summierung der Breite D2 an der unteren Oberfläche des MTJ 135 und zwei Breiten D32, die auf beiden Seiten des MTJ 135 und der oberen Elektrode 133 befindlich sind. In einigen Ausführungsformen beträgt die Dicke D32 von etwa 5 nm bis etwa 25 nm. In einigen Ausführungsformen ist die Breite D3 um einen Bereich von 10 nm bis 50 nm größer als die Breite D2. Zudem weist der obere Abschnitt 132 eine Dicke T3 auf.
  • 3 bis 23 sind Querschnitte einer in verschiedenen Stufen hergestellte CMOS-MEMS-Struktur gemäß einigen Ausführungsformen der vorliegenden Offenbarung. In 3 ist eine Halbleiterstruktur mit einer vorbestimmten MRAM-Zellenregion 100A und einer Logikregion 100B bereitgestellt. In einigen Ausführungsformen ist eine Transistorstruktur in einem Halbleitersubstrat vorgeformt (in 3 nicht dargestellt). Die integrierte Schaltungsvorrichtung kann einer weiteren CMOS- oder MOS-Technologieverarbeitung unterzogen werden, um verschiedene Merkmale zu bilden, die im Stand der Technik bekannt sind. Zum Beispiel können auch ein oder mehrere Kontaktstecker wie Silicidregionen gebildet werden. Die Kontaktmerkmale können mit der Source und dem Drain gekoppelt werden. Die Kontaktmerkmale umfassen Silicidmaterialien wie Nickelsilicid (NiSi), Nickelplatinsilicid (NiPtSi), Nickelplatingermaniumsilicid (NiPtGeSi), Nickelgermaniumsilicid (NiGeSi), Ytterbiumsilicid (YbSi), Platinsilicid (PtSi), Iridiumsilicid (IrSi), Erbiumsilicid (ErSi), Kobaltsilicid (CoSi), andere geeignete leitfähige Materialien und/oder Kombinationen davon. In einem Beispiel werden die Kontaktmerkmale durch einen Salicid-(selbst ausgerichteten Silicid)-Prozess gebildet.
  • Eine Nte Metalllinie 121' wird in einer dielektrischen Schicht 128 über der Transistorstruktur strukturiert. In einigen Ausführungsformen kann die Nte Metalllinie 121' durch einen Elektroplattiervorgang mit einer Cu-Keimschicht gebildet werden, die über der strukturierten dielektrischen Schicht 128 gebildet wird. In anderen Ausführungsformen kann die Nte Metalllinie 121' durch verschiedene Techniken, z. B. stromloses Plattieren, hochdichte ionisierte Metallplasma-(IMP)-Abscheidung, hochdichte induktiv gekoppelte Plasma-(ICP)-Abscheidung, Sputtern, physikalische Dampfphasenabscheidung (PVD), chemische Dampfphasenabscheidung (CVD), chemische Niederdruck-Dampfphasenabscheidung (LPCVD), plasmagestützte chemische Dampfphasenabscheidung (PECVD) und dergleichen gebildet werden. Ein Planarisierungsvorgang wird ausgeführt, um eine obere Oberfläche der Nten Metalllinie 121' und eine obere Oberfläche der dielektrischen Schicht 128 freizulegen.
  • In 4 sind eine Sperrschicht 140 in Form einer Stapelschicht, die eine SiC-Schicht 141 und eine TEOS/SRO-Schicht 142 aufweist, über einer oberen Oberfläche der Nten Metalllinie 121' und einer oberen Oberfläche der dielektrischen Schicht 128 sowohl in der MRAM-Zellenregion 100A als auch der Logikregion 100B abgeschieden. Die Sperrschicht 140 kann durch verschiedene Techniken, z. B. durch chemische Dampfphasenabscheidung (CVD), Niederdruck-CVD (LPCVD), plasmagestützte CVD (PECVD), Sputtern und physikalische Dampfphasenabscheidung (PVD), thermisches Wachstum und dergleichen gebildet werden. In 5 wird eine Fotolackschicht (nicht dargestellt) über der Stapelschicht strukturiert, um eine untere Elektrodenregion der MTJ-Struktur zu belichten. Wie in 5 dargestellt, wird ein unteres Elektrodendurchkontaktierungsloch 137' in der Sperrschicht 140 durch einen geeigneten Trockenätzvorgang gebildet. In einigen Ausführungsformen umfasst die Trockenätzung in dem vorliegenden Vorgang eine reaktive Ionenätzung (RIE) unter Verwendung von fluorhaltigen Gasen. In einigen Ausführungsformen kann der vorliegende Trockenätzvorgang durch eine beliebige geeignete dielektrische Ätzung zur Bildung von Durchkontaktierungsgräben in einer Metallisierungsstruktur der herkömmlichen CMOS-Technologie hergestellt werden. Unter Bezugnahme auf die Logikregion 100B, wie in 5 dargestellt, wird die Grenzschicht 140 durch die Fotolackschicht (nicht dargestellt) geschützt, sodass eine obere Oberfläche der Nten Metallschicht 121' im Gegensatz zu dem Gegenstück in der MRAM-Zellenregion 100A nicht belichtet wird.
  • In 6 wird eine Diffusionssperrschicht 161 über dem unteren Elektrodendurchkontaktierungsloch 137' in der MRAM-Zellenregion 100a und über der Sperrschicht 140 in der Logikregion 100B beschichtet. Anschließend wird ein Material 131 des unteren Abschnitts geleitet, um über der Diffusionssperrschicht 161 und der Sperrschicht 140 angeordnet zu werden. Das Material 131 des unteren Abschnitts kann durch verschiedene Techniken, z. B. hochdichte ionisierte Metallplasma-(IMP)-Abscheidung, hochdichte induktiv gekoppelte Plasma-(ICP)-Abscheidung, Sputtern, physikalische Dampfphasenabscheidung (PVD), chemische Dampfphasenabscheidung (CVD), chemische Niederdruck-Dampfphasenabscheidung (LPCVD), plasmagestützte chemische Dampfphasenabscheidung (PECVD) und dergleichen gebildet werden. Die Diffusionssperrschicht 161 und das Material 131 des unteren Abschnitts werden dann zurückgeätzt, um mit einer oberen Oberfläche der Sperrschicht 140 bündig zu sein, wie in 7 dargestellt. In einigen Ausführungsformen kann der Rückätzvorgang einen CMP-Vorgang umfassen. Falls, wie oben erläutert, die Öffnung des unteren Elektrodendurchkontaktierungslochs 137' breiter als ein vorbestimmter Wert ist, tritt eine Kümpelwirkung in dem Material 131 des unteren Abschnitts infolge des CMP-Vorgangs auf. In 8 wird ein Material 132 des oberen Abschnitts über dem planarisierten Material 131 des unteren Abschnitts und der Sperrschicht 140 gebildet. Das Material 132 des unteren Abschnitts kann durch verschiedene Techniken, z. B. hochdichte ionisierte Metallplasma-(IMP)-Abscheidung, hochdichte induktiv gekoppelte Plasma-(ICP)-Abscheidung, Sputtern, physikalische Dampfphasenabscheidung (PVD), chemische Dampfphasenabscheidung (CVD), chemische Niederdruck-Dampfphasenabscheidung (LPCVD), plasmagestützte chemische Dampfphasenabscheidung (PECVD) und dergleichen gebildet werden. Das Material 132 des oberen Abschnitts wird dann auf eine vorbestimmte Dicke T3 gedünnt, wie in 9 dargestellt. In einigen Ausführungsformen beträgt die Dicke T3 von etwa 80 Å bis etwa 300 Å. Das Material 131 des unteren Abschnitts und das Material 132 des oberen Abschnitts können aus Metallnitrid wie TaN, TiN, Ti/TiN, TaN/TiN, Ta oder den Kombinationen davon zusammengesetzt sein. In einigen Ausführungsformen weist der obere Abschnitt 132 ein Material auf, das ein anderes als das Material des unteren Abschnitts 131 ist. Das Material 131 des unteren Abschnitts und das Material 132 des oberen Abschnitts werden zusammen als die untere Elektrode 137 bezeichnet.
  • 10 zeigt die Bildung des MTJ 135 und der oberen Elektrode 133 einer MTJ-Struktur 130. In 10 ist der MTJ 135 in Form eines Stapels aus einer Vielzahl von Materialien über der unteren Elektrode 137 abgeschieden. In einigen Ausführungsformen weist der MTJ 135 eine Dicke von etwa 150 Å bis etwa 250 Å auf. Der MTJ 135 kann durch verschiedene Techniken, z. B. hochdichte ionisierte Metallplasma-(IMP)-Abscheidung, hochdichte induktiv gekoppelte Plasma-(ICP)-Abscheidung, Sputtern, physikalische Dampfphasenabscheidung (PVD), chemische Dampfphasenabscheidung (CVD), chemische Niederdruck-Dampfphasenabscheidung (LPCVD), plasmagestützte chemische Dampfphasenabscheidung (PECVD) und dergleichen gebildet werden. In einigen Ausführungsformen kann der MTJ 135 ferromagnetische Schichten, MTJ-Spacer und eine Deckschicht aufweisen. Die Deckschicht ist auf der ferromagnetischen Schicht gebildet. Jede der ferromagnetischen Schichten kann ein ferromagnetisches Material aufweisen, das Metall oder eine B. Fe, Co, Ni, CoFeB, FeB, CoFMetalllegierung, z. e, FePt, FePd, CoPt, CoPd, CoNi, TbFeCo, CrNi oder dergleichen sein kann. Der MTJ-Spacer kann nicht ferromagnetisches Metall, zum Beispiel Ag, Au, Cu, Ta, W, Mn, Pt, Pd, V, Cr, Nb, Mo, Tc, Ru oder dergleichen aufweisen. Ein anderer MTJ-Spacer kann auch Isolator, zum Beispiel Al2O3, MgO, TaO, RuO oder dergleichen aufweisen. Die Deckschicht kann nicht ferromagnetisches Material aufweisen, das ein Metall oder ein Isolator sein kann, zum Beispiel Ag, Au, Cu, Ta, W, Mn, Pt, Pd, V, Cr, Nb, Mo, Tc, Ru, Ir, Re, Os, Al2O3, MgO, TaO, RuO oder dergleichen. Die Deckschicht kann einen Schreibstrom ihrer zugehörigen MRAM-Zelle verringern. Die ferromagnetische Schicht kann als eine freie Schicht fungieren, deren magnetische Polarität oder magnetische Ausrichtung während eines Schreibvorgangs ihrer zugehörigen MRAM-Zelle geändert werden kann. Die ferromagnetischen Schichten und der MTJ-Spacer könne als eine feste oder verankerte Schicht fungieren, deren magnetische Ausrichtung während eines Vorgangs der zugehörigen MRAM-Zelle nicht geändert werden kann. Gemäß anderen Ausführungsformen wird in Betracht gezogen, dass der MTJ 135 eine antiferromagnetische Schicht aufweisen kann. Nach der Bildung des MTJ 135 wird eine obere Elektrodenschicht 133 über dem MTJ 135 abgeschieden. Die obere Elektrodenschicht 133 kann durch verschiedene Techniken, z. B. hochdichte ionisierte Metallplasma-(IMP)-Abscheidung, hochdichte induktiv gekoppelte Plasma-(ICP)-Abscheidung, Sputtern, physikalische Dampfphasenabscheidung (PVD), chemische Dampfphasenabscheidung (CVD), chemische Niederdruck-Dampfphasenabscheidung (LPCVD), plasmagestützte chemische Dampfphasenabscheidung (PECVD) und dergleichen gebildet werden. In einigen Ausführungsformen ist die obere Elektrodenschicht 133 aus TiN zusammengesetzt.
  • Unter Bezugnahme auf 11 ist eine Maskenschicht (nicht dargestellt) über der oberen Elektrode 133 für die nachfolgende MTJ-Strukturbildung gebildet. Die Maskenschicht kann eine mehrschichtige Struktur aufweisen, die zum Beispiel eine Oxidschicht, eine Advanced-Patterning-Film-(APF)-Schicht und eine Oxidschicht aufweisen kann. Jede der Oxidschicht, der APF-Schicht und der Oxidschicht kann durch verschiedene Techniken, z. B. hochdichte ionisierte Metallplasma-(IMP)-Abscheidung, hochdichte induktiv gekoppelte Plasma-(ICP)-Abscheidung, Sputtern, physikalische Dampfphasenabscheidung (PVD), chemische Dampfphasenabscheidung (CVD), chemische Niederdruck-Dampfphasenabscheidung (LPCVD), plasmagestützte chemische Dampfphasenabscheidung (PECVD) und dergleichen gebildet werden. In einigen Ausführungsformen ist die Maskenschicht konfiguriert, den MTJ 135 und die obere Elektrode 133 zu strukturieren. Zum Beispiel wird eine Breite der Maskierungsregion gemäß dem gewünschten MTJ-Durchmesser bestimmt. In einigen Ausführungsformen werden der MTJ 135 und die obere Elektrode 133 durch eine RIE gebildet, um aus einer Querschnittsansicht betrachtet eine Trapezform zu erhalten. In der vorliegenden Ausführungsform können die verwendeten Ätzmittel zum Beispiel aus Cl2, BCl3, HBr, CF4, CHF3, H2, N2, CO, NH3, Ar, Alkohol und Xe ausgewählt sein, um eine wünschenswerte Selektivität zwischen dem oberen Anschnitt 132 und dem MTJ 135 bereitzustellen. Zum Beispiel verbraucht das in dem vorliegenden Ätzvorgang verwendete Ätzmittel den MTJ 135 im Wesentlichen schneller als der obere Abschnitt 132 der unteren Elektrode 130. In einigen Ausführungsformen beträgt die Leistung, die für einen RIE-Vorgang verwendet wird, von etwa 50 Watt bis etwa 3000 Watt.
  • 12 bis 13 zeigen die Bildung des Spacers 143 über der MTJ-Struktur 130. Unter Bezugnahme auf 12 ist eine dielektrische Schicht 144 konform über der oberen Elektrode 133, dem MTJ 135 und dem oberen Abschnitt 132 der unteren Elektrode 137 abgeschieden. Die dielektrische Schicht 144 kann durch verschiedene Techniken, z. B. hochdichte ionisierte Metallplasma-(IMP)-Abscheidung, hochdichte induktiv gekoppelte Plasma-(ICP)-Abscheidung, Sputtern, physikalische Dampfphasenabscheidung (PVD), chemische Dampfphasenabscheidung (CVD), chemische Niederdruck-Dampfphasenabscheidung (LPCVD), plasmagestützte chemische Dampfphasenabscheidung (PECVD) und dergleichen gebildet werden. Die dielektrische Schicht 144 kann Materialien wie Siliciumoxid (SiOx), Siliciumnitrid (SiNx), Siliciumoxinitrid (SixOyN2), Aluminiumoxid (AlOx) und dergleichen aufweisen. Eine Abscheidungsdicke D5 der dielektrischen Schicht 144 wird bestimmt, die mit der Dicke D32 des Spacers 143 in 2 in engem Zusammenhang steht.
  • In 13 ist ein Abschnitt der dielektrischen Schicht 144 auf der oberen Elektrode 133 und auf dem oberen Abschnitt 132 der unteren Elektrode 137 entfernt. Eine obere Oberfläche der oberen Elektrode 133 und ein Abschnitt des oberen Abschnitts 132 der unteren Elektrode 137 sind freigelegt, sodass Spacer 143 auf der oberen Oberfläche 132A des oberen Abschnitts 132A mit einer Restdicke D32 gebildet werden, die entlang der oberen Oberfläche 132A zwischen gegenüberliegenden Seitenwänden 143A und 143B gemessen wird. Außerdem umgibt der Spacer 143 lateral die Seitenwände des MTJ 135 und der oberen Elektrode 133. Der Entfernungsvorgang kann ein geeigneter Trockenätzvorgang sein. In einigen Ausführungsformen umfasst die Trockenätzung in der vorliegenden Ausführungsform eine reaktive Ionenätzung (RIE) unter Verwendung von fluorhaltigen Gasen. Der Ätzvorgangs wird unter Verwendung eines geeigneten Ätzmittels wie CF4, CHF3, CH2F2, Ar, N2, O2 und He ausgeführt, um die gewünschte Ätzselektivität zwischen der dielektrischen Schicht 144 und der oberen Elektrode 133 oder dem oberen Abschnitt 132 bereitzustellen. In einigen Ausführungsformen beträgt die Leistung, die für den Ätzvorgang verwendet wird, von etwa 20 Watt bis etwa 1500 Watt. Die Ätzung wird an der oberen Oberfläche 132A des oberen Abschnitts 132 angehalten, sodass der obere Abschnitt 132 seine ursprüngliche Dicke nach dem Ätzen im Wesentlichen behält.
  • Unter Bezugnahme auf 14 wird ein Entfernungsvorgang zur Strukturierung des oberen Abschnitts 132 der unteren Elektrode 137 ausgeführt. Der Entfernungsvorgang kann ein Trockenätzvorgang wie ein RIE-Vorgang sein. Die Ätzbedingungen werden derart bestimmt, dass eine hohe Selektivität zwischen dem Material 132 des oberen Abschnitts und dem Material der Verbundschicht 142 und dem Spacer 143 bereitgestellt wird. Zum Beispiel wird das Ätzgas aus Cl2, BCl3, HBr, CF4, CHF3, N2, Ar und He ausgewählt und die Leistung wird auf von etwa 50 Watt bis etwa 2000 Watt geregelt. Somit wird ein Abschnitt der Verbundschicht 142 nach dem Entfernungsvorgang freigelegt. Da der Spacer 143 relativ robust gegenüber dem Ätzmittel ist, wird der obere Abschnitt 132, der unter und abgeschirmt von dem Spacer 143 angeordnet ist, durch den vorherigen Ätzvorgang nicht aufgebraucht. Folglich wird, wie in 2 dargestellt, der obere Abschnitt 132 mit einer Breite D3 von mehr als der Breite D2 der unteren Oberfläche des MTJ 135 gebildet. Darüber hinaus ist die Breite D3 größer als die Breite D1 an der unteren Oberfläche der oberen Elektrode 133. Ferner ist die Breite D3 größer als die Breite D4 der oberen Oberfläche 131A des unteren Abschnitts 131 der unteren Elektrode 137. Außerdem ist der obere Abschnitt 132 mit einer im Wesentlichen gleichmäßigen Breite D3 von der Oberfläche 132A zu der Oberfläche 132B gebildet. In einigen Ausführungsformen kann die Breite D3 größer als oder kleiner als eine Breite der BEVA sein.
  • In vorhandenen Ansätzen zum Ätzen 132 wird ein Trockenätzvorgang angewendet, der das gleiche Ätzmittel wie zum Ätzen des MTJ 135 anwendet. Ein solcher Trockenätzvorgang weist wenig oder gar keine Selektivität gegenüber der dielektrischen Schicht 141/142 auf, daher kann die dielektrische Schicht 141/142 leicht in einem Maße beschädigt werden, das dem darunterliegenden Cu ermöglicht, herauszudiffundieren oder direkt die darunterliegenden leitfähigen Cu-Linien freizulegen. Im Gegensatz dazu verhindern die mehrstufigen Ätzvorgänge in der vorliegenden Offenbarung, dass die dielektrische Schicht 141/142, welche die untere Elektrode 137 umgibt, beschädigt wird, und verringern wirksam die Möglichkeit einer Migration von zum Beispiel darunterliegenden Cu-Atomen.
  • Außerdem weist der untere Abschnitt 131 in herkömmlichen MRAM-Vorrichtungen aufgrund der Kümpelwirkung, die aus einem CMP-Vorgang hervorgeht, eine unebene Oberfläche, zum Beispiel eine konkave Oberfläche 131A auf. Wenn der darüberliegende obere Abschnitt 132 der unteren Elektrode 137 zwecks einer besseren Steuerung des Ätzvorgangs, der die MTJ-Struktur 130 bildet, dünner als etwa 80 Å ist, kann eine solche unebene Oberfläche bewirken, dass auch eine Unterseite des MTJ 135 uneben wird. Die Planarität des MTJ 135 ist für die Leistung der MRAM-Vorrichtung von höchster Bedeutung. Eine Erhöhung der Dicke T3 des oberen Abschnitts 132, wie in 9 dargestellt, kann die unebene untere Oberfläche des MTJ 135 wirksam verringern, jedoch auf Kosten der Steuerung des Ätzvorgangs, der die MTJ-Struktur 130 bildet. Herkömmlicherweise wird beim Strukturieren der MTJ-Struktur 130 eine erste Ätzmittelformel für den MTJ 135 angewendet und eine zweite Ätzmittelformel für den oberen Abschnitt 132 der unteren Elektrode 137. Die erste Ätzmittelformel und die zweite Ätzmittelformel sind verschieden. Daher wird die Dicke T3 des oberen Abschnitts 132 als relativ dünn, zum Beispiel weniger als 80 Å, vorzugsweise 40 Å bestimmt, um den Ätzvorgang besser zu steuern, um so eine übermäßige Beschädigung zu vermeiden, die an den benachbarten Strukturen wie der dielektrischen Schicht 140 entstehen kann. Die vorliegende Offenbarung wendet das Ätzmittel wie CF4, CHF3 und CH2F2, mit hoher Selektivität auf den oberen Abschnitt 132 an, ohne benachbarte Schichten aufzubrauchen. Somit kann die Entfernung des oberen Abschnitts 132 vollendet werden, ohne die benachbarten Strukturen wie die dielektrische Schicht 140 zu beschädigen. Die Dicke des oberen Abschnitts 132 in der vorliegenden Offenbarung kann so dick sein, dass sie die unebene Oberfläche des unteren Abschnitts 131 ausgleicht. In einigen Ausführungsformen kann die Dicke T3 des oberen Abschnitts 132 in einem Bereich von etwa 80 Å bis etwa 250 Å liegen.
  • In einigen Ausführungsformen ist der Spacer 143 als eine Schutzmaske des MTJ 135 und die obere Elektrode 133 in Bezug auf die nachfolgenden Ätzvorgänge gebildet. Während des Trockenätzens zum Strukturieren des oberen Abschnitts 132 der unteren Elektrode 137 werden Metallteilchen von dem oberen Abschnitt 132 aufgrund der Ionenbombardierung gestreut. Der Spacer 143 schützt die Seitenwand des MTJ 135 und der oberen Elektrode 133 und die gesputterten Metallteilchen können auf benachbarte Merkmale wie die Seitenwand des Spacers 134 und nicht auf die Seitenwand des as-strukturierten MTJ 135 oder der oberen Elektrode 133 abgeschieden werden. Daher kann ein unbeabsichtigter Kurzschluss oder eine Stromableitung aufgrund der obigen erneuten Abscheidung wirksam verhindert werden.
  • In 15 ist eine Schutzschicht 127 über dem MTJ 135, der oberen Elektrode 133, dem Spacer 143 und der Verbundschicht 141/142 gebildet. In einigen Ausführungsformen weist die Schutzschicht 127 eine Dicke von etwa 50 Å bis etwa 300 Å auf. Es sei klargestellt, dass eine Seitenwand des MTJ 135 und die Seitenwände des oberen Abschnitts 132 und des Spacers 143 von der Schutzschicht 127 umgeben sind, um eine Oxidation oder sonstige Kontamination zu verhindern. Anschließend wird eine dielektrische Schicht 129 wie eine TEOS-Schicht konform über der Schutzschicht 127 abgeschieden. In einigen Ausführungsformen ist eine Dicke der dielektrischen Schicht 129 gemäß einem Niveau einer oberen Oberfläche davon in Bezug auf die obere Oberfläche der oberen Elektrode 133 und der Oberseite des Spacers 143 zu bestimmen. Zum Beispiel muss eine obere Oberfläche der dielektrischen Schicht 129 an der Logikregion 100B größer als oder etwa gleich einer oberen Oberfläche der oberen Elektrode 133 einer MTJ-Struktur 130 sein. In 16 wird ein Planarisierungsvorgang auf der dielektrischen Schicht 129 ausgeführt, sodass die obere Oberfläche der dielektrischen Schicht 129 über die MRAM-Zellenregion 100A und die Logikregion 100B im Wesentlichen flach ist. Wie in 16 dargestellt, ist die obere Oberfläche der oberen Elektrode 133 von der dielektrischen Schicht 129 nach dem Planarisierungsvorgang freigelegt.
  • In 17 bis 19 sind ein oberer Abschnitt der Sperrschicht 140, der Schutzschicht 127 und der dielektrischen Schicht 129 von der Logikregion 100B durch einen Rückätzvorgang entfernt, wie in 17 dargestellt. Folglich liegt die MRAM-Zellenregion 100A auf einer größeren Höhe als die Logikregion 100B. In 18 wird ein Verbund 180 von dielektrischer Schicht und dielektrischer Low-k-Schicht gebildet, um die MRAM-Zellenregion 100A und die Logikregion 100B konform abzudecken. Eine Stufendifferenz 181 ist in 18 zu beobachten, daher wird ein Rückätzvorgang ausgeführt, wie in 19 dargestellt, um eine im Wesentlichen flache obere Oberfläche für die nachfolgende Grabenbildung sowohl in der MRAM-Zellenregion 100A als auch der Logikregion 100B zu erhalten. Es sei klargestellt, dass eine dielektrische Schicht 183 des Verbunds 180 von dielektrischer Schicht und dielektrischer Low-k-Schicht nach dem oben erwähnten Planarisierungsvorgang quasi in der Logikregion 100B zurückbleibt. Die dielektrische Schicht 183 wird absichtlich beibehalten, um als eine Schutzschicht für die nachfolgende Grabenbildung zu fungieren. Die dielektrische Schicht 183 kann verhindern, dass die saure Lösung die dielektrische Low-k-Schicht während einer Fotolackentfernungsvorgangs beschädigt.
  • In 20 wird ein Fotolack (nicht dargestellt) über der planarisierten dielektrischen Oberfläche strukturiert, um Gräben für Metalllinien und Metalldurchkontaktierungen zu bilden. Zum Beispiel wird in der MRAM-Zellenregion 100A eine (N + 1)ter Metallliniengraben 123A über der MTJ-Struktur 130 gebildet, sodass eine obere Oberfläche der oberen Elektrode 133 der MTJ-Struktur 130 freigelegt wird. In der Logikregion 100B wird ein Nter Metalldurchkontaktierungsgraben und ein (N + 1)ter Metallliniengraben (kombinatorisch 123B) über der Nten Metalllinie 121' gebildet, sodass eine obere Oberfläche der Nten Metalllinie 121' freigelegt wird.
  • In 21 und 22 füllt leitfähiges Metall den Metallliniengraben/Metalldurchkontaktierungsgraben (nachstehend „Gräben”) durch zum Beispiel einen herkömmlichen Dual-Damascene-Vorgang. Die strukturierten Gräben werden mit einem leitfähigen Material durch einen Elektroplattiervorgang gefüllt und überschüssige Anteile des leitfähigen Materials werden von der Oberfläche mittels eines chemisch-mechanischen Polier-(CMP)-Vorgangs, eines Ätzvorgangs oder Kombinationen davon entfernt. Details einer Elektroplattierung der Graben werden nachstehend bereitgestellt. Die (N + 1)te Metalllinie 123' kann aus W und mehr bevorzugt aus Kupfer (Cu), einschließlich AlCu (zusammen Cu) gebildet werden. In einer Ausführungsform werden die (N + 1)ten Metalllinien 123' unter Verwendung des Damascene-Vorgangs gebildet, der dem Fachmann bekannt sein sollte. Zuerst werden Gräben durch die dielektrische Low-k-Schicht geätzt. Dieser Prozess kann durch einen Plasmaätzvorgang wie eine induktiv gekoppelte Plasma-(ICP)-Ätzung ausgeführt werden. Eine dielektrische Auskleidung (nicht dargestellt) kann dann auf die Seitenwände der Gräben abgeschieden werden. In Ausführungsformen können die Auskleidungsmaterialien Siliciumoxid (SiOx) oder Siliciumnitrid (SiNx) aufweisen, die durch einen Plasmaabscheidungsprozess wie die physikalische Dampfphasenabscheidung (PVD) oder chemische Dampfphasenabscheidung (CVD), einschließlich der plasmagestützten chemischen Dampfphasenabscheidung (PECVD) gebildet werden können. Danach wird eine Keimschicht aus Cu in die Gräben plattiert. Es sei klargestellt, dass die Keimschicht aus Cu über eine obere Oberfläche der oberen Elektrode 133 plattiert werden kann. Danach wird eine Kupferschicht in die Gräben abgeschieden, gefolgt von einer Planarisierung der Kupferschicht wie durch chemisch-mechanische Polierung (CMP), bis hinunter auf die obere Oberfläche einer dielektrischen Low-k-Schicht. Die freiliegende Kupferoberfläche und die dielektrische Schicht können koplanar sein.
  • Nach dem Planarisierungsvorgang, bei dem der Überschuss des leitfähigen Materials entfernt wird, wie in 22 dargestellt, werden eine (N + 1)te Metalllinie 123' sowohl in der MRAM-Zellregion 100A als auch der Logikregion 100B sowie eine Nte Metalldurchkontaktierung 122' in der Logikregion 100B gebildet. In 23 werden eine nachfolgende Sperrschicht 141 und ein (N + 1)ter Metalldurchkontaktierungsgrabens sowie ein (N + 2)ter Metallliniengraben in der dielektrischen Low-k-Schicht gebildet. Eine nachfolgende Verarbeitung kann das Bilden verschiedener Kontakte/Durchkontaktierungen/Linien und mehrschichtiger Verbindungsmerkmale (z. B. Metallschichten und Zwischenschichtdielektrika) über dem Substrat beinhalten, das zum Verbinden der verschiedenen Merkmale oder Strukturen der intrigierten Schaltungsvorrichtungen konfiguriert ist. Die zusätzlichen Merkmale können eine elektrische Verbindung zu der Vorrichtung bereitstellen, welche die gebildeten Metallgatestrukturen aufweist. Zum Beispiel weist eine mehrschichtige Verbindung vertikale Verbindungen wie herkömmliche Durchkontaktierungen oder Kontakte und horizontale Verbindungen wie Metalllinien auf. Die verschiedenen Verbindungsmerkmale können verschiedene leitfähige Materialien implementieren, einschließlich Kupfer, Wolfram und/oder Silicid. In einem Beispiel wird ein Damascene- und/oder Dual-Damascene-Prozess angewendet, um eine kupferbezogene mehrschichtige Verbindungsstruktur zu bilden.
  • Einige Ausführungsformen der vorliegenden Offenbarung stellen eine Halbleiterstruktur bereit, die eine Nte Metallschicht über einer Transistorregion, wobei N eine natürliche Zahl ist, und eine untere Elektrode über der Nten Metallschicht aufweist. Die untere Elektrode umfasst einen unteren Abschnitt mit einer ersten Breite, der in einer unteren Elektrodendurchkontaktierung (BEVA) angeordnet ist, wobei die erste Breite an einer oberen Oberfläche der BEVA gemessen wird, und einen oberen Abschnitt mit einer zweiten Breite, der über dem unteren Abschnitt angeordnet ist. Die Halbleiterstruktur weist auch eine magnetische Tunnelkontakt-(MTJ)-Schicht mit einer dritten Breite, die über dem oberen Abschnitt angeordnet ist, eine obere Elektrode über der MTJ-Schicht und eine (N + 1)te Metallschicht über der oberen Elektrode auf. Die erste Breite ist größer als die dritte Breite.
  • Einige Ausführungsformen der vorliegenden Offenbarung stellen eine Halbleiterstruktur bereit, die eine Nte Metallschicht, eine untere Elektrode über der Nten Metallschicht, eine Magnettunnelkontakt-(MTJ)-Schicht über der unteren Elektrode und einen Spacer aufweist, der die MTJ-Schicht lateral umgibt. Die untere Elektrode umfasst eine obere Oberfläche mit einer ersten Breite, die größer als eine zweite Breite einer unteren Oberfläche der MTJ-Schicht ist.
  • Einige Ausführungsformen der vorliegenden Offenbarung stellen ein Verfahren zum Herstellen einer Halbleiterstruktur bereit. Das Verfahren umfasst (1) Bilden einer Nten Metallschicht, (2) Bilden einer MTJ-Struktur über der Nten Metallschicht, (3) Bilden eines Spacers über der MTJ-Struktur, Umschließen einer Seitenwand der MTJ-Struktur und (4) Bilden eines (N + 1)ten Metalls über dem Nten Metall.
  • Die vorstehenden Ausführungen heben Merkmale mehrerer Ausführungsformen hervor, sodass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann wird zu schätzen wissen, dass er die vorliegende Offenbarung ohne Weiteres als Grundlage für die Konzipierung oder Modifizierung anderer Prozesse und Strukturen für die gleichen Zwecke und/oder zur Erzielung der gleichen Vorteile der hierin vorgestellten Ausführungsformen nutzen kann. Der Fachmann sollte auch realisieren, dass solche äquivalenten Konstruktionen vom Geist und Schutzbereich der vorliegenden Offenbarung nicht abweichen und dass verschiedene Änderungen, Substitutionen und Veränderungen hierin vorgenommen werden können, ohne von dem Geist und Schutzbereich der vorliegenden Offenbarung abzuweichen.
  • Des Weiteren soll das Schutzgebiet der vorliegenden Anmeldung in keiner Weise auf einzelne Ausführungsformen des Verfahrens, der Maschine, Herstellung, Zusammensetzung der Materialien, Mittel, Verfahren und Schritte, die hier beschrieben sind, beschränkt sein. Wie ein Durchschnittsfachmann auf dem Gebiet aufgrund der Offenbarung der vorliegenden Erfindung zu schätzen wissen wird, können Verfahren, Maschinen, Herstellung, Zusammensetzung von Materialien, Mittel, Verfahren oder Schritte, die derzeit im Stand der Technik existieren oder später entwickelt werden und die im Wesentlichen die gleiche Funktion oder im Wesentlichen das gleiche Ergebnis wie die entsprechenden Ausführungsformen, die hierin beschrieben sind, erreichen, gemäß der vorliegenden Erfindung angewendet werden. Entsprechend sollen die angehängten Ansprüche innerhalb ihres Schutzbereichs diese Verfahren, Maschinen, Herstellung, Zusammensetzung von Material, Mitteln, Verfahren oder Schritte einschließen.

Claims (20)

  1. Halbleiterstruktur, umfassend: eine Nte Metallschicht über einer Transistorregion, wobei N eine natürliche Zahl ist; eine untere Elektrode über der Nten Metallschicht, wobei die untere Elektrode Folgendes umfasst: einen unteren Abschnitt mit einer ersten Breite, der in einer unteren Elektrodendurchkontaktierung (BEVA) angeordnet ist, wobei die erste Breite an einer oberen Oberfläche der BEVA gemessen wird; und einen oberen Abschnitt mit einer zweiten Breite, der über dem unteren Abschnitt angeordnet ist; eine magnetische Tunnelkontakt-(MTJ)-Schicht mit einer dritten Breite, die über dem oberen Abschnitt angeordnet ist; eine obere Elektrode über der MTJ-Schicht, und eine (N + 1)te Metallschicht über der oberen Elektrode, wobei die zweite Breite größer als die erste Breite ist.
  2. Halbleiterstruktur nach Anspruch 1, ferner umfassend einen Spacer, der die MTJ-Schicht umgibt und auf dem oberen Abschnitt angeordnet ist.
  3. Halbleiterstruktur nach Anspruch 1 oder 2, wobei die zweite Breite um einen Bereich von 10 nm bis 50 nm größer als die dritte Breite ist.
  4. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei der obere Abschnitt eine Dicke von etwa 80 Å bis etwa 250 Å aufweist.
  5. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, ferner umfassend eine Schutzschicht, welche die MTJ-Schicht, den oberen Abschnitt und den Spacer umgibt.
  6. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei der Spacer Folgendes umfasst: einen ersten Spacer, der aus einem ersten Material hergestellt ist; und einen zweiten Spacer über dem ersten Spacer, wobei der zweite Spacer aus einem zweiten Material hergestellt ist, das ein anderes als das erste Material ist.
  7. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, ferner umfassend eine dielektrische Schicht, welche die BEVA lateral umgibt.
  8. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei der obere Abschnitt ein Material aufweist, das ein anderes als ein Material des unteren Abschnitts ist.
  9. Eine magnetische wahlfreie Zugriffsspeicher-(MRAM)-Zelle, umfassend: eine Nte Metallschicht; eine untere Elektrode über der Nten Metallschicht; eine magnetische Tunnelkontakt-(MTJ)-Schicht über der unteren Elektrode; und einen Spacer, der die MTJ-Schicht lateral umgibt, wobei die untere Elektrode eine obere Oberfläche mit einer ersten Breite aufweist, die größer als eine zweite Breite einer unteren Oberfläche der MTJ-Schicht ist.
  10. MRAM-Zelle nach Anspruch 9, wobei die MRAM-Zelle ferner eine obere Elektrode über der MTJ-Schicht umfasst, wobei die obere Elektrode lateral von dem Spacer umgeben ist.
  11. MRAM-Zelle nach Anspruch 10, wobei die erste Breite der unteren Elektrode größer als eine dritte Breite einer unteren Oberfläche der oberen Elektrode ist.
  12. MRAM-Zelle nach einem der Ansprüche 9 bis 11, wobei der Spacer eine mehrschichtige Struktur ist.
  13. MRAM-Zelle nach einem der Ansprüche 9 bis 12, wobei die untere Elektrode einen oberen Abschnitt und einen unteren Abschnitt umfasst, wobei der obere Abschnitt die erste Breite umfasst, die erste Breite im Wesentlichen einer Summierung der zweiten Breite und einer vierten Breite des Spacers entspricht.
  14. MRAM-Zelle nach Anspruch 13, wobei der obere Abschnitt eine Dicke von etwa 80 Å bis etwa 120 Å aufweist.
  15. MRAM-Zelle nach Anspruch 13 oder 14, wobei der untere Abschnitt der unteren Elektrode von einer Sperrschicht und einer dielektrischen Schicht umgeben ist.
  16. MRAM-Zelle nach einem der Ansprüche 10 bis 15, wobei der Spacer von einer Schutzschicht umgeben ist.
  17. MRAM-Zelle nach einem der Ansprüche 9 bis 16, ferner umfassend eine Logikregion, die zwischen der Nten Metallschicht und der (N + 1)ten Metallschicht angeordnet ist, wobei die Nte Metallschicht eine Nte Metalllinie und eine Nte Metalldurchkontaktierung umfasst.
  18. Verfahren zum Herstellen einer Halbleiterstruktur, wobei das Verfahren Folgendes umfasst: Bilden einer Nten Metallschicht; Bilden einer MTJ-Struktur über der Nten Metallschicht; Bilden eines Spacers über der MTJ-Struktur, die eine Seitenwand der MTJ-Struktur umgibt; und Bilden eines (N + 1)ten Metalls über dem Nten Metall.
  19. Verfahren nach Anspruch 18, wobei das Bilden der MTJ-Struktur Folgendes umfasst: Bilden einer unteren Elektrode; Bilden einer magnetischen Tunnelkontakt-(MTJ)-Schicht über der unteren Elektrode; Bilden einer oberen Elektrode über der MTJ-Schicht, und Strukturieren der oberen Elektrodenschicht und der MTJ-Schicht vor dem Bilden des Spacers über der MTJ-Struktur.
  20. Verfahren nach Anspruch 19, wobei das Bilden einer unteren Elektrode und das Bilden des Spacers über der MTJ-Struktur ferner Folgendes umfasst: Bilden einer unteren Elektrodenschicht; und Strukturieren der unteren Elektrodenschicht nach dem Bilden des Spacers über der MTJ-Struktur.
DE102016117034.8A 2015-12-31 2016-09-12 Halbleiterstruktur und Verfahren zur Bildung derselben Pending DE102016117034A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562273469P 2015-12-31 2015-12-31
US62/273,469 2015-12-31
US15/159,669 US10270025B2 (en) 2015-12-31 2016-05-19 Semiconductor structure having magnetic tunneling junction (MTJ) layer
US15/159,669 2016-05-19

Publications (1)

Publication Number Publication Date
DE102016117034A1 true DE102016117034A1 (de) 2017-07-06

Family

ID=59068956

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016117034.8A Pending DE102016117034A1 (de) 2015-12-31 2016-09-12 Halbleiterstruktur und Verfahren zur Bildung derselben

Country Status (4)

Country Link
US (4) US10270025B2 (de)
CN (1) CN107017338B (de)
DE (1) DE102016117034A1 (de)
TW (1) TWI611548B (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727401B2 (en) 2017-11-10 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic random access memory
DE102019130274A1 (de) * 2019-10-30 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-mram-vorrichtung und verfahren
US20230178129A1 (en) * 2021-12-03 2023-06-08 International Business Machines Corporation Dielectric fill for tight pitch mram pillar array

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10811595B2 (en) * 2016-04-01 2020-10-20 Intel Corporation Techniques for forming logic including integrated spin-transfer torque magnetoresistive random-access memory
US11289651B2 (en) * 2017-09-01 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device having via landing protection
CN109545745A (zh) * 2017-09-21 2019-03-29 上海磁宇信息科技有限公司 一种磁性随机存储器单元阵列及周边电路连线的制造方法
CN109545958A (zh) * 2017-09-21 2019-03-29 上海磁宇信息科技有限公司 一种磁性随机存储器单元阵列及周边电路连线的制造方法
CN109545957A (zh) * 2017-09-21 2019-03-29 上海磁宇信息科技有限公司 一种磁性随机存储器单元阵列及周边电路连线的制造方法
CN109713119A (zh) * 2017-10-25 2019-05-03 上海磁宇信息科技有限公司 一种磁性随机存储器单元阵列及周边电路连线的制造方法
CN109713120A (zh) * 2017-10-25 2019-05-03 上海磁宇信息科技有限公司 一种磁性随机存储器单元阵列及周边电路连线的制造方法
CN109713121B (zh) * 2017-10-25 2022-11-08 上海磁宇信息科技有限公司 一种制作磁性随机存储器单元阵列及其周围电路的方法
US10276784B1 (en) * 2017-10-30 2019-04-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and associated operating and fabricating method
US10658571B2 (en) * 2017-11-17 2020-05-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10490248B2 (en) * 2017-11-30 2019-11-26 Taiwan Semiconductor Manufacturing Company Ltd. Magnetic random access memory structure and manufacturing method of the same
US10644231B2 (en) 2017-11-30 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and fabrication method thereof
CN109994600B (zh) * 2017-12-29 2022-11-04 上海磁宇信息科技有限公司 一种磁性随机存储器的制作方法
US10522740B2 (en) 2018-05-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques for MRAM MTJ top electrode to metal layer interface including spacer
US10770345B2 (en) * 2018-08-27 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and fabrication method thereof
CN110890461B (zh) * 2018-09-07 2023-05-02 联华电子股份有限公司 嵌入式磁阻式随机存取存储器的制造方法
US11075335B2 (en) * 2018-09-26 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for MRAM MTJ top electrode connection
US11315870B2 (en) * 2018-11-21 2022-04-26 Globalfoundries U.S. Inc. Top electrode interconnect structures
CN111435672B (zh) * 2019-01-14 2024-03-19 联华电子股份有限公司 磁阻式随机存取存储器结构及其制作方法
CN111668368B (zh) * 2019-03-08 2023-12-29 上海磁宇信息科技有限公司 一种假磁性隧道结单元结构制备方法
CN111816761B (zh) * 2019-04-11 2024-04-12 上海磁宇信息科技有限公司 一种赝磁性隧道结单元
CN111863865B (zh) * 2019-04-24 2024-04-12 上海磁宇信息科技有限公司 一种赝磁性隧道结单元
US11031542B2 (en) * 2019-05-02 2021-06-08 International Business Machines Corporation Contact via with pillar of alternating layers
CN111969103B (zh) 2019-05-20 2023-10-10 联华电子股份有限公司 半导体元件及其制作方法
CN112086555B (zh) * 2019-06-14 2024-04-23 上海磁宇信息科技有限公司 一种制备磁性隧道结单元阵列的方法
CN110112288B (zh) * 2019-06-14 2022-11-04 上海磁宇信息科技有限公司 一种制备磁性隧道结单元阵列的方法
CN112133819A (zh) * 2019-06-25 2020-12-25 中电海康集团有限公司 Mram底电极的制备方法
CN112186097B (zh) * 2019-07-01 2023-10-27 上海磁宇信息科技有限公司 一种优化磁性随机存储器写性能的结构及其制备方法
US11282788B2 (en) 2019-07-25 2022-03-22 International Business Machines Corporation Interconnect and memory structures formed in the BEOL
TWI815948B (zh) * 2019-08-14 2023-09-21 聯華電子股份有限公司 半導體元件及其製作方法
CN112447900A (zh) * 2019-09-03 2021-03-05 浙江驰拓科技有限公司 电极组件制备方法
CN112447788B (zh) * 2019-09-03 2023-09-12 联华电子股份有限公司 磁阻式随机存取存储器
CN112466901A (zh) * 2019-09-06 2021-03-09 联华电子股份有限公司 半导体元件及其制作方法
US11195751B2 (en) 2019-09-13 2021-12-07 International Business Machines Corporation Bilayer barrier for interconnect and memory structures formed in the BEOL
US11088202B2 (en) * 2019-09-23 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming memory cell
US11152426B2 (en) * 2020-01-15 2021-10-19 Taiwan Semiconductor Manufacturing Company Limited Memory device using an etch stop dielectric layer and methods for forming the same
US20210273156A1 (en) * 2020-02-27 2021-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic tunnel junction structures with protection outer layers
KR20210141024A (ko) 2020-05-15 2021-11-23 삼성전자주식회사 자기 기억 소자
US20210399207A1 (en) * 2020-06-23 2021-12-23 Taiwan Semiconductor Manufacturing Company Limited Memory cell with low resistance top electrode contact and methods for forming the same
US11545619B2 (en) * 2020-07-21 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device structure and method for forming the same
US11778918B2 (en) * 2020-08-20 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic memory cell with low-resistive electrode via and method of forming same
US12004431B2 (en) 2020-10-30 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for MRAM devices
US11659771B2 (en) * 2020-11-25 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrating MRAM and logic devices
US11856854B2 (en) 2021-04-09 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. MRAM device structures and method of fabricating the same
CN116249357A (zh) * 2021-12-03 2023-06-09 联华电子股份有限公司 半导体元件及其制作方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8482966B2 (en) * 2008-09-24 2013-07-09 Qualcomm Incorporated Magnetic element utilizing protective sidewall passivation
JP2012043977A (ja) * 2010-08-19 2012-03-01 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
US8866242B2 (en) * 2011-11-10 2014-10-21 Qualcomm Incorporated MTJ structure and integration scheme
KR20150102302A (ko) * 2014-02-28 2015-09-07 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9105572B2 (en) 2013-09-09 2015-08-11 Hiroyuki Kanaya Magnetic memory and manufacturing method thereof
KR102140048B1 (ko) * 2014-02-18 2020-07-31 삼성전자주식회사 자기 메모리 소자를 위한 자기 터널 접합 구조물 형성 방법
US10003022B2 (en) * 2014-03-04 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM cell structure with conductive etch-stop layer
US9548333B2 (en) * 2014-09-25 2017-01-17 Qualcomm Incorporated MRAM integration with low-K inter-metal dielectric for reduced parasitic capacitance
US10008662B2 (en) * 2015-03-12 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Perpendicular magnetic tunneling junction (MTJ) for improved magnetoresistive random-access memory (MRAM) process
US9614143B2 (en) * 2015-06-09 2017-04-04 Qualcomm Incorporated De-integrated trench formation for advanced MRAM integration
US9666790B2 (en) * 2015-07-17 2017-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Manufacturing techniques and corresponding devices for magnetic tunnel junction devices
US9502466B1 (en) * 2015-07-28 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy bottom electrode in interconnect to reduce CMP dishing
US10109674B2 (en) * 2015-08-10 2018-10-23 Qualcomm Incorporated Semiconductor metallization structure
KR102369523B1 (ko) * 2015-09-08 2022-03-03 삼성전자주식회사 자기 저항 메모리 장치 및 그 제조 방법
US9564577B1 (en) * 2015-11-16 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. MRAM device and fabrication method

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727401B2 (en) 2017-11-10 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic random access memory
US11374169B2 (en) 2017-11-10 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic random access memory
DE102019130274A1 (de) * 2019-10-30 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-mram-vorrichtung und verfahren
US11430832B2 (en) 2019-10-30 2022-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor MRAM device and method
US20230178129A1 (en) * 2021-12-03 2023-06-08 International Business Machines Corporation Dielectric fill for tight pitch mram pillar array
US11955152B2 (en) * 2021-12-03 2024-04-09 International Business Machines Corporation Dielectric fill for tight pitch MRAM pillar array

Also Published As

Publication number Publication date
TW201724444A (zh) 2017-07-01
US10720571B2 (en) 2020-07-21
US20230088093A1 (en) 2023-03-23
TWI611548B (zh) 2018-01-11
US20180351091A1 (en) 2018-12-06
CN107017338B (zh) 2020-03-06
US20200350491A1 (en) 2020-11-05
CN107017338A (zh) 2017-08-04
US20170194557A1 (en) 2017-07-06
US11515473B2 (en) 2022-11-29
US10270025B2 (en) 2019-04-23

Similar Documents

Publication Publication Date Title
DE102016117034A1 (de) Halbleiterstruktur und Verfahren zur Bildung derselben
DE102016114870B4 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
US11569296B2 (en) Semiconductor structure
US10950656B2 (en) Semiconductor memory device and method for fabricating the same
US10636961B2 (en) Semiconductor structure and method of forming the same
US10109790B2 (en) Method for manufacturing mixed-dimension and void-free MRAM structure
DE102015117872A1 (de) Halbleiterstruktur, in die ein magnetischer Tunnelkontakt integriert ist, und Herstellungsverfahren dafür
DE102020130156A1 (de) Halbleitervorrichtung und verfahren der herstellung davon
DE102020115168A1 (de) Vorrichtung mit magnetischem tunnelübergang und verfahren
DE102014116262A1 (de) Halbleitervorrichtungen und Verfahren zu ihrer Herstellung
DE102021102829A1 (de) Fortschrittliche dichtungsringstruktur und verfahren zur herstellung derselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027220000

Ipc: H10B0061000000