DE112016007483T5 - Gehärteter Stecker für verbesserte Kurzschlussmarge - Google Patents

Gehärteter Stecker für verbesserte Kurzschlussmarge Download PDF

Info

Publication number
DE112016007483T5
DE112016007483T5 DE112016007483.2T DE112016007483T DE112016007483T5 DE 112016007483 T5 DE112016007483 T5 DE 112016007483T5 DE 112016007483 T DE112016007483 T DE 112016007483T DE 112016007483 T5 DE112016007483 T5 DE 112016007483T5
Authority
DE
Germany
Prior art keywords
layer
conductive interconnect
dielectric
interconnect
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112016007483.2T
Other languages
English (en)
Inventor
Kevin L. Lin
Tayseer Mahdi
Jessica M. Torres
Jeffery D. Bielefeld
Marie Krysak
James M. Blackwell
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112016007483T5 publication Critical patent/DE112016007483T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/7688Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

In einem Beispiel ist eine integrierte Schaltung offenbart, die Folgendes aufweist: eine erste Schicht mit einem Dielektrikum, eine erste leitfähige Zwischenverbindung und eine zweite leitfähige Zwischenverbindung; eine zweite Schicht mit einer dritten leitfähigen Zwischenverbindung; eine leitfähige Durchkontaktierung zwischen der ersten Schicht und der zweiten Schicht, um die zweite leitfähige Zwischenverbindung an die dritte leitfähige Zwischenverbindung zu koppeln; und einen ätzresistenten Stecker, der vertikal zwischen der ersten Schicht und der zweiten Schicht angeordnet ist und angeordnet ist, zu verhindern, dass die Durchkontaktierung an der ersten leitfähigen Zwischenverbindung elektrisch kurzschließt.

Description

  • Gebiet der Spezifikation
  • Diese Offenbarung betrifft im Allgemeinen das Gebiet der Halbleiterverarbeitung und insbesondere, wenn auch nicht ausschließlich, ein System und ein Verfahren für einen gehärteten Stecker für eine verbesserte Kurzschlussmarge.
  • Hintergrund
  • Halbleiter und Dielektrikummaterialien weisen eine Durchbruchspannung auf, die häufig in Volt pro Längeneinheit ausgedrückt wird. Nachdem die Durchbruchspannung für ein Material überschritten ist, kann es sich wie ein Leiter anstatt wie ein Isolator verhalten.
  • Figurenliste
  • Die vorliegende Offenbarung wird am besten anhand der folgenden ausführlichen Beschreibung verstanden, wenn sie mit den begleitenden Figuren gelesen wird. Es wird betont, dass gemäß der Standardpraxis in der Industrie unterschiedliche Merkmale nicht notwendigerweise maßstabsgetreu gezeichnet sind und nur für Darstellungszwecke verwendet werden. Wo ein Maßstab gezeigt ist, explizit oder implizit, stellt er nur ein Darstellungsbeispiel bereit. Bei anderen Ausführungsformen können die Abmessungen der unterschiedlichen Merkmale zwecks Klarheit der Erläuterung willkürlich erhöht oder reduziert werden.
    • 1 ist eine Darstellung in Seitenschnittansicht einer Halbleitervorrichtung gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • Die 2-7 sind Darstellungen von unterschiedlichen Stufen des Halbleiterfertigungsprozesses gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • 8 ist ein Flussdiagramm eines Halbleiterfertigungsprozesses gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • 9 ist eine Draufsicht einer Durchkontaktierungsplatzierung auf einer Halbleitervorrichtung gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • 10 ist eine Draufsicht einer Durchkontaktierungsplatzierung auf einer Halbleitervorrichtung gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • 11 ist eine Seitenschnittansicht einer Halbleitervorrichtung mit einem gehärteten Stecker gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • 12 ist ein Flussdiagramm von ausgewählten Vorgängen bei einem Halbleiterfertigungsprozess, die die Platzierung eines gehärteten Steckers beinhalten, gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • Die 13a-d sind Darstellungen von unterschiedlichen Stufen des Prozesses von 12 gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • 14 ist eine Seitenschnittansicht einer Ausführungsform einer Halbleitervorrichtung gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • 15 ist eine Seitenschnittansicht einer Ausführungsform einer Halbleitervorrichtung gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • 16 ist eine Seitenschnittansicht einer Ausführungsform einer Halbleitervorrichtung gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • Die 17a und 17b sind Draufsichten eines gefertigten Wafers gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • 18 ist eine Seitenschnittansicht einer Halbleitervorrichtung gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • 19 ist eine Seitenschnittansicht einer integrierten Schaltung gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
    • 20 ist ein Blockdiagramm einer integrierten Schaltung gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation.
  • Ausführungsformen der Offenbarung
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren von verschiedenen Merkmalen der vorliegenden Offenbarung bereit. Nachstehend werden spezielle Beispiele von Komponenten und Arrangements beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich lediglich Beispiele und sollen nicht einschränkend sein. Ferner kann die vorliegende Offenbarung Bezugszeichen und/oder -buchstaben in den unterschiedlichen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und diktiert in sich selbst keine Beziehung zwischen den erläuterten unterschiedlichen Ausführungsformen und/oder Auslegungen. Verschiedene Ausführungsformen können verschiedene Vorteile aufweisen und kein bestimmter Vorteil ist notwendigerweise für eine beliebige Ausführungsform erforderlich.
  • Die vorliegende Spezifikation offenbart ein neuartiges System und Verfahren zum Bereitstellen eines gehärteten, ätzresistenten Steckers zum Verbessern von Kurzschlussmargen bei einer Halbleitervorrichtung.
  • „Moore's Gesetz“ ist eine Beobachtung von Gordon Moore, dem Mitgründer von Intel® Corporation. Moore beobachtete, dass sich bei integrierten Schaltungen (ICs) die Anzahl von Transistoren pro Quadrateinheit Oberfläche ungefähr alle 12 bis 18 Monate verdoppelt. Mehr Transistoren bedeutet mehr Verarbeitungsleistung, höhere Taktgeschwindigkeiten und mehr Merkmale für ICs, wie etwa Mikroprozessoren, Speicherchips und Systeme auf einem Chip (SoCs). Da der Halbleiterfertigungsmarkt in hohem Maße wettbewerbsbetont ist, bedeutet Halbleiterdichte häufig höhere Rentabilität. Daher ist es vorteilhaft, Transistoren, Zwischenverbindungen und weitere Merkmale auf einer Halbleitervorrichtung dicht zu verteilen, um den Wert auf dem Chip zu erhöhen.
  • Je weiter jedoch Moore's Gesetz voranschreitet, sehen sich Halbleiterhersteller vor neue Herausforderungen gestellt, die Grenzen beinhalten, die nicht nur durch Herstellungsprozesse auferlegt werden, sondern durch die Physik selbst. Eine dieser Grenzen ist die, dass ein gegebenes Dielektrikummaterial eine dielektrische Durchbruchspannung aufweist. Für die Zwecke sehr hoher Integrationsgrade (VLSI), bei denen Millionen oder Milliarden von Transistoren und Zwischenverbindungen auf einem einzigen IC platziert sein können, kann die Durchbruchspannung in Volt pro Nanometer ausgedrückt werden. Hat ein Material beispielsweise eine Durchbruchspannung von ungefähr 1 V pro Nanometer, müssen bei einer Potenzialdifferenz von 5 V zwischen zwei Knoten die Knoten durch mindestens 5 nm Dielektrikummaterial getrennt sein, um einen dielektrischen Durchbruch zu vermeiden, der zu einem Kurzschluss führen kann.
  • Eine Herausforderung für Halbleiterhersteller ist die Platzierung von Durchkontaktierungen oder mit anderen Worten von leitfähigen Zwischenverbindungen, die eine Schicht eines ICs mit der Schicht darunter vertikal verbinden. Eine der Herausforderungen besteht bei Durchkontaktierungen darin, dass reale Herstellungsprozesse wie eine Marge der Ungewissheit. Die nominelle Konstruktion einer Durchkontaktierung kann die Durchkontaktierung genau über dem Endpunkt einer Zwischenverbindungsleiterbahn platzieren, wodurch ausreichend Raum zwischen dieser Durchkontaktierung und einer weiteren Leiterbahn verbleibt, um sicherzustellen, dass zwischen den zwei leitfähigen Spuren kein elektrischer Durchbruch erfolgt. Unter Berücksichtigung realer Herstellungsungewissheiten ist es aber möglich, dass diese Durchkontaktierung geringfügig von ihrer nominellen Platzierung abweicht. Wenn die Durchkontaktierung die Zwischenverbindung hinunter geringfügig abweicht, entsteht in vielen Fällen kein Schaden. Weicht die Durchkontaktierung jedoch auch um nur ein oder zwei Nanometer in das Dielektrikummaterial, das die 2 Leiterbahnen trennt, hinein ab, besteht die Gefahr, dass die Durchkontaktierung die konstruktionsbedingte Durchbruchmarge durchbricht, derart, dass zwischen der Durchkontaktierung und der gegenüberliegenden Leiterbahn ein Kurzschlussrisiko besteht.
  • Diese Gefahr kann in vielen Fällen gemindert werden, indem die Leiterbahn weiter hinter die vorgesehene Platzierung der Durchkontaktierung verlängert wird und dann eine ausreichende Dielektrikumsdicke zwischen den beiden Leiterbahnen bereitgestellt wird, um sicherzustellen, dass kein Kurzschluss auftritt. Somit kann die Durchkontaktierung ohne ein Risiko des Kurzschlusses um wenige Nanometer zu einer oder der anderen Seite abweichen. Jedoch gehen durch Hinzufügen dieser wenigen Nanometer zusätzlicher Leiterbahn am Ende einer Zwischenverbindung einige Nanometer der Schaltungsdichte verloren. Werden diese wenigen Nanometer mit den Millionen oder Milliarden von Spuren auf dem PC multipliziert, besteht ein Gesamtverlust sowohl bei der Dichte als auch bei der zugehörigen Rentabilität des ICs.
  • Vorteilhafterweise stellt diese Spezifikation Strukturen und Verfahren zum Mindern des Risikos eines Kurzschlusses auf einem IC bereit, die nicht auf Kosten der Dichte gehen oder die auf Kosten einer geringeren Dichte gehen. Dies beinhaltet das Platzieren eines ätzresistenten Steckers zwischen die zwei Leiterbahnen, bevor die Durchkontaktierung geätzt wird. Der ätzresistente Stecker kann aus Material bestehen, das durch den Ätzprozess nicht entfernt wird, derart, dass, wenn die Durchkontaktierung gebildet wird, der gehärtete Stecker, selbst wenn die Durchkontaktierungsätzung geringfügig aus ihrer vorgesehenen Position abweicht, sicherstellt, dass in der Dielektrikumdurchbruchsregion kein leitfähiges Metall abgeschieden wird.
  • Gewisse Ausführungsformen können eine Abscheidung mit einem hohen Aspektverhältnis eines füllbaren Materials, das gute Ätzcharakteristika aufweist, erfordern. In 12 unten offenbart Block 1206 eine Strukturierung eines Opferhartmasken(HM)-Materials. Wenn das Ätzprofil bei diesem Vorgang korrekt gesteuert wird, kann für den gehärteten Stecker ein Film eines Atomschichtabscheidungs(ALD)-Typs (wie etwa AI203, ZrO2, Hf02 oder TiO2) verwendet werden. Alternativ können Aufschleudermaterialien, wie etwa SiC oder Metalloxid, in Betracht gezogen werden. Aufschleudermaterialabscheidung ist ein Verfahren zum Erzeugen von SiC(Siliziumcarbid)-Dünnfilmen durch Schleuderbeschichten einer Lösung des Vorprodukts auf einen Wafer und Anwenden von Aushärtungsbehandlungen, um es in SiC umzuwandeln. Das resultierende Material ist ein Low-k-Dielektrikum mit eindeutigen Ätzeigenschaften, das neue Strukturierungspläne ermöglicht, bei denen SiC selektiv auf andere Materialien, wie etwa SiN (Siliziumnitrid) und Si02 (Siliziumdioxid), geätzt wird. Bei anderen Ausführungsformen kann auch ein Metalloxid verwendet werden.
  • Die Aushärtungsbedingungen eines Aufschleuder-SiC können während der Konstruktion ebenfalls berücksichtigt werden. Beispielsweise können bei einer Ausführungsform fließfähige SiCs eine Ätzselektivität bei 650 °C unter einer N2-Aushärtung zeigen, jedoch beträgt die Schrumpfung etwa 44 %, wodurch Hohlräume verursacht werden. Somit kann eine schnelle thermische Verarbeitung (RTP) mit einem reaktiven Sauerstoffplasma verwendet werden, bei der sich eine Schrumpfung in der Größenordnung von 14 % ergibt und aus der eine geringere Hohlraumbildung und eine gute Ätzselektivität resultieren. Im Allgemeinen sollte ein Konstrukteur die reaktiven Arten wählen, um die korrekte Chemie einzuführen.
  • Ausführungsformen der vorliegenden Spezifikation beinhalten die Abscheidung eines gehärteten Steckermaterials nach der Abscheidung und Strukturierung eines Opferhartmaskenmaterials. Nachdem das Opferhartmaskenmaterial entfernt wurde, bleibt der Stecker zurück. Metallleiterbahnen können dann in Ausnehmungen gefüllt und gemäß bekannten Verfahren poliert werden. Wahlweise können dann ein oder mehrere Hartmaskenmaterialien in Ausnehmungen über den Leiterbahnen abgeschieden werden. Gewisse Ausführungsformen offenbaren auch die Verwendung von zwei verschiedenen Steckermaterialien beim selben Prozess und derselben integrierten Schaltung.
  • Die Beschreibung verwendet die Wendungen „bei einer Ausführungsform“ oder „bei Ausführungsformen“, die sich jeweils auf eine oder mehreres von derselben oder verschiedenen Ausführungsformen beziehen kann. Des Weiteren sind die Begriffe „umfassen“, „beinhalten“, „aufweisen“ und ähnliche, wie sie mit Bezug auf Ausführungsformen der vorliegenden Offenbarung verwendet werden, synonym. Die Offenbarung kann perspektivenbasierte Beschreibungen, wie etwa „über“, „unter“, „oben“, „unten“ und „Seite“ verwenden; derartige Beschreibungen werden verwendet, um die Erläuterung zu ermöglichen, und sollen nicht die Anwendung der offenbarten Ausführungsformen einschränken. Die begleitenden Zeichnungen sind nicht notwendigerweise maßstabsgetreu gezeichnet.
  • Bei der folgenden ausführlichen Beschreibung werden unterschiedliche Aspekte der veranschaulichenden Implementierungen unter Verwendung von Begriffen beschrieben, die üblicherweise vom Fachmann eingesetzt werden, um die Substanz ihrer Arbeit anderen Fachmännern zu vermitteln. Beispielsweise bezieht sich „High-k-Dielektrikum“, wie hier verwendet, auf ein Material mit einer höheren dielektrischen Konstante als Siliziumoxid. In einem anderen Beispiel wird ein Begriff „Zwischenverbindung“ verwendet, um ein beliebiges Element zu beschreiben, das zum Bereitstellen einer elektrischen Konnektivität für eine oder mehrere Komponenten, die mit einem IC verknüpft sind, oder/und zwischen unterschiedlichen derartigen Komponenten aus einem elektrisch leitfähigen Material gebildet ist. Im Allgemeinen kann sich die „Zwischenverbindung“ sowohl auf Gräben (manchmal auch als „Linien“ bezeichnet) als auch auf Durchkontaktierungen beziehen. Im Allgemeinen wird ein Begriff „Graben“ verwendet, um ein elektrisch leitfähiges Element zu beschreiben, das durch eine Zwischenverbindungsstützschicht isoliert ist, die typischerweise ein Zwischenschicht-Low-k-Dielektrikum umfasst, das in der Ebene eines IC-Chips bereitgestellt ist. Derartige Gräben sind typischerweise zu mehreren Lagen gestapelt. Andererseits wird der Begriff „Durchkontaktierung“ verwendet, um ein elektrisch leitfähiges Element zu beschreiben, das eine Zwischenverbindung zwischen zwei oder mehr Gräben unterschiedlicher Lagen herstellt. Zu diesem Zweck werden Durchkontaktierungen im Wesentlichen senkrecht zur Ebene eines IC-Chips bereitgestellt. Eine Durchkontaktierung kann eine Zwischenverbindung zwischen zwei Gräben benachbarter Lagen oder zwei Gräben nicht benachbarter Lagen herstellen. Ein Begriff „Metallisierungsstapel“ bezieht sich auf einen Stapel von einer oder mehreren Zwischenverbindungen zum Bereitstellen einer Konnektivität für verschiedene Schaltungskomponenten eines IC-Chips.
  • 1 ist eine Querschnittsseitenansicht eines Metallisierungsstapels 100, der gemäß unterschiedlichen Ausführungsformen eine Zwischenverbindungsstützschicht 102 beinhaltet, die eine Vielzahl von elektrisch leitfähigen Zwischenverbindungen 104 umschließt. Zur Vereinfachung der Darstellung ist in 1 nur eine Zwischenverbindung 104 mit einem Bezugszeichen gekennzeichnet, jedoch sind in 1 acht dargestellt. Obwohl in 1 acht Zwischenverbindungen 104 dargestellt sind, dient dies auch einfach der Vereinfachung der Darstellung und auf der Zwischenverbindungsstützschicht 102 können gemäß unterschiedlichen Ausführungsformen der vorliegenden Offenbarung mehr oder weniger als acht Zwischenverbindungen 104 bereitgestellt sein. Des Weiteren wird darauf hingewiesen, dass der in 1 gezeigte Metallisierungsstapel 100 wie auch in den 2-8A dargestellte Strukturen relative Arrangements der Komponenten darin zeigen sollen und dass unterschiedliche Metallisierungsstapel oder Abschnitte davon andere Komponenten beinhalten können, die nicht dargestellt sind (z. B. elektrische Kontakte zu den Zwischenverbindungen 104).
  • Im Allgemeinen können Implementierungen der Offenbarung auf einem Substrat gebildet oder vorgenommen werden, wie etwa einem Halbleitersubstrat, das aus Halbleitermaterialsystemen zusammengesetzt ist, die beispielsweise N-Typ- oder P-Typ-Materialsysteme beinhalten. Bei einer Implementierung kann das Halbleitersubstrat ein kristallines Substrat sein, das unter Verwendung einer Massivsilizium- oder einer Silizium-auf-Isolator-Unterstruktur gebildet ist. Bei anderen Implementierungen kann das Halbleitersubstrat unter Verwendung von alternativen Materialien gebildet sein, die mit Silizium kombiniert sein können oder nicht und die u. a. Germanium, Indiumantimonid, Bleitellurid, Indiumarsenid, Indiumphosphid, Galliumarsenid, Indiumgalliumarsenid, Galliumantimonid oder andere Kombinationen von Gruppe-III-V-, Gruppe-II-VI- oder Gruppe-IV-Materialien beinhalten. Obwohl einige Beispiele von Materialien, aus denen das Substrat gebildet werden kann, hier beschrieben sind, fällt jedes Material, das als eine Grundlage dienen kann, auf der eine Halbleitervorrichtung aufgebaut werden kann, unter den Geist und den Schutzumfang der vorliegenden Offenbarung.
  • Bei unterschiedlichen Ausführungsformen kann die Zwischenverbindungsstützschicht 102 ein beliebiges derartiges Substrat, möglicherweise mit einigen bereits darauf gebildeten Schichten und/oder Vorrichtungen, beinhalten, das eine geeignete Fläche zum Bereitstellen der Zwischenverbindungen 104 darauf bereitstellt. In dem in 1 gezeigten Beispiel ist eine Ätzstoppschicht 106 als über der Zwischenverbindungsstützschicht 102 angeordnet gezeigt, wobei die Schicht dazu dienen kann, während der Fertigung der Zwischenverbindungen 104 oder von weiteren Komponenten, die mit einer integrierten Schaltung verknüpft sind, das Ätzen in die unterliegende Zwischenverbindungsstützschicht 102 zu verhindern oder zu minimieren. Jedoch ist das Vorhandensein einer derartigen Schicht vollkommen optional und Ausführungsformen der vorliegenden Offenbarung können auf der Zwischenverbindungsstützschicht 102 in der in den 1-8A gezeigten Ätzstoppschicht 106 vorgenommen werden. Bei anderen Ausführungsformen können vor der Abscheidung der Zwischenverbindungen 104 weitere Schichten, die in den vorliegenden Figuren nicht gezeigt sind, auf mindestens einigen Abschnitten der Zwischenverbindungsstützschicht 102 bereitgestellt werden, wie etwa z. B. eine Isolierschicht, wie etwa eine Oxidisolationsschicht.
  • Des Weiteren können andere Materialien oder/und Schichten, die in 1 nicht speziell gezeigt sind, über den Zwischenverbindungen 104 des Metallisierungsstapels bereitgestellt sein. Ein derartiges Material ist ein Dielektrikummaterial, das z. B. eine oder mehrere Zwischenschichtdielektrikum(ILD)-Schichten beinhaltet, die über und zwischen den Zwischenverbindungen 104 des Metallisierungsstapels 100 abgeschieden werden können. Die ILD-Schichten können unter Verwendung von Dielektrikummaterialien gebildet sein, die wegen ihrer Anwendbarkeit in integrierten Schaltungsstrukturen bekannt sind, wie etwa Low-k-Dielektrikummaterialien. Beispiele für Dielektrikummaterialien, die verwendet werden können, beinhalten u. a. Siliziumdioxid (Si02), kohlenstoffdotiertes Oxid (CDO), Siliziumnitrid, organische Polymere, wie etwa Perfluorcyclobutan oder Polytetrafluorethylen, Fluorsilikatglas (FSG) und Organosilikate, wie etwa Silsesquioxan, Siloxan oder Organosilikatglas. Die ILD-Schichten können Poren oder Luftspalte beinhalten, um deren dielektrische Konstante weiter zu reduzieren.
  • Die 2-7 stellen unterschiedliche Beispielstufen bei der Herstellung eines Metallisierungsstapels dar, der eine Vielzahl von elektrisch leitfähigen Zwischenverbindungen beinhaltet, wie etwa z. B. der Metallisierungsstapel 100 mit den Zwischenverbindungen 104, gemäß unterschiedlichen Ausführungsformen. Obwohl die bestimmten Herstellungsvorgänge, die unten mit Bezug auf die 2-7 erläutert werden, als das Herstellen einer bestimmten Ausführungsform des Metallisierungsstapels 100 dargestellt sind, können mindestens einige dieser Vorgänge und/oder Vorgänge mit geringen Modifizierungen auf das Herstellen vieler verschiedener Ausführungsformen des Metallisierungsstapels 100 angewendet werden, wie hier erläutert. Jedes der unten mit Bezug auf die 2-7 erläuterten Elemente kann die Form jeder der Ausführungsformen jener Elemente, die oben erläutert oder anderweitig hier offenbart sind, annehmen.
  • 2 stellt eine Querschnittsansicht einer Anordnung 202 dar, die eine Zwischenverbindungsstützschicht 102 und eine Ätzstoppschicht 106, die darauf bereitgestellt ist, beinhaltet. Oben mit Bezug auf die Zwischenverbindungsstützschicht 102 und die Ätzstoppschicht 106 bereitgestellte Erläuterungen sind hier anwendbar und werden daher im Interesse der Kürze hier nicht wiederholt.
  • 3 stellt eine Querschnittsansicht einer Anordnung 204 nach Bereitstellen eines Musters von Opferelementen 108 über der Zwischenverbindungsstützschicht 102 der Anordnung 202 (2) dar. Zur Vereinfachung der Darstellung ist in 3 nur ein Opferelement 108 mit einem Bezugszeichen gekennzeichnet, jedoch sind in 3 vier dargestellt. Obwohl in 3 vier Opferelemente 108 dargestellt sind, dient dies auch einfach der Vereinfachung der Darstellung und auf der Zwischenverbindungsstützschicht 102 können gemäß unterschiedlichen Ausführungsformen der vorliegenden Offenbarung mehr oder weniger als vier Opferelemente 108 bereitgestellt sein.
  • Bei einigen Ausführungsformen kann das Muster von Opferelementen 108 eine Vielzahl von parallelen Linien mit einer Höhe (d. h. die Abmessung in der Z-Richtung eines in 3 gezeigten beispielhaften Referenzkoordinatensystems) zwischen 5 und 800 nm, die alle Werte und Bereiche darin beinhalten, und einer Breite (d. h. die Abmessung in der Y-Richtung des in 3 gezeigten beispielhaften Referenzkoordinatensystems) zwischen 5 und 300 nm, die alle Werte und Bereiche darin beinhalten, sein. Bei anderen Ausführungsformen kann jedes andere geeignete Muster verwendet, ausgewählt/konstruiert werden, derart, dass bei nachfolgenden Fertigungsschritten das elektrisch leitfähige Material, das auf den Seitenwänden (d. h. den Seiten der Elemente 108, die im Wesentlichen senkrecht zur Zwischenverbindungsstützschicht 102 stehen) der Opferelemente 108 abgeschieden wird, angemessen geformte und angemessen positionierte Zwischenverbindungen bildet.
  • Bei einigen Ausführungsformen könnte das Aspektverhältnis (d. h. ein Verhältnis von Höhe zu Breite) der Opferelemente 108 zwischen 1 und 10 liegen, z. B. zwischen 1 und 5 oder zwischen 1 und 3. Die Opferelemente 108 können um einen geeigneten Zwischenraum, der das Abscheiden von elektrisch leitfähigem Material der gewünschten Dicke auf den Seitenwänden der Opferelemente 108 erlauben würde, beabstandet sein, derart, dass das elektrisch leitfähige Material auf benachbarten Seitenwänden von zwei benachbarten Elementen 108 einander nicht berührt. Beispielsweise kann bei einigen Ausführungsformen der Abstand zwischen verschiedenen Opferelementen 108 über 30 Nanometer liegen, z. B. über 50 Nanometer.
  • Bei einigen Ausführungsformen können die Opferelemente 108 aus einem nichtmetallischen Material gebildet sein. Da die Opferelemente 108 später geätzt werden müssen, um das elektrisch leitfähige Material auf deren Seitenwänden abgeschieden zu lassen, z. B. unter Verwendung von anisotropem Ätzen, sind die Ätzeigenschaften potenzieller Materialkandidaten zu berücksichtigen, wenn ein geeignetes Material, das als die Opferelemente 108 zu verwenden ist, ausgewählt wird. Hinsichtlich eines potenziellen elektrisch leitfähigen Materialkandidaten zum Bilden der hier beschriebenen Zwischenverbindungen sollten darüber hinaus die Ätzeigenschaften eines potenziellen Materialkandidaten für die Opferelemente 108 berücksichtigt werden. Vorzugsweise weisen das Material für die Opferelemente 108 und das elektrisch leitfähige Material für die künftigen Zwischenverbindungen 104 ausreichend eindeutige Ätzeigenschaften auf, derart, dass das Ätzen der Opferelemente 108 das elektrisch leitfähige Material nicht beeinträchtigt oder nur eine adäquat kleine Auswirkung auf dieses hat (d. h. diese zwei Materialien sollten mit Bezug aufeinander eine hohe Ätzselektivität aufweisen). Neben angemessenen Ätzcharakteristika können einige weitere Überlegungen beim Auswählen eines geeigneten Materials für die Opferelemente 108 z. B. Möglichkeiten einer glatten Filmbildung, eine niedrige Schrumpfung und Ausgasung und gute dielektrische Eigenschaften (wie etwa z. B. eine niedrige elektrische Leckage, ein geeigneter Wert einer dielektrischen Konstante und Wärmefestigkeit) beinhalten. Beispiele für Materialien, die verwendet werden könnten, um die Opferelemente 108 zu bilden, beinhalten u. a. Siliziumdioxid (Si02), kohlenstoffdotiertes Oxid (CDO), Siliziumnitrid, organische Polymere, wie etwa Perfluorcyclobutan, Polytetrafluorethylen oder Poly(Methylmethacrylat) (PMMA), Fluorsilikatglas (FSG) und Organosilikate, wie etwa Silsesquioxan, Siloxan oder Organosilikatglas.
  • Bei einigen Ausführungsformen können die Opferelemente 108 unter Verwendung z. B. der chemischen Dampfabscheidung oder/und der plasmaunterstützten chemischen Dampfabscheidung in Kombination mit der Strukturierung (entweder vor oder nach der Abscheidung des Materials der Opferelemente 108) über der Zwischenverbindungsstützschicht 102 bereitgestellt werden, wie dies typischerweise bei der konventionellen Verarbeitung geschieht. Bei einigen Ausführungsformen kann die Strukturierung jede Strukturierungstechnik beinhalten, bei der Fotolack oder andere Masken, die die Abmessungen und die Lage der Opferelemente 108 über der Zwischenverbindungsstützschicht 102 definieren, eingesetzt werden. Bei anderen Ausführungsformen kann die Strukturierung jede maskenlose Strukturierungstechnik beinhalten, wie etwa z. B. eine Elektronenstrahl(E-Strahl)-Strukturierung.
  • 4 stellt eine Querschnittsansicht einer Anordnung 206 nach einer konformen Abscheidung einer Schicht 110 von elektrisch leitfähigem Material auf den Seitenwänden und in Öffnungen zwischen den Opferelementen 108 der Anordnung 204 (3) dar. Konforme Abscheidung bezieht sich im Allgemeinen auf die Abscheidung einer gewissen Beschichtung (in diesem Fall des elektrisch leitfähigen Materials, das die Zwischenverbindungen 104 bildet) auf einer beliebigen freiliegenden Fläche einer gegebenen Struktur (in diesem Fall der Zwischenverbindungsstützschicht mit den Opferelementen 108 der Anordnung 204), so auch auf den Seitenwänden und dem Boden einer beliebigen Öffnung, die in/auf der Struktur gebildet ist. Eine konforme Beschichtung kann daher als eine Beschichtung verstanden werden, die auf freiliegende Flächen einer gegebenen Struktur und beispielsweise nicht nur auf horizontale Flächen aufgebracht wird. Bei einigen Ausführungsformen kann bei der Beschichtung eine Variation der Dicke von weniger als 35 % vorliegen, die alle Werte und Bereiche von 1 % bis 35 % beinhaltet, wie etwa 10 % oder weniger, 15 % oder weniger, 20 % oder weniger, 25 % oder weniger usw. Der konforme Beschichtungsprozess kann aus Prozessen, wie etwa z. B. der chemischen Dampfabscheidung (CVD) oder der Atomschichtabscheidung (ALD), ausgewählt werden.
  • Bei unterschiedlichen Ausführungsformen kann eine Dicke der Schicht 110, insbesondere die Dicke der Schicht 110 auf den Seitenwänden der Opferelemente 108 (d. h. die Abmessung in der Z-Richtung eines in 3 gezeigten beispielhaften Referenzkoordinatensystems) alle geeigneten Werte annehmen, derart, dass bei nachfolgenden Fertigungsschritten das elektrisch leitfähige Material, das auf den Seitenwänden der Opferelemente 108 abgeschieden wird, Zwischenverbindungen 104 von angemessenen Abmessungen bildet, wobei Letzteres unten ausführlicher beschrieben ist.
  • Bei einigen Ausführungsformen kann an der Schicht 110 nach deren Abscheidung ein Glühprozess vorgenommen werden, um die Qualität des elektrisch leitfähigen Materials für die künftigen Zwischenverbindungen 104 zu verbessern. Bei unterschiedlichen Ausführungsformen kann das elektrisch leitfähige Material der Schicht 110 eines oder mehreres von Aluminium, Kupfer, Wolfram, Kobalt, Ruthenium, Nickel, Eisen und Molybdän und/oder eine oder mehrere Legierungen, die Aluminium, Kupfer, Wolfram, Kobalt, Ruthenium, Mangan, Magnesium, Bor, Phosphor, Stickstoff, Kohlenstoff und Schwefel umfassen, beinhalten.
  • 5 stellt eine Querschnittsansicht einer Anordnung 208 nach einer anisotropen Ätzung der Schicht 110 des elektrisch leitfähigen Materials, das auf den Seitenwänden und in den Öffnungen zwischen den Opferelementen 108 in Anordnung 206 abgeschieden ist, dar (4). Es kann jede geeignete anisotrope Ätztechnik (d. h. gleichmäßiges Ätzen in vertikaler Richtung) verwendet werden, um das elektrisch leitfähige Material der Schicht 110 zu ätzen, derart, dass das Material nur auf den Seitenwänden und möglicherweise oben auf den Opferelementen 108, nicht aber in den Öffnungen zwischen den Opferelementen 108 verbleibt. Von trockenen Ätztechniken, wie etwa z. B. Plasmaätzen, ist typischerweise bekannt, dass sie eine feinere Auflösung und Direktionalität der Ätzung bereitstellen als nasse Ätztechniken, die typischerweise in einer isotropen Ätzung (d. h. Ätzung in alle Richtungen) resultieren. Beispielsweise kann die Schicht 110, die aus metallischem Aluminium (AI) besteht, durch Bilden von flüchtigem Al2Cl6 direkt und anisotrop mit Chlor(CI)-Plasma geätzt werden, wo flüchtiges Al2Cl6 entfernt wird, was in strukturiertem AI resultiert, das nur auf den Seitenwänden und möglicherweise oben auf den Opferelementen 108 verbleibt. Es kann eine vertikale anisotrope Ätzung des elektrisch leitfähigen Materials der Schicht 110 durchgeführt werden, derart, dass ein Abschnitt des elektrisch leitfähigen Materials auf den Seitenwänden der Opferelemente 108 ebenfalls entfernt wird, was vorteilhafterweise eine Oberflächenrauheit des elektrisch leitfähigen Materials auf den Seitenwänden der Opferelemente 108 erhöht.
  • Die 4 und 5 stellen ein Ausführungsbeispiel zum Abscheiden eines elektrisch leitfähigen Materials auf den Seitenwänden der Opferelemente 108 dar. Bei anderen Ausführungsformen können andere Techniken verwendet werden. Beispielsweise können anstelle eines in 4 gezeigten konformen Abscheidungsprozesses andere Prozesse verwendet werden, die das elektrisch leitfähige Material nur oder im Wesentlichen nur direkt auf den Seitenwänden der Opferelemente 108 abscheiden würden. Derartige alternative verarbeitet können z. B. physische Dampfabscheidungs(PVD)-Prozesse, wie etwa Magnetronsputtern mit einer hohen Re-Sputterrate ohne Nettoabscheidung auf horizontalen Flächen und nur Seitenwandabscheidung, Verdunstungsabscheidung oder E-Strahlabscheidung, beinhalten und können direkt in der in 5 gezeigten Anordnung 208 resultieren, ohne dass die oben beschriebene anisotrope Ätzung erforderlich ist. Überlegungen hinsichtlich einer Dicke des elektrisch leitfähigen Materials auf den Seitenwänden der Opferelemente 108 sowie Überlegungen hinsichtlich der Auswahl und des möglichen Glühens des elektrisch leitfähigen Materials, die oben bereitgestellt sind, sind auf derartige alternative Abscheidungsprozesse anwendbar und werden daher im Interesse der Kürze hier nicht wiederholt.
  • Bei jeder oben beschriebenen Abscheidungstechnik, die verwendet wird, um ein elektrisch leitfähiges Material auf den Seitenwänden der Opferelemente 108 abzuscheiden, kann nachfolgend eine Planarisierung der Anordnung 208 durchgeführt werden, um das Material der Opferelemente 108 für die nachfolgende Ätzung dieses Materials freizulegen. Eine Planarisierung kann entweder unter Verwendung von nassen oder trockenen Planarisierungsprozessen durchgeführt werden. Bei einer Ausführungsform wird die Planarisierung unter Verwendung einer chemischmechanischen Planarisierung (CMP) durchgeführt, die als ein Prozess verstanden werden kann, der eine Polierfläche, ein Schleifmittel und einen Schlamm nutzt, um die Deckschicht des elektrisch leitfähigen Materials zu entfernen, die obere Flächen der Opferelemente 108 bedecken kann, um derartige Flächen für die nachfolgende Ätzung freizulegen.
  • 6 stellt eine Querschnittsansicht einer Anordnung 210 nach dem Entfernen der Opferelemente 108 zwischen dem elektrisch leitfähigen Material, das auf den Seitenwänden der Opferelemente 108 in Anordnung 208 (5) abgeschieden ist, dar. Bei einigen Ausführungsformen kann ein derartiges Entfernen das anisotrope Ätzen beinhalten, um das Material der Opferelemente 108 vertikal wegzuätzen. Die bei dieser Ätzung verwendeten Ätzmittel würden sich von jenen oben beschriebenen zum Ätzen des elektrisch leitfähigen Materials unterscheiden, da dieses Mal das elektrisch leitfähige Material vorzugsweise nicht weggeätzt würde. Zum Bilden der Anordnung 210 kann jede Substanz, die zum anisotropen Ätzen der Opferelemente 108 geeignet ist, verwendet werden.
  • Das Entfernen der Opferelemente 108 resultiert in der Bildung eines Musters von elektrisch leitfähigen Elementen, wie z. B. in der Anordnung 210 gezeigt, die eine Vielzahl von Zwischenverbindungen 104 bilden. Tatsächlich ist die in 6 gezeigte Anordnung 210 im Wesentlichen dieselbe wie der in 1 gezeigte Metallisierungsstapel 100. Bei unterschiedlichen Ausführungsformen kann eine unterschiedliche Breite jeder Zwischenverbindung 104 zwischen 5 und 30 Nanometer liegen, während eine durchschnittliche Höhe jeder Zwischenverbindung zwischen 5 und 800 Nanometer liegen kann.
  • 7 stellt eine Querschnittsansicht einer Anordnung 212 nach dem Füllen der Räume zwischen den Zwischenverbindungen 104 der Anordnung 210 (6) mit einem geeigneten Dielektrikummaterial 112, wie etwa z. B. jedem der hier beschriebenen ILD-Materialien, dar. Bei einigen Ausführungsformen kann das Dielektrikummaterial 112 z. B. unter Verwendung von CVD und/oder plasmaunterstützter CVD, wie dies typischerweise bei der konventionellen Verarbeitung geschieht, in den Räumen zwischen den Zwischenverbindungen 104 bereitgestellt werden. Bei noch anderen Ausführungsformen kann das Dielektrikummaterial 112 ein Dielektrikummaterial beinhalten, das unter Verwendung von Beschichtungstechniken mit einer Vernetzung von flüssigen Vorprodukten zu massiven Dielektrikummaterialien in den Räumen zwischen den Zwischenverbindungen 104 gebildet wird.
  • Bei einigen Ausführungsformen können einige oder alle der Flächen der Zwischenverbindungen 104 der Anordnung 210 vor dem Auftragen des Dielektrikums 112 gereinigt oder behandelt werden, um z. B. eine Flächenverunreinigung zu reduzieren, Schnittstellenfallen zu minimieren, die Haftung zu fördern und/oder eine Interdiffusion von Materialien zu verringern.
  • Beispielsweise können die Flächen der Zwischenverbindungen 104 unter Verwendung eines chemischen oder eines Plasmareinigers oder durch Anwenden von Wärme in einer gesteuerten Umgebung gereinigt werden.
  • Bei einigen Ausführungsformen kann zwischen auf die Zwischenverbindungen 104 der Anordnung 210 eine „Schnittstellenschicht“ aufgetragen werden, insbesondere auf die Seitenwände der Zwischenverbindungen 104, um eine spontane und ungesteuerte Bildung von anderen Schnittstellenschichten zu verhindern, zu verringern oder zu minimieren.
  • Bei einigen Ausführungsformen kann vor dem Auftragen des Dielektrikums 112 ein Haftungsförderer oder eine Haftschicht aufgetragen werden, um die Haftung zwischen dem elektrisch leitfähigen Material der Zwischenverbindungen 104 und dem (den) Material(ien) des Dielektrikums 112, das (die) den Raum zwischen den Zwischenverbindungen 104 füllt (füllen), zu fördern. Beispielmaterialien, die verwendet werden könnten, um zwischen dem elektrisch leitfähigen Material der Zwischenverbindungen des Dielektrikums 112 eine Haftschicht zu bilden, beinhalten u. a. molekulare Arten wie etwa selbstorganisierte Monoschichten (SAMs). Diese Moleküle beinhalten typischerweise eine Kopfgruppe, eine Alkankette und eine Endgruppe. Die Kopfgruppe könnte ein Thiol oder ein Nitril sein, das mit dem leitfähigen Material eine Bindung eingeht. Die Endgruppe könnte ein Amin, ein Silan, ein Ethoxysilan, ein Chlorsilan oder ein Aminosilan sein, die mit dem Dielektrikum Bindungen eingehen.
  • Bei einigen Ausführungsformen kann vor dem Füllen von Räumen zwischen den Zwischenverbindungen 104 der Anordnung 210 mit dem Dielektrikummaterial unter Verwendung von beliebigen konformen Abscheidungstechniken, wie hier beschrieben, eine Diffusionssperrschicht konform auf den Seitenwänden mindestens einiger, vorzugsweise aller Zwischenverbindungen 104 abgeschieden werden, um eine Diffusion des elektrisch leitfähigen Materials der Zwischenverbindungen 104 aus diesen Elementen und in das umgebende Dielektrikummaterial 112 zu verhindern. Bei unterschiedlichen Ausführungsformen kann eine Diffusionssperrschicht elektrisch leitfähig, halbleitend oder dielektrisch sein. Beispiele für Materialien, die für die Diffusionssperrschicht verwendet werden könnten, beinhalten u. a. eines oder mehreres von Tantal (Ta), Tantalnitrid (TaN), Titan (Ti), Titannitrid (TiN), Ruthenium (Ru), Kobalt (Co), Siliziumnitrid (SiN), Siliziumcarbid (SiC), Siliziumdioxid (Si02), Aluminiumoxid (Al2O3) und Ähnliches.
  • Bei weiteren Ausführungsformen kann das Verfahren ferner das Bereitstellen einer Dielektrikumsperrhaftschicht auf Seitenwänden von mindestens einigen der Zwischenverbindungen 104, die mit einer Diffusionssperrschicht bedeckt sind, beinhalten, um die Haftung zwischen dem Material der Diffusionssperrschicht und dem (den) Material(ien) des Dielektrikums 112, das (die) den Raum zwischen den Zwischenverbindungen 104 füllen wird, zu fördern. Beispielmaterialien, die verwendet werden könnten, um eine Dielektrikumsperrhaftschicht zu bilden, beinhalten u. a. Tantal, Titan, Titannitrid, Tantalnitrid, Wolframnitrid, Molybdännitrid und Ähnliches.
  • Nach dem Füllen von Räumen zwischen den elektrisch leitfähigen Elementen 104 mit dem Dielektrikummaterial 112 kann wahlweise erneut eine Planarisierung durchgeführt werden, z. B. unter Verwendung einer der oben beschriebenen Planarisierungstechniken, um die oberen Flächen der Zwischenverbindungen 104 freizulegen, derart, dass die Zwischenverbindungen 104 mit weiteren Schaltungselementen (in 7 nicht speziell gezeigt) verbunden werden können.
  • Das Fertigen der Zwischenverbindungen 104 durch Abscheiden des elektrisch leitfähigen Materials der Zwischenverbindungen 104, typischerweise ein Metall, in einer abstandhalterartigen Weise (d. h. als ein „Abstandhalter“ um die Opferelemente 108), gefolgt von der Entfernung der Opferelemente 108, wie oben beschrieben, rechtfertigt den Namen „subtraktive Abscheidung mit Metallabstandhaltern“, der den hier beschriebenen Verfahren des Fertigens von Zwischenverbindungen gegeben wurde. Die Implementierung dieser Verfahren kann das Realisieren mehrerer Vorteile erlauben, insbesondere im Vergleich zu konventionellen Verfahren des Bereitstellens von Zwischenverbindungen durch, zuerst, Abscheiden einer Metallschicht und dann Strukturieren des Metalls, um Zwischenverbindungen gewünschter Formen und in gewünschten Mustern zu bilden.
  • Ein Vorteil besteht darin, dass die hier beschriebenen Verfahren Ausrichtungs- und Messmarken im Rahmen des Dies während einer Abscheidung von Zwischenverbindungen nicht verdecken.
  • Ein weiterer Vorteil besteht darin, dass die resultierenden Zwischenverbindungen, verglichen mit dem, was mit Fertigungsverfahren nach dem Stand der Technik erreicht werden könnte, eine verringerte Oberflächenrauheit auf den Seitenwänden aufweisen. Bei einigen Ausführungsformen kann eine Breite einer einzelnen Zwischenverbindung (d. h. die Abmessung in der Y-Richtung des in den Figuren gezeigten beispielhaften Referenzsystems), die unter Verwendung der hier beschriebenen Verfahren gebildet wurde, z. B. einer einzelnen Zwischenverbindung 104, entlang einer Höhe dieser Zwischenverbindung um weniger als 10 Prozent variieren, vorzugsweise weniger als 5 %, z. B. weniger als 3 oder 2 % einer durchschnittlichen Breite der Zwischenverbindung entlang der Höhe der Zwischenverbindung. Wenn eine Breite einer Zwischenverbindung um weniger als einen gewissen, relativ kleinen Betrag variiert, verglichen mit der durchschnittlichen Breite entlang der Höhe der Zwischenverbindung, zeigt dies an, dass die Seitenwände der Zwischenverbindung eine relativ niedrige Oberflächenrauheit aufweisen. Eine derartige relativ langsame Oberflächenrauheit kann vorteilhafterweise unter Verwendung der hier beschriebenen Verfahren zum Bilden von elektrisch leitfähigen Zwischenverbindungen erreicht werden und konnte unter Verwendung von Fertigungstechniken nach dem Stand der Technik nicht erreicht werden.
  • Metallisierungsstapel, wie hier beschrieben, können besonders vorteilhaft sein, wenn sie in den Metallschichten einer Mikroprozessorvorrichtung für eine analoge Schaltung, eine Logikschaltung oder eine Speicherschaltung verwendet werden, und können zusammen mit existierenden komplementären Metalloxidhalbleiter(CMOS)-Prozessen gebildet werden.
  • 8 ist ein Flussdiagramm eines beispielhaften Verfahrens 800 zum Herstellen eines Metallisierungsstapels (z. B. des Metallisierungsstapels 100, der eine Vielzahl von elektrisch leitfähigen Zwischenverbindungen 104 beinhaltet), das die hier beschriebene subtraktive Abscheidung mit Metallabstandhaltern gemäß unterschiedlichen Ausführungsformen zusammenfasst. Obwohl die Vorgänge des Verfahrens 800 jeweils einmal und in einer bestimmten Reihenfolge dargestellt sind, können die Vorgänge in jeder geeigneten Reihenfolge durchgeführt und auf Wunsch wiederholt werden. Beispielsweise können ein oder mehrere Vorgänge parallel durchgeführt werden, um mehrere Muster von Zwischenverbindungen im Wesentlichen simultan herzustellen. In einem anderen Beispiel können Planarisierungsvorgänge bei Bedarf in einer anderen Reihenfolge durchgeführt werden.
  • Bei 802 kann eine Zwischenverbindungsstützschicht bereitgestellt werden. Die bei 802 bereitgestellte Zwischenverbindungsstützschicht kann beispielsweise die Form jeder der hier offenbarten Ausführungsformen der Zwischenverbindungsstützschicht 102 annehmen (z. B. jeder der hier mit Bezug auf den Metallisierungsstapel 100 erläuterten Ausführungsformen oder jeder der in den 2-7 gezeigten Anordnungen).
  • Bei 804 kann ein Muster von nichtmetallischen Opferelementen bereitgestellt werden. Die bei 804 bereitgestellten nichtmetallischen Opferelemente können beispielsweise die Form von jeder der hier offenbarten Ausführungsformen der Opferelemente 108 annehmen und gemäß diesen bereitgestellt werden. Bei einigen Ausführungsformen können die Opferelemente bei 804 bereitgestellt werden, um mit der Zwischenverbindungsstützschicht von 802 in Kontakt zu sein. Bei anderen Ausführungsformen kann zwischen der Zwischenverbindungsstützschicht und den Opferelementen ein Zwischenmaterial abgeschieden werden, z. B. eine Ätzstoppschicht oder eine Isolierschicht.
  • Bei 806 kann ein elektrisch leitfähiges Material, z. B. ein Metall, auf den Seitenwänden der Opferelemente bereitgestellt werden. Das bei 806 auf den Seitenwänden der Opferelemente bereitgestellte elektrisch leitfähige Material kann die Form von jeder der hier offenbarten Ausführungsformen annehmen und gemäß diesen bereitgestellt werden.
  • Bei 808 können die Opferelemente zwischen dem auf den Seitenwänden bereitgestellten elektrisch leitfähigen Material entfernt werden. Die Entfernung der Opferelemente bei 808 kann gemäß jeder der hier offenbarten Ausführungsformen durchgeführt werden.
  • Beim optionalen Prozess 810 kann über die elektrisch leitfähigen Elemente, die nach der Entfernung der Opferelemente bei 808 zurückbleiben, eine Diffusionssperrenauskleidung abgeschieden werden. Die bei 810 bereitgestellte Diffusionssperrenauskleidung kann die Form jeder der hier offenbarten Ausführungsformen der Diffusionssperrenauskleidung annehmen und gemäß dieser bereitgestellt werden.
  • Beim optionalen Prozess 812 kann zwischen den und möglicherweise über die elektrisch leitfähigen Elemente, die nach der Entfernung der Opferelemente bei 808 zurückbleiben, ein Dielektrikummaterial, z. B. ein ILD, abgeschieden werden. Das Dielektrikummaterial kann wahlweise planarisiert werden, um die oberen Flächen der elektrisch leitfähigen Elemente gegenüber dem umliegenden Dielektrikum freizulegen. Das bei 812 bereitgestellte Dielektrikummaterial, wie auch die Planarisierung davon, kann die Form jeder der hier offenbarten Ausführungsformen des Dielektrikummaterials 112 annehmen und gemäß dieser bereitgestellt und/oder planarisiert werden.
  • Das Verfahren 800 kann ferner andere Herstellungsvorgänge beinhalten, die die Fertigung von anderen Komponenten eines Metallisierungsstapels 100 oder von beliebigen Vorrichtungen, die einen derartigen Stapel beinhalten, betreffen. Beispielsweise kann das Verfahren 800 unterschiedliche Reinigungsvorgänge und/oder Vorgänge zum Einbinden des Metallisierungsstapels 100 in eine oder mit einer IC-Komponente.
  • 9 ist eine Darstellung von ausgewählten Elementen einer integrierten Schaltung 900 gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation in Draufsicht. In diesem Beispiel ist die integrierte Schaltung 900 auf einem Substrat 902 aufgebaut, bei dem es sich auch um ein Zwischenschichtdielektrikum (ILD) handeln könnte, wie hier offenbart. Auf einem Substrat 902 ist eine Vielzahl von leitfähigen Metalllinien 904 abgeschieden, bei denen es sich um die metallischen Leiterbahnen unterschiedlicher Zwischenverbindungen auf der Schaltung handeln kann. Es ist zu beachten, dass zwischen den Leiterbahnen 904-1 und 904-2 ein Sicherheitsspalt definiert ist. Der Sicherheitsspalt ist ausgewählt, um eine ausreichende dielektrische Trennung zwischen der Leiterbahn 904-1 und der Leiterbahn 904-2 bereitzustellen, derart, dass eine Betriebsspannung der Schaltung durch Bewirken eines Dielektrikumdurchbruchs keinen Kurzschluss zwischen den Leiterbahnen verursachen kann. Dieser Abstand kann auch ausgewählt werden, um sicherzustellen, dass nicht nur ein momentaner Durchbruch nicht auftritt, sondern gemäß vernünftiger Berechnung ein Dielektrikummdurchbruch über die konstruktionsbedingte Lebensdauer des ICs nicht auftritt. Dies kann ein entscheidender Zuverlässigkeitsfaktor sein, der den Ruf des Herstellers beeinträchtigen kann.
  • In diesem Fall ist auch eine Durchkontaktierung 906 zu platzieren, die beispielsweise die Zwischenverbindungsleiterbahn 904-2 mit Spuren über oder unter der Schicht des Substrats 902 verbindet.
  • 9 stellt eine nominelle Platzierung der Durchkontaktierung 906 dar, bei der die Durchkontaktierung 906 geringfügig innerhalb der Leiterbahn 904-2 platziert ist. Solange die Durchkontaktierung 906 innerhalb der Leiterbahn 904-2 bleibt, wird der Sicherheitsspalt aufrechterhalten und es kommt zwischen den Leiterbahnen nicht zum Kurzschluss.
  • Jedoch stellt 9 auch eine fehlausgerichtete Durchkontaktierung 906-2 dar, die geringfügig über das Ende der Leiterbahn 904-2 abweicht. Die Durchkontaktierung mag nur um wenige Nanometer abweichen, doch kann dies ausreichend sein, um ein Kurzschlussrisiko zu schaffen, da zwischen der Leiterbahn 904-1 und der Durchkontaktierung 906-2 kein Sicherheitsspalt aufrechterhalten ist. Somit kann sich während des Betriebs zwischen den beiden ein Kurzschluss entwickeln, der den Ausfall der integrierten Schaltung bewirkt. Es ist zu beachten, dass sich dieser Kurzschluss sofort entwickeln kann, wie etwa in Reaktion auf eine normale Betriebsspannung der Schaltung, oder der Kurzschluss kann sich über die Zeit entwickeln, wenn das zu kleine Dielektrikum durch Spannung beansprucht wird, wobei ein langfristiges Zuverlässigkeitsproblem entsteht. Daher ist das Kurzschlussrisiko nicht nur ein sofortiges oder einmaliges Risiko, sondern kann auch die Langlebigkeit der integrierten Schaltung beeinträchtigen.
  • 10 stellt ein Verfahren des Aufrechterhaltens eines Sicherheitsspalts durch Verwenden einer „losen“ Zwischenverbindungsplatzierung dar. In diesem Fall ist die Zwischenverbindung 904-2 so konstruiert, dass sie geringfügig länger ist als in 9, derart, dass sich das Ende der Zwischenverbindung 904-2 um einige Nanometer außerhalb der nominellen Platzierung der Durchkontaktierung 906-1 erstreckt. Zwischen Zwischenverbindung 904-2 und 904-1 wird dennoch ein Sicherheitsspalt aufrechterhalten.
  • Der Vorzug hiervon kann im Fall der fehlausgerichteten Durchkontaktierung 906-2 gesehen werden, die von ihrer vorgesehenen Platzierung um wenige Nanometer nach links abgewichen ist. Da jedoch eine gewisse zusätzliche Sicherheitsmarge in die Länge der Zwischenverbindung 904-2 eingebaut wurde, wird dennoch ein Sicherheitsspalt aufrechterhalten. Daher bleiben die Integrität und die Zuverlässigkeit der integrierten Schaltung sowohl momentan als auch über die Zeit aufrechterhalten.
  • Ein Nachteil der Auslegung von 10 ist jedoch der, dass die Halbleiterdichte gefährdet ist. Es sollte beachtet werden, dass hier nur eine Leiterbahn mit der erhöhten Marge gezeigt ist, doch muss diese Erhöhung der Sicherheitsmarge bei einer realen Anwendung möglicherweise tatsächlich Millionen oder Milliarden Male wiederholt werden, um sicherzustellen, dass die Schaltung korrekt funktioniert. Daher wird die Halbleiterdichte geopfert und der IC muss entweder wesentlich größer gemacht werden oder er muss weniger Transistoren beinhalten. Daher kann die integrierte Schaltung weniger profitabel sein, als sie es wäre, wenn eine größere Dichte aufrechterhalten werden könnte.
  • 11 stellt eine Struktur und ein Verfahren der Platzierung eines gehärteten Steckers dar, die bzw. das die Sicherheitsmarge ohne Verlust der Chipdichte oder mit einem geringeren Verlust der Chipdichte beibehält.
  • Im Beispiel von 11 ist eine Durchkontaktierung 906 gezeigt, die durch eine Hartmaske 1104 hinunter zu einer Leiterbahn 904 geätzt ist. In dieser Darstellung ist zu sehen, dass die Durchkontaktierung 906 wie in den vorherigen zwei Figuren geringfügig nach links fehlausgerichtet ist. Daher ist in dieser Seitenschnittansicht zu sehen, dass die Durchkontaktierung 906 um wenige Nanometer jenseits des Randes der Leiterbahn 904-2 liegt, und wenn es der Durchkontaktierung 906 erlaubt wird, sich den ganzen Weg hinunter zur Lage der Zwischenverbindung 904-1 zu erstrecken, besteht ein Kurzschlussrisiko.
  • Jedoch kann dieses Risiko durch die Platzierung des Steckers 1106, wie in dieser Figur dargestellt, abgeschwächt werden. Wie hier erläutert, kann der Stecker 1106 aus einem ätzresistenten Material angefertigt sein, derart, dass, wenn für die Durchkontaktierung 906 ein Kanal geätzt wird, der gehärtete Stecker 1106 nicht weggeätzt wird. Es ist speziell zu beachten, dass der Stecker 1106 aus einem anderen Material besteht als das ILD 1102 und relativ zum ILD 1102 selektiv geätzt wird. Wenn die Durchkontaktierung 906 mit leitfähigem Metall gefüllt wird, wird dennoch ein Kontakt mit der Leiterbahn 904-2 wie vorgesehen hergestellt. Jedoch erhält der gehärtete Stecker 1106 eine ausreichende dielektrische Trennung zwischen der Leiterbahn 904-2 und 904-1 aufrecht, derart, dass eine geringere Gefahr des Dielektrikumdurchbruchs besteht.
  • 12 ist ein Flussdiagramm eines Verfahrens 1200 zum Herstellen einer integrierten Schaltung, die einen oder mehrere gehärtete Stecker beinhaltet, gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation. Es sollte beachtet werden, dass viele der Vorgänge von 1200 entsprechende Vorgänge in 800 aufweisen und diese Vorgänge zum Zweck der Kürze und Klarheit in Verbindung mit 12 möglicherweise weniger ausführlich beschrieben sind. Jedoch sollte dies nicht derart ausgelegt werden, dass impliziert wird, dass sich die Vorgänge in 12 von den Vorgängen der entsprechenden Vorgänge, die in Verbindung mit 8 offenbart sind, unterscheiden oder von diesen getrennt sein müssen. Im Allgemeinen erkennt der Fachmann eine Entsprechung zwischen den Vorgängen in 12 und in 8 und kann, soweit erforderlich, für zusätzliche Details gewisser Vorgänge 8 referenzieren.
  • Die 13a-13d entsprechend Darstellungen der unterschiedlichen Vorgänge des Verfahrens 1200 und sind dementsprechend gekennzeichnet. Um das Verfahren weiter darzustellen, sind gewisse Elemente in den 13a-13d gekennzeichnet, um Referenzpunkte bereitzustellen. Diese Darstellungen sollten nicht derart ausgelegt werden, dass sie eine Korrelation mit Vorgängen, die in den 2-8 offenbart sind, und der entsprechenden Beschreibung darin entweder erfordern oder ausschließen.
  • Es ist zu beachten, dass die 13a und 13b eine Seitenschnittansicht darstellen, die senkrecht zu den dargestellten Metalllinien verläuft. Die 13c und 13d stellen dieselbe Schaltung in einer Ansicht dar, die gedreht ist, beispielsweise um 90°, und parallel zu den Metalllinien verläuft. Diese zwei Ansichten sind derart gezeigt, dass sie unterschiedliche Merkmale darstellen, und sollten derart verstanden werden, dass sie veranschaulichend und nicht begrenzend sind.
  • Es sollte auch beachtet werden, dass die in 12 und an anderer Stelle offenbarten Vorgänge in einer bestimmten Reihenfolge gezeigt sind, um die Erläuterung zu erleichtern und um eine Ausführungsform darzustellen. Diese Reihenfolge der Vorgänge sollte nicht derart ausgelegt werden, dass sie erforderlich oder begrenzend ist. Der Fachmann erkennt, dass gewisse Vorgänge in einer anderen Reihenfolge durchgeführt werden können.
  • Bezug nehmend auf 12 in Verbindung mit den 13a und 13c wird in Block 1202 ein ILD 1102 zusammen mit einer Hartmaske 1104 auf einem Substrat abgeschieden.
  • In Block 1204 werden das ILD 1102 und die Hartmaske Hartmaske 1104 strukturiert, wie etwa durch Ätzen von Gräben für leitfähige Leiterbahnen.
  • In Block 1206 wird eine Opferhartmaskenschicht 1302 abgeschieden und die Opferhartmaske wird strukturiert, wie etwa durch Ätzen eines Grabens für den Stecker 1106.
  • In Block 1208 wird das gehärtete Steckermaterial 1106 im Graben, der zuvor geätzt wurde, abgeschieden. Der Wafer kann dann poliert werden, wie etwa mit einem chemischmechanischen Polier(CMP)-Prozess. Dadurch wird das überschüssige Hartmaskenmaterial abgeschliffen und der Wafer geglättet.
  • In Block 1210 wird das Opferhartmaskenmaterial entfernt, wie etwa via einen chemischen oder einen Dampfprozess.
  • Jetzt Bezug nehmend auf 12 in Verbindung mit den 13b und 13d kann in Block 1212 das leitfähige Metall zum Bilden der Zwischenverbindungsleiterbahnen 904 abgeschieden werden, wie etwa via eine chemische Dampfabscheidung. Der Wafer kann dann erneut poliert werden, um Unregelmäßigkeiten zu entfernen und die Leiterbahnen zu glätten.
  • In Block 1214 können Abschnitte des Metalls in derselben Lage wie das Hartmaskenmaterial 1104 entfernt werden, derart, dass im Hartmaskenmaterial 1104 Ausnehmungen gebildet werden.
  • In Block 1216 kann dann ein Hartmaskenmaterial 1310 in den im vorherigen Vorgang gebildeten Ausnehmungen abgeschieden werden. Diese Schicht des Wafers ist jetzt zum Hinzufügen einer neuen Schicht darauf vorbereitet.
  • In Block 1218 wird dann die nächste Schicht der integrierten Schaltung abgeschieden und strukturiert, die eine Durchkontaktierung 906 beinhaltet. In 13d ist zu sehen, dass die Durchkontaktierung 906 geringfügig fehlausgerichtet ist, derart, dass ohne den Stecker 1106 eine gewisse Gefahr bestehen würde, dass die Durchkontaktierung 906 ein Kurzschlussrisiko mit der Zwischenverbindung 904-1 aufweist.
  • Die 14, 15 und 16 stellen zusätzliche optionale Herstellungsverfahren gemäß einem oder mehreren Beispielen der vorliegenden Spezifikation dar.
  • In 14 sind Schnittansichten sowohl senkrecht als auch parallel zum Metall gezeigt. In diesem Fall wurden keine Hartmasken über den Zwischenverbindungen 904 platziert. Vielmehr sind die Ausnehmungen über den Zwischenverbindungen 904 mit ILD-Material gefüllt.
  • Im Beispiel von 15 werden anstelle des einen Hartmaskenmaterials, wie in den 13 A bis 13 D dargestellt, zwei Hartmaskenmaterialien verwendet. Die Schnittansicht A-A' stellt das Material 1104-1 dar, während die Schnittansicht B-B' das Material 1104-2 darstellt. Die zwei verschiedenen Hartmaskenmaterialien können aus jedem der bekannten Hartmaskenmaterialien ausgewählt werden. Die Verwendung von zwei Hartmaskenmaterialien kann dabei helfen, das selektive Ätzen und das chemische Verarbeiten von gewissen Teilen einer integrierten Schaltung zu erlauben.
  • 16 stellt ein Beispiel dar, in dem nicht nur zwei Hartmaskenmaterialien verwendet werden, sondern außerdem zwei verschiedene gehärtete Steckermaterialien 1106-1 und 1106-2 verwendet werden. Wie im Fall des Verwendens von mehreren Hartmasken kann die Verwendung von mehreren Steckermaterialien dabei helfen, das selektive Ätzen und das chemische Verarbeiten von gewissen Teilen einer integrierten Schaltung zu erlauben. Die Verwendung der Hartmasken kann die Kurzschlussmarge zwischen Schichten erhöhen.
  • Die hier offenbarten Metallisierungsstapel können in jeder geeigneten elektronischen Vorrichtung beinhaltet sein. Die 17-20 stellen unterschiedliche Beispiele von Einrichtungen dar, die einen oder mehrere der hier offenbarten Metallisierungsstapel beinhalten können.
  • Die 17A und 17B sind Draufsichten eines Wafers 1700 und von Dies 1702, die einen oder mehrere Metallisierungsstapel gemäß einer beliebigen der hier offenbarten Ausführungsformen beinhalten können. Der Wafer 1700 kann aus einem Halbleitermaterial zusammengesetzt sein und einen oder mehrere Dies 1702 beinhalten, die IC-Strukturen aufweisen, die auf einer Fläche des Wafers 1700 gebildet sind. Jeder der Dies 1702 kann eine sich wiederholende Einheit eines Halbleiterprodukts sein, das einen beliebigen geeigneten IC beinhaltet (z. B. ICs, die eine oder mehrere Komponenten beinhalten, die einen oder mehrere Metallisierungsstapel 100 beinhalten). Wenn die Fertigung des Halbleiterprodukts abgeschlossen ist (z. B. nach Herstellung eines Metallisierungsstapels 100 in einer bestimmten elektronischen Komponente, z. B. in einem Transistor oder in einer Speichervorrichtung), kann der Wafer 1700 einem Vereinzelungsprozess unterzogen werden, bei dem jeder der Dies 1702 voneinander getrennt wird, um diskrete „Chips“ des Halbleiterprodukts bereitzustellen. Insbesondere können Vorrichtungen, die einen Metallisierungsstapel wie hier offenbart beinhalten, die Form des Wafers 1700 (z. B. nicht vereinzelt) oder die Form des Dies 1702 (z. B. vereinzelt) annehmen. Der Die 1702 kann einen oder mehrere Transistoren (z. B. einen oder mehrere der Transistoren 1840 von 18, wie unten erläutert) und/oder eine unterstützende Schaltung zum Leiten von elektrischen Signalen zu den Transistoren sowie beliebige andere IC-Komponenten (z. B. eine oder mehrere der hier erläuterten Zwischenverbindungen, die die Form eines beliebigen der hier offenbarten Metallisierungsstapel annehmen können) beinhalten. Bei einigen Ausführungsformen kann der Wafer 1700 oder der Die 1702 eine Speichervorrichtung (z. B. eine statische Direktzugriffsspeicher(SRAM)-Vorrichtung), eine Logikvorrichtung (z. B. ein AND-, ein OR-, ein NAND- oder ein NOR-Gate) oder ein anderes geeignetes Schaltungselement beinhalten. Mehrere dieser Vorrichtungen können auf einem einzelnen Die 1702 kombiniert werden. Beispielsweise kann ein Speicherarray, das aus mehreren Speichervorrichtungen gebildet ist, auf einem selben Die 1702 als eine Verarbeitungsvorrichtung (z. B. Prozessor 2002 von 20) oder eine andere Logik, die dazu ausgelegt ist, Informationen in den Speichervorrichtungen zu speichern oder Anweisungen, die im Speicherarray gespeichert sind, auszuführen, gebildet sein.
  • 18 ist eine Querschnittsseitenansicht einer IC-Vorrichtung 1800, die einen oder mehrere Metallisierungsstapel gemäß einer beliebigen der hier offenbarten Ausführungsformen beinhalten kann. Die IC-Vorrichtung 1800 kann auf einem Substrat 1802 (z. B. dem Wafer 1700 von 17A) gebildet sein und kann in einem Die (z. B. dem Die 1702 von 17B) beinhaltet sein. Das Substrat 1802 kann ein beliebiges hier beschriebenes Substrat sein. Das Substrat 1802 kann Teil eines vereinzelten Dies (z. B. des Dies 1702 von 17B) oder ein Wafer (z. B. der Wafer 1700 von 17A) sein.
  • Die IC-Vorrichtung 1800 kann eine oder mehrere Vorrichtungsschichten 1804, die auf dem Substrat 1802 angeordnet sind, beinhalten. Die Vorrichtungsschicht 1804 kann Merkmale von einem oder mehreren Transistoren 1840 (z. B. Metalloxidhalbleiterfeldeffekttransistoren (MOSFETs)), die auf dem Substrat 1802 gebildet sind, beinhalten. Die Vorrichtungsschicht 1804 kann beispielsweise eine oder mehrere Source- und/oder Drain(S/D)-Regionen 1820, ein Gate 1822 zum Steuern eines Stromflusses in den Transistoren 1840 zwischen den S/D-Regionen 1820 und einen oder mehrere S/D-Kontakte 1824 zum Leiten von elektrischen Signalen zu/von den S/D-Regionen 1820 beinhalten. Die Transistoren 1840 können zusätzliche Merkmale, die um der Klarheit willen nicht abgebildet sind, wie etwa Vorrichtungisolationsregionen, Gatekontakte und Ähnliches, beinhalten. Die Transistoren 1840 sind nicht auf den Typ und die Auslegung, die in 18 abgebildet sind, begrenzt und können eine breite Vielfalt anderer Typen und Auslegungen wie etwa beispielsweise planare Transistoren, nichtplanare Transistoren oder eine Kombination von beiden beinhalten. Nichtplanare Transistoren können FinFET-Transistoren, wie etwa Doppelgatetransistoren oder Dreigatetransistoren und Wickel- oder Rundumgatetransistoren, wie etwa Nanoband- und Nanodrahttransistoren, beinhalten.
  • Jeder Transistor 1840 kann ein Gate 1822 beinhalten, das aus mindestens zwei Schichten, einer Gateelektrodenschicht und einer Gatedielektrikumsschicht, gebildet ist.
  • Die Gateelektrodenschicht kann auf der Gatezwischenverbindungsstützschicht gebildet sein und kann aus mindestens einem P-Typ-Austrittsarbeitsmetall oder einem N-Typ-Austrittsarbeitsmetall bestehen, davon abhängig, ob der Transistor ein PMOS- bzw. ein NMOS-Transistor sein soll. Bei einigen Implementierungen kann die Gateelektrodenschicht aus einem Stapel von zwei oder mehr Metallschichten bestehen, wo eine oder mehr Metallschichten Austrittsarbeitsmetallschichten sind und mindestens eine Metallschicht eine Füllmetallschicht ist. Weitere Metallschichten können für andere Zwecke beinhaltet sein, wie etwa eine Sperrschicht oder/und eine Haftschicht.
  • Bei einem PMOS-Transistor beinhalten Metalle, die für die Gateelektrode verwendet werden können, u. a. Ruthenium, Palladium, Platin, Kobalt, Nickel und leitfähige Metalloxide, z. B. Rutheniumoxid. Eine P-Typ-Metallschicht ermöglicht die Bildung einer PMOS-Gateelektrode mit einer Austrittsarbeit, die zwischen etwa 4,9 Elektronenvolt (eV) und etwa 5,2 eV liegt. Bei einem NMOS -Transistor beinhalten Metalle, die für die Gateelektrode verwendet werden können u. a. Hafnium, Zirkonium, Titan, Tantal, Aluminium, Legierungen dieser Metalle und Carbide dieser Metalle, wie etwa Hafniumcarbid, Zirkoniumcarbid, Titancarbid, Tantalcarbid und Aluminiumcarbid. Eine N-Typ-Metallschicht ermöglicht die Bildung einer NMOS-Gateelektrode mit einer Austrittsarbeit, die zwischen etwa 3,9 eV und etwa 4,2 eV liegt.
  • Bei einigen Ausführungsformen kann die Gateelektrode bei Betrachtung als Querschnitt des Transistors 1840 entlang der Source-Kanal-Drain-Richtung als eine U-förmige Struktur gebildet sein, die einen Bodenabschnitt, der im Wesentlichen parallel zur Fläche des Substrats verläuft, und zwei Seitenwandabschnitte, die im Wesentlichen senkrecht zur oberen Fläche des Substrats verlaufen, beinhaltet. Bei anderen Ausführungsformen kann mindestens eine der Metallschichten, die die Gateelektrode bilden, einfach eine planare Schicht sein, die im Wesentlichen parallel zur oberen Fläche des Substrats verläuft, und beinhaltet keine Seitenwandabschnitte, die im Wesentlichen senkrecht zur oberen Fläche des Substrats verlaufen. Bei anderen Ausführungsformen kann die Gateelektrode als eine Kombination aus U-förmigen Strukturen und planaren, nicht-U-förmigen Strukturen implementiert sein. Beispielsweise kann die Gateelektrode als eine oder mehrere U-förmige Metallschichten implementiert sein, die auf einer oder mehreren planaren, nicht-U-förmigen Schichten gebildet sind. Bei einigen Ausführungsformen kann die Gateelektrode aus einer V-förmigen Struktur bestehen (z. B. wenn eine Finne eines finFET-Transistors keine „ebene“ obere Fläche, sondern stattdessen eine abgerundete Spitze aufweist).
  • Im Allgemeinen kann die Gatedielektrikumsschicht eines Transistors 1840 eine Schicht oder einen Stapel von Schichten beinhalten und die eine oder die mehreren Schichten können Siliziumoxid, Siliziumdioxid und/oder ein High-k-Dielektrikummaterial beinhalten. Das High-k-Dielektrikummaterial, das in der Gatedielektrikumsschicht des Transistors 1840 beinhaltet ist, kann Elemente wie Hafnium, Silizium, Sauerstoff, Titan, Tantal, Lanthan, Aluminium, Zirkonium, Barium, Strontium, Yttrium, Blei, Scandium, Niob und Zink beinhalten. Beispiele für High-k-Materialien, die in der Gatedielektrikumsschicht verwendet werden können, beinhalten u. a. Hafniumoxid, Hafniumsiliziumoxid, Lanthanoxid, Lanthanaluminiumoxid, Zirkoniumoxid, Zirkoniumsiliziumoxid, Tantaloxid, Titanoxid, Bariumstrontiumtitanoxid, Bariumtitanoxid, Strontiumtitanoxid, Yttriumoxid, Aluminiumoxid, Bleiscandiumtantaloxid und Bleizinkniobat. Bei einigen Ausführungsformen kann an der Gatedielektrikumsschicht ein Glühprozess vorgenommen werden, um ihre Qualität zu verbessern, wenn ein High-k-Material verwendet wird.
  • Die S/D-Regionen 1820 können unter Verwendung von beliebigen geeigneten dem Fachmann bekannten Prozessen im Substrat 1802 neben dem Gate 1822 jedes Transistors 1840 gebildet werden. Beispielsweise können die S/D-Regionen 1820 entweder unter Verwendung eines Implantations-/Diffusionsprozesses oder eines Abscheidungsprozesses gebildet werden. Bei ersterem Prozess können Dotanden wie etwa Bor, Aluminium, Antimon, Phosphor oder Arsen in das Substrat 1802 ionenimplantiert werden, um die S/D-Regionen 1820 zu bilden. Ein Glühprozess, der die Dotanden aktiviert und bewirkt, dass sie weiterhin das Substrat 1802 diffundieren, kann dem Ionenimplantationsprozess folgen. Bei letzterem Prozess kann ein epitaxialer Abscheidungsprozess ein Material bereitstellen, das verwendet wird, um die S/D-Regionen 1820 zu fertigen. Bei einigen Implementierungen können die S/D-Regionen 1820 unter Verwendung einer Siliziumlegierung, wie etwa Siliziumgermanium oder Siliziumcarbid, gefertigt werden. Bei einigen Ausführungsformen kann die epitaxial abgeschiedene Siliziumlegierung in situ mit Dotanden wie Bor, Arsen oder Phosphor dotiert werden. Bei einigen Ausführungsformen können die S/D-Regionen 1820 unter Verwendung von einem oder mehreren alternativen Halbleitermaterialien, wie etwa Germanium oder ein Gruppe-III-V-Material oder eine solche Legierung, gebildet werden. Bei weiteren Ausführungsform können ein oder mehrere Schichten aus Metall und/oder Metalllegierungen verwendet werden, um die S/D-Regionen 1820 zu bilden. Bei einigen Ausführungsformen kann vor der epitaxialen Abscheidung ein Ätzprozess durchgeführt werden, um Ausnehmungen im Substrat 1802 zu erstellen, in die das Material für die S/D-Regionen 1820 abgeschieden wird.
  • Elektrische Signale, wie etwa Leistungs- und/oder Eingabe-/Ausgabe(I/0)-Signale, können über eine oder mehrere Zwischenverbindungsschichten, die auf der Vorrichtungsschicht 1804 (in 18 als Zwischenverbindungsschichten 1806-1810 dargestellt) angeordnet sind, zu und/oder von den Transistoren 1840 der Vorrichtungsschicht 1804 geleitet werden. Beispielsweise können elektrisch leitfähige Merkmale der Vorrichtungsschicht 1804 (z. B. das Gate 1822 und die S/D-Kontakte 1824) elektrisch an die Zwischenverbindungsstrukturen 1828 der Zwischenverbindungsschichten 1806-1810 gekoppelt werden. Die eine oder die mehreren Zwischenverbindungsschichten 1806-2010 können einen Zwischenschichtdielektrikums(ILD)-Stapel 1819 der IC-Vorrichtung 1800 bilden. Eine oder mehrere der Zwischenverbindungsschichten 1806-1810 können die Form jeder der hier offenbarten Ausführungsformen der Metallisierungsstapel annehmen, beispielsweise jeder der hier mit Bezug auf den Metallisierungsstapel 100 erläuterten Ausführungsformen oder jeder der in den 2-8 gezeigten Anordnungen.
  • Die Zwischenverbindungsstrukturen 1828 können in den Zwischenverbindungsschichten 1806-2010 arrangiert werden, um elektrische Signale gemäß einer Vielfalt von Konstruktionen zu leiten (insbesondere ist das Arrangement nicht auf die in 19 abgebildete bestimmte Auslegung der Zwischenverbindungsstrukturen 1828 begrenzt). Obwohl in 19 eine bestimmte Anzahl von Zwischenverbindungsschichten 1806-2010 abgebildet ist, beinhalten Ausführungsformen der vorliegenden Offenbarung IC-Vorrichtungen, die mehr oder weniger Zwischenverbindungsschichten als abgebildet aufweisen.
  • Bei einigen Ausführungsformen können die Zwischenverbindungsstrukturen 1828 Grabenstrukturen 1828a (manchmal als „Linien“ bezeichnet) und/oder Durchkontaktierungsstrukturen 1828b (manchmal als „Löcher“ bezeichnet), die mit einem elektrisch leitfähigen Material, wie etwa einem Metall, gefüllt sind, beinhalten. Die Grabenstrukturen 1828a können arrangiert sein, um elektrische Signale in eine Richtung einer Ebene zu leiten, die im Wesentlichen parallel zu einer Fläche des Substrats 1802, auf dem die Vorrichtungsschicht 1804 gebildet ist, verläuft. Beispielsweise können die Grabenstrukturen 1828a aus der Perspektive von 18 elektrische Signale in eine Richtung in die Seite hinein und aus der Seite heraus leiten. Die Durchkontaktierungsstrukturen 1828b können arrangiert sein, um elektrische Signale in eine Richtung einer Ebene zu leiten, die im Wesentlichen senkrecht zu einer Fläche des Substrats 1802, auf dem die Vorrichtungsschicht 1804 gebildet ist, verläuft. Bei einigen Ausführungsformen können die Durchkontaktierungsstrukturen 1828b Grabenstrukturen 1828a verschiedener Zwischenverbindungsschichten 1806-2010 elektrisch zusammenkoppeln.
  • Die Zwischenverbindungsschichten 1806-1810 können ein Dielektrikummaterial 1826, das zwischen den Zwischenverbindungsstrukturen 1828 angeordnet ist, beinhalten, wie in 18 gezeigt. Das Dielektrikummaterial 1826 kann die Form jeder der Ausführungsformen des Dielektrikummaterials, das zwischen den Zwischenverbindungen der hier offenbarten Metallisierungsstapel bereitgestellt ist, annehmen, beispielsweise jeder der hier mit Bezug auf das Dielektrikummaterial 112, den Metallisierungsstapel 100 oder jede der in den 2-8 gezeigten Anordnungen erläuterten Ausführungsformen.
  • Bei einigen Ausführungsformen kann das Dielektrikummaterial 1826, das zwischen den Zwischenverbindungsstrukturen 1828 in anderen der Zwischenverbindungsschichten 1806-1810 angeordnet ist, andere Zusammensetzungen aufweisen. Bei anderen Ausführungsformen kann die Zusammensetzung des Dielektrikummaterials 1826 zwischen verschiedenen Zwischenverbindungsschichten 1806-1810 dieselbe sein.
  • Eine erste Zwischenverbindungsschicht 1806 (die als Metall 1 oder „M1“ bezeichnet wird) kann direkt auf der Vorrichtungsschicht 1804 gebildet sein. Bei einigen Ausführungsformen kann die erste Zwischenverbindungsschicht 1806 wie gezeigt Grabenstrukturen 1828a und/oder Durchkontaktierungsstrukturen 1828b beinhalten. Die Grabenstrukturen 1828a der ersten Zwischenverbindungsschicht 1806 können an Kontakte (z. B. die S/D-Kontakte 1824) der Vorrichtungsschicht 1804 gekoppelt sein.
  • Eine zweite Zwischenverbindungsschicht 1808 (die als Metall 2 oder „M2“ bezeichnet wird) kann direkt auf der ersten Zwischenverbindungsschicht 1806 gebildet sein. Bei einigen Ausführungsformen kann die zweite Zwischenverbindungsschicht 1808 Durchkontaktierungsstrukturen 1828b beinhalten, um die Grabenstrukturen 1828a der zweiten Zwischenverbindungsschicht 1808 an die Grabenstrukturen 1828a der ersten Zwischenverbindungsschicht 1806 zu koppeln. Obwohl die Grabenstrukturen 1828a und die Durchkontaktierungsstrukturen 1828b in jeder Zwischenverbindungsschicht (z. B. in der zweiten Zwischenverbindungsschicht 1808) um der Klarheit willen strukturell mit einer Linie abgegrenzt sind, können die Grabenstrukturen 1828a und die Durchkontaktierungsstrukturen 1828b bei einigen Ausführungsformen strukturell und/oder materiell durchgehend sein (z. B. während eines Dual-Damascene-Prozesses gleichzeitig gefüllt).
  • Eine dritte Zwischenverbindungsschicht 1810 (als Metall 3 oder „M3“ bezeichnet) (und auf Wunsch zusätzliche Zwischenverbindungsschichten) kann gemäß ähnlichen Techniken und Auslegungen, die in Verbindung mit der zweiten Zwischenverbindungsschicht 1808 oder der ersten Zwischenverbindungsschicht 1806 beschrieben sind, in Folge auf der zweiten Zwischenverbindungsschicht 1808 gebildet werden.
  • Die IC-Vorrichtung 1800 kann ein Lötstoppmaterial 1834 (z. B. Polymid oder ein ähnliches Material) und ein oder mehrere Bondpads 1836, die auf den Zwischenverbindungsschichten 1806-1810 gebildet sind, beinhalten. Die Bondpads 1836 können an die Zwischenverbindungsstrukturen 1828 gekoppelt und dazu ausgelegt sein, die elektrischen Signale des Transistors bzw. der Transistoren 1840 zu anderen externen Vorrichtungen zu leiten. Beispielsweise können auf dem einen oder den mehreren Bondpads 1836 Lötbonds gebildet sein, um einen Chip, der die IC-Vorrichtung 1800 beinhaltet, mechanisch und/oder elektrisch an eine andere Komponente (z. B. eine Leiterplatte) zu koppeln. Die IC-Vorrichtung 1800 kann andere alternative Auslegungen als bei anderen Ausführungsformen abgebildet aufweisen, um die elektrischen Signale von den Zwischenverbindungsschichten 1806-1810 zu leiten. Beispielsweise können die Bondpads 1836 durch andere analoge Merkmale (z. B. Stifte) ersetzt werden oder diese ferner beinhalten, die die elektrischen Signale zu externen Komponenten leiten.
  • 19 ist eine Querschnittsseitenansicht einer IC-Vorrichtungsanordnung 1900, die Komponenten beinhalten kann, die einen oder mehrere Metallisierungsstapel gemäß einer der hier offenbarten Ausführungsformen aufweisen oder mit diesen verknüpft sind (z. B. indem sie mit ihnen elektrisch verbunden sind). Die IC-Vorrichtungsanordnung 1900 beinhaltet eine Anzahl von Komponenten, die auf einer Leiterplatte 1902 (die z. B. ein Motherboard sein kann) angeordnet sind. Die IC-Vorrichtungsanordnung 1900 beinhaltet Komponenten, die auf einer ersten Seite 1940 der Leiterplatte 1902 und einer gegenüberliegenden zweiten Seite 1942 der Leiterplatte 1902 angeordnet sind; im Allgemeinen können Komponenten auf einer oder auf beiden Seiten 1940 und 1942 angeordnet sein. Insbesondere können alle geeigneten der Komponenten der IC-Vorrichtungsanordnung 1900 beliebige der hier offenbarten Metallisierungsstapel 100 beinhalten.
  • Bei einigen Ausführungsformen kann die Leiterplatte 1902 eine gedruckte Leiterplatte (PCB) sein, die mehrere Metallschichten beinhaltet, die durch Schichten von Dielektrikummaterial voneinander getrennt und durch elektrisch leitfähige Durchkontaktierungen miteinander verbunden sind. Jede beliebige oder mehrere der Metallschichten können in einem gewünschten Schaltungsmuster gebildet sein, um elektrische Signale (wahlweise in Verbindung mit anderen Metallschichten) zwischen den Komponenten, die an die Leiterplatte 1902 gekoppelt sind, zu leiten. Bei anderen Ausführungsformen kann die Leiterplatte 1902 ein Nicht-PCB-Substrat sein.
  • Die in 19 dargestellte IC-Vorrichtungsanordnung 1900 beinhaltet eine Package-auf-Interposer-Struktur 1936, die mittels Kopplungskomponenten 1916 an die erste Seite 1940 der Leiterplatte 1902 gekoppelt ist. Die Kopplungskomponenten 1916 können die Package-auf-Interposer-Struktur 1936 elektrisch und mechanisch an die Leiterplatte 1902 koppeln und können Lotkugeln (wie in 19 gezeigt), Stecker- und Buchsenabschnitte einer Steckerbuchse, einen Kleber, ein Unterfüllungsmaterial und/oder eine beliebige andere geeignete elektrische und/oder mechanische Kopplungsstruktur beinhalten.
  • Die Package-auf-Interposer-Struktur 1936 kann ein IC-Package 1920 beinhalten, das mittels Kopplungskomponenten 1918 an einen Interposer 1904 gekoppelt ist. Die Kopplungskomponenten 1918 können für die Anwendung jede geeignete Form annehmen, wie etwa die Formen, die oben mit Bezug auf die Kopplungskomponenten 1916 erläutert wurden. Obwohl in 19 ein einzelnes IC-Package 1920 gezeigt ist, können an den Interposer 1904 mehrere IC-Packages gekoppelt sein; tatsächlich können zusätzliche Interposer an den Interposer 1904 gekoppelt sein. Der Interposer 1904 kann ein intervenierendes Substrat bereitstellen, das verwendet wird, um die Leiterplatte 1902 und das IC-Package 1920 zu überbrücken. Das IC-Package 1920 kann beispielsweise einen Die (den Die 1702 von 17B), eine IC-Vorrichtung (z. B. die IC-Vorrichtung 1800 von 18) oder jede andere geeignete Komponente sein oder diese beinhalten. Im Allgemeinen kann der Interposer 1904 eine Verbindung über eine weitere Strecke spreizen oder eine Verbindung zu einer anderen Verbindung umleiten. Beispielsweise kann der Interposer 1904 das IC-Package 1920 (z. B. einen Die) zum Koppeln an die Leiterplatte 1902 an ein Kugelgitterray (BGA) der Kopplungskomponenten 1916 koppeln. Bei der in 19 dargestellten Ausführungsform sind das IC-Package 1920 und die Leiterplatte 1902 auf gegenüberliegenden Seiten des Interposers 1904 angeschlossen; bei anderen Ausführungsformen können das IC-Package 1920 und die Leiterplatte 1902 auf einer selben Seite des Interposers 1904 angeschlossen sein. Bei einigen Ausführungsformen können drei oder mehr Komponenten über den Interposer 1904 miteinander verbunden sein.
  • Der Interposer 1904 kann aus einem Epoxidharz einem glasfaserverstärkten Epoxidharz, einem Keramikmaterial oder einem Polymermaterial, wie etwa Polymid, gebildet sein. Bei einigen Implementierungen kann der Interposer 1904 aus alternativen starren oder flexiblen Materialien gebildet sein, die dieselben Materialien beinhalten können, die oben zur Verwendung in einem Halbleitersubstrat beschrieben wurden, wie etwa Silizium, Germanium und andere Gruppe-III-V- und Gruppe-IV-Materialien. Der Interposer 1904 kann Zwischenverbindungen 1908 und Durchkontaktierungen 1910 aus Metall beinhalten, die u. a. Siliziumdurchkontaktierungen (TSVs) 1906 beinhalten. Der Interposer 1904 kann ferner eingebettete Vorrichtungen 1914 beinhalten, die sowohl passive als auch aktive Vorrichtungen beinhalten. Derartige Vorrichtungen können u. a. Kondensatoren, Entkopplungskondensatoren, Widerstände, Induktoren, Sicherungen, Dioden, Transformatoren, Sensoren, elektrostatische Entladungs(ESD)-Vorrichtungen und Speichervorrichtungen beinhalten. Komplexere Vorrichtungen, wie etwa Funkfrequenz(RF)-Vorrichtungen, Leistungsverstärker, Leistungsverwaltungsvorrichtungen, Antennen, Arrays, Sensoren und mikroelektromechanische System(MEMS)-Vorrichtungen können ebenfalls auf dem Interposer 1904 gebildet sein. Die Package-auf-Interposer-Struktur 1936 kann die Form beliebiger dem Fachmann bekannten Package-auf-Interposer-Strukturen annehmen.
  • Die IC-Vorrichtungsanordnung 1900 kann ein IC-Package 1924 beinhalten, das mittels Kopplungskomponenten 1922 an die erste Seite 1940 der Leiterplatte 1902 gekoppelt ist. Die Kopplungskomponenten 1922 können die Form jeder der oben mit Bezug auf die Kopplungskomponenten 1916 erläuterten Ausführungsformen annehmen und das IC-Package 1924 kann die Form jeder der oben mit Bezug auf das IC-Package 1920 erläuterten Ausführungsformen annehmen.
  • Die in 19 dargestellte IC-Vorrichtungsanordnung 1900 beinhaltet eine Package-auf-Package-Struktur 1934, die mittels Kopplungskomponenten 1928 an die zweite Seite 1942 der Leiterplatte 1902 gekoppelt ist. Die Package-auf-Package-Struktur 1934 kann ein IC-Package 1926 und ein IC-Package 1932 beinhalten, die mittels Kopplungskomponenten 1930 derart zusammengekoppelt sind, dass das IC-Package 1926 zwischen der Leiterplatte 1902 und dem IC-Package 1932 angeordnet ist. Die Kopplungskomponenten 1928 und 1930 können die Form jeder der oben erläuterten Ausführungsformen der Kopplungskomponenten 1916 annehmen und die IC-Packages 1926 und 1932 können die Form jeder der oben erläuterten Ausführungsformen des IC-Packages 1920 annehmen. Die Package-auf-Package-Struktur 1934 kann gemäß beliebigen dem Fachmann bekannten Package-auf-Package-Strukturen ausgelegt sein.
  • 20 ist ein Blockdiagramm einer beispielhaften Datenverarbeitungsvorrichtung 2000, die eine oder mehrere Komponenten beinhalten kann, die einen oder mehrere Metallisierungsstapel gemäß einer beliebigen der hier offenbarten Ausführungsformen beinhalten,. Beispielsweise könnten alle geeigneten der Komponenten der Datenverarbeitungsvorrichtung 2000 einen Die (z. B. den Die 1702 von 17B), das einen oder mehrere Metallisierungsstapel 100 aufweist, beinhalten. Jede oder mehrere der Komponenten der Datenverarbeitungsvorrichtung 2000 können eine IC-Vorrichtung 1800 (18) beinhalten oder darin beinhaltet sein. Jede oder mehrere der Komponenten der Datenverarbeitungsvorrichtung 2000 können eine IC-Vorrichtungsanordnung 1900 (19) beinhalten oder darin beinhaltet sein.
  • In 20 sind eine Anzahl von Komponenten als in der Datenverarbeitungsvorrichtung 2000 beinhaltet dargestellt, doch können jede beliebige oder mehrere dieser Komponenten weggelassen oder dupliziert sein, wie für die Anwendung geeignet. Bei einigen Ausführungsformen können einige oder alle der Komponenten, die in der Datenverarbeitungsvorrichtung 2000 beinhaltet sind, an einem oder mehreren Motherboards angeschlossen sein. Bei einigen Ausführungsformen sind einige oder alle dieser Komponenten auf einem einzelnen System-auf-Chip(SoC)-Die gefertigt.
  • Zusätzlich beinhaltet die Datenverarbeitungsvorrichtung 2000 bei unterschiedlichen Ausführungsformen möglicherweise nicht eine oder mehrere der in 20 dargestellten Komponenten, sondern die Datenverarbeitungsvorrichtung 2000 kann eine Schnittstellenschaltung zum Koppeln an die eine oder die mehreren Komponenten beinhalten. Beispielsweise beinhaltet die Datenverarbeitungsvorrichtung 2000 möglicherweise keine Anzeigevorrichtung 2006, kann aber eine Anzeigevorrichtungsschnittstellenschaltung (z. B. eine Verbinder- und Treiberschaltung) beinhalten, an die eine Anzeigevorrichtung 2006 gekoppelt werden kann. In einem anderen Satz von Beispielen beinhaltet die Datenverarbeitungsvorrichtung 2000 möglicherweise keine Audioeingabevorrichtung 2024 oder keine Audioausgabevorrichtung 2008, kann aber eine Audioeingabe- oder -ausgabevorrichtungsschnittstellenschaltung (z. B. Verbinder und eine unterstützende Schaltung) beinhalten, an die eine Audioeingabevorrichtung 2024 oder eine Audioausgabevorrichtung 2008 gekoppelt werden kann.
  • Die Datenverarbeitungsvorrichtung 2000 kann eine Verarbeitungsvorrichtung 2002 (z. B. eine oder mehrere Verarbeitungsvorrichtungen) beinhalten. Wie hier verwendet kann sich der Begriff „Verarbeitungsvorrichtung“ oder „Prozessor“ auf jede Vorrichtung oder jeden Abschnitt einer Vorrichtung beziehen, die bzw. der elektronische Daten aus Registern und/oder einem Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten umzuwandeln, die in Registern und/oder in einem Speicher gespeichert werden können. Die Verarbeitungsvorrichtung 2002 kann eine(n) oder mehrere digitale Signalprozessoren (DSPs), anwendungsspezifische integrierte Schaltungen (ASICs), zentrale Verarbeitungseinheiten (CPUs), Grafikverarbeitungseinheiten (GPUs), Kryptoprozessoren (spezialisierte Prozessoren, die kryptographische Algorithmen in Hardware ausführen), Serverprozessoren oder beliebige andere geeignete Verarbeitungsvorrichtungen beinhalten. Die Datenverarbeitungsvorrichtung 2000 kann einen Speicher 2004 beinhalten, der selbst eine oder mehrere Speichervorrichtungen, wie etwa flüchtigen Speicher (z. B. dynamischen Direktzugriffsspeicher (DRAM)), nichtflüchtigen Speicher (z. B. Nur-Lese-Speicher (ROM)), Flashspeicher, Festkörperspeicher und/oder eine Festplatte beinhalten kann. Bei einigen Ausführungsformen kann der Speicher 2004 einen Speicher beinhalten, der einen Die gemeinsam mit der Verarbeitungsvorrichtung 2002 verwendet. Dieser Speicher kann als ein Cachespeicher verwendet werden und kann einen eingebetteten dynamischen Direktzugriffsspeicher (eDRAM) oder einen magnetischen Spintransfermomentdirektzugriffsspeicher (STT-MRAM) beinhalten.
  • Bei einigen Ausführungsformen kann die Datenverarbeitungsvorrichtung 2000 einen Kommunikationschip 2012 (z. B. einen oder mehrere Kommunikationschips) beinhalten. Beispielsweise kann der Kommunikationschip 2012 zum Verwalten der drahtlosen Kommunikation für den Transfer von Daten zu und von der Datenverarbeitungsvorrichtung 2000 ausgelegt sein. Der Begriff „drahtlos“ und dessen Ableitungen können verwendet werden, um Schaltungen, Vorrichtungen, Systeme, Verfahren, Techniken, Kommunikationskanäle usw. zu beschreiben, die Daten durch die Verwendung einer modulierten elektromagnetischen Strahlung durch ein nicht festes Medium kommunizieren können. Der Begriff impliziert nicht, dass verknüpfte Vorrichtungen keine Drähte enthalten, obwohl dies bei einigen Ausführungsformen der Fall sein könnte.
  • Der Kommunikationschip 2012 kann jeden bzw. jedes einer Anzahl von drahtlosen Standards bzw. Protokollen implementieren, wie u. a. Standards des Institute for Electrical and Electronic Engineers (IEEE), die Wi-Fi (IEEE 802.11-Familie) beinhalten, IEEE 802.16-Standards (z. B. IEEE 802.16-2005 Amendment), Long-Term Evolution(LTE)-Projekt mit Änderungen, Aktualisierungen und/oder Revisionen (z. B. erweitertes LTE-Projekt, ultramobiles Breitband(UMB)-Projekt (auch als „3GPP2“ bezeichnet) usw.). Mit IEEE 802.16 kompatible drahtlose Breitbandzugangs(BWA)-Netzwerke werden im Allgemeinen als WiMAX-Netzwerke bezeichnet, ein Akronym, das für Worldwide Interoperability for Microwave Access (weltweite Interoperabilität für Mikrowellenzugang) steht, bei der es sich um eine Zertifizierungsmarke für Produkte handelt, die Konformitäts- und Interoperabilitätstests für IEEE 802.16-Standards bestehen. Der Kommunikationschip 2012 kann gemäß einem Global System for Mobile Communication(GSM)-, einem General Packet Radio Service(GPRS)-, einem Universal Mobile Telecommunications System(UMTS)-, einem High Speed Packet Access(HSPA)-, einem Evolved HSPA(E-HSPA)- oder einem LTE-Netzwerk betrieben werden. Der Kommunikationschip 2012 kann gemäß Enhanced Data for GSM Evolution (EDGE), GSM EDGE Radio Access Network (GERAN), Universal Terrestrial Radio Access Network (UTRAN) oder Evolved UTRAN (E-UTRAN) betrieben werden. Der Kommunikationschip 2012 kann gemäß Code Division Multiple Access (CDMA), Time Division Multiple Access (TDMA), Digital Enhanced Cordless Telecommunications (DECT), Evolution-Data Optimized (EV-DO) und Ableitungen davon sowie allen anderen drahtlosen Protokollen, die als 3G, 4G, 5G und darüber hinaus benannt sind, betrieben werden. Der Kommunikationschip 2012 kann bei anderen Ausführungsformen gemäß anderen drahtlosen Protokollen betrieben werden. Die Datenverarbeitungsvorrichtung 2000 kann eine Antenne 2022 beinhalten, um die drahtlose Kommunikation zu ermöglichen und/oder eine andere drahtlose Kommunikation (wie etwa AM- oder FM-Funkübertragungen) zu empfangen.
  • Bei einigen Ausführungsformen kann der Kommunikationschip 2012 eine drahtgebundene Kommunikation verwalten, wie etwa elektrische, optische oder alle anderen geeigneten Kommunikationsprotokolle (z. B. das Ethernet). Wie oben angemerkt kann der Kommunikationschip 2012 mehrere Kommunikationschips beinhalten. So kann etwa ein erster Kommunikationschip 2012 für eine drahtlose Kommunikation über kürzere Bereiche, wie etwa Wi-Fi oder Bluetooth, dediziert sein und ein zweiter Kommunikationschip 2012 kann für eine drahtlose Kommunikation über längere Bereiche, wie etwa GPS, EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO oder andere, dediziert sein. Bei einigen Ausführungsformen kann ein erster Kommunikationschip 2012 für die drahtlose Kommunikation dediziert sein und ein zweiter Kommunikationschip 2012 kann für die drahtgebundene Kommunikation dediziert sein.
  • Die Datenverarbeitungsvorrichtung 2000 kann eine Batterie-/Leistungsschaltung 2014 beinhalten. Die Batterie-/Leistungsschaltung 2014 kann eine oder mehrere Energiespeichervorrichtungen (z. B. Batterien oder Kondensatoren) und/oder -schaltungen zum Koppeln von Komponenten der Datenverarbeitungsvorrichtung 2000 an eine Energiequelle, die von der Datenverarbeitungsvorrichtung 2000 getrennt ist (z. B. AC-Leitungsleistung), beinhalten.
  • Die Datenverarbeitungsvorrichtung 2000 kann eine Anzeigevorrichtung 2006 (oder eine entsprechende Schnittstellenschaltung, wie oben erläutert) beinhalten. Die Anzeigevorrichtung 2006 kann beliebige visuelle Indikatoren, wie etwa beispielsweise eine Heads-up-Anzeige, einen Computermonitor, einen Projektor, eine Touchscreenanzeige, eine Flüssigkristallanzeige (LCD), eine lichtemittierende Diodenanzeige oder eine Flachpaneelanzeige beinhalten.
  • Die Datenverarbeitungsvorrichtung 2000 kann eine Audioausgabevorrichtung 2008 (oder eine entsprechende Schnittstellenschaltung, wie oben erläutert) beinhalten. Die Audioausgabevorrichtung 2008 kann jede Vorrichtung beinhalten, die einen akustischen Indikator, wie etwa beispielsweise Lautsprecher, Headsets oder Ohrhörer, erzeugt.
  • Die Datenverarbeitungsvorrichtung 2000 kann eine Audioeingabevorrichtung 2024 (oder eine entsprechende Schnittstellenschaltung, wie oben erläutert) beinhalten. Die Audioeingabevorrichtung 2024 kann jede Vorrichtung beinhalten, die ein Signal erzeugt, das für einen Klang repräsentativ ist, wie etwa Mikrofone, Mikrofonarrays oder digitale Instrumente (z. B. Instrumente mit einem digitalen Musikinstrumentenschnittstellen(MIDI)-Ausgang).
  • Die Datenverarbeitungsvorrichtung 2000 kann eine globale Positionierungssystem(GPS)-Vorrichtung 2018 (oder eine entsprechende Schnittstellenschaltung, wie oben erläutert) beinhalten. Die GPS-Vorrichtung 2018 kann mit einem satellitenbasierten System in Kommunikation stehen und kann einen Standort der Datenverarbeitungsvorrichtung 2000 empfangen, wie dies dem Fachmann bekannt ist.
  • Die Datenverarbeitungsvorrichtung 2000 kann eine andere Ausgabevorrichtung 2010 (oder eine entsprechende Schnittstellenschaltung, wie oben erläutert) beinhalten. Beispiele für die andere Ausgabevorrichtung 2010 können einen Audiocodec, einen Videocodec, einen Drucker, einen drahtgebundenen oder drahtlosen Sender zum Bereitstellen von Informationen für andere Vorrichtungen oder eine zusätzliche Speichervorrichtung beinhalten.
  • Die Datenverarbeitungsvorrichtung 2000 kann eine andere Eingabevorrichtung 2020 (oder eine entsprechende Schnittstellenschaltung, wie oben erläutert) beinhalten. Beispiele für die andere Eingabevorrichtung 2020 können einen Beschleunigungsmesser, ein Gyroskop, einen Kompass, eine Bilderfassungsvorrichtung, eine Tastatur, eine Cursorsteuervorrichtung, wie etwa eine Maus, einen Eingabestift, ein Touchpad, einen Barcodeleser, einen Schnellreaktions(QR)-Codeleser, einen beliebigen Sensor oder einen Funkfrequenzidentifikations(RFID)-Leser beinhalten.
  • Die Datenverarbeitungsvorrichtung 2000 kann jeden gewünschten Formfaktor aufweisen, wie etwa eine Hand- oder mobile Datenverarbeitungsvorrichtung (z. B. ein Zelltelefon, ein Smartphone, eine mobile Internetvorrichtung, einen Musikspieler, einen Tabletcomputer, einen Laptopcomputer, einen Netbookcomputer, einen Ultrabookcomputer, einen persönlichen digitalen Assistenten (PDA), einen ultramobilen persönlichen Computer usw.), eine Desktopdatenverarbeitungsvorrichtung, eine Server- oder eine andere vernetzte Datenverarbeitungskomponente, einen Drucker, einen Scanner, einen Monitor, eine Set-Top-Box, eine Unterhaltungssteuereinheit, eine Fahrzeugsteuereinheit, eine Digitalkamera, einen digitalen Videorecorder oder eine tragbare Datenverarbeitungsvorrichtung. Bei einigen Ausführungsformen kann die Datenverarbeitungsvorrichtung 2000 jede andere elektronische Vorrichtung sein, die Daten verarbeitet.
  • Zahlreiche andere Änderungen, Ersetzungen, Variationen, Abänderungen und Modifikationen können für einen Fachmann bestimmbar sein und es ist beabsichtigt, dass die vorliegende Offenbarung alle derartigen Änderungen, Ersetzungen, Variationen, Abänderungen und Modifikationen, die unter den Schutzumfang der angehängten Ansprüche fallen, umschließen. Um das United States Patent and Trademark Office (USPTO) und zusätzlich Leser von Patenten, die zu dieser Anwendung erteilt werden, beim Interpretieren der hier angehängten Ansprüche zu unterstützen, möchte der Anmelder anmerken, dass der Anmelder: (a) nicht beabsichtigt, dass beliebige der angehängten Ansprüche Absatz sechs (6) von 35 U. S. C. Paragraph 112 (vor AIA) oder Absatz (f) desselben Paragraphen (nach AIA), wie er am Datum der Einreichung hiervon existiert, wirksam machen, es sei denn, die Wörter „Mittel zum“ oder „Schritte zum“ werden speziell in diesen Ansprüchen verwendet; und (b) durch keine Aussage in der Spezifikation beabsichtigt, diese Offenbarung in einer Weise zu begrenzen, die in den angehängten Ansprüchen nicht ausdrücklich anders reflektiert wird.
  • Implementierungs beispiele
  • In einem Beispiel ist eine integrierte Schaltung offenbart, die Folgendes umfasst: eine erste Schcht mit einem Dielektrikum, eine erste leitfähige Zwischenverbindung und eine zweite leitfähige Zwischenverbindung; eine zweite Schicht mit einer dritten leitfähigen Zwischenverbindung; eine leitfähige Durchkontaktierung zwischen der ersten Schicht und der zweiten Schicht, um die zweite leitfähige Zwischenverbindung an die dritte leitfähige Zwischenverbindung zu koppeln; und einen ätzresistenten Stecker, der vertikal zwischen der ersten Schicht und der zweiten Schicht angeordnet ist und angeordnet ist, zu verhindern, dass die Durchkontaktierung an der ersten leitfähigen Zwischenverbindung elektrisch kurzschließt.
  • Außerdem wird ein Beispiel offenbart, wobei das Kurzschließen an der ersten leitfähigen Zwischenverbindung umfasst, dass eine Betriebsspannung der integrierten Schaltung eine Dielektrikumdurchbruchspannung eines Dielektrikums, das zwischen der Durchkontaktierung und der ersten leitfähigen Zwischenverbindung angeordnet ist, überschreitet.
  • Außerdem wird ein Beispiel offenbart, wobei das Kurzschließen an der ersten leitfähigen Zwischenverbindung das Überschreiten einer Dielektrikumdurchbruchspannung über eine konstruktionsbedingte Lebensdauer der integrierten Schaltung umfasst.
  • Außerdem wird ein Beispiel offenbart, das ferner ein erstes Hartmaskenmaterial, das über dem Dielektrikum angeordnet ist, und ferner Senken über den leitfähigen Zwischenverbindungen umfasst.
  • Außerdem wird ein Beispiel offenbart, wobei die Senken mit dem Dielektrikum gefüllt werden.
  • Außerdem wird ein Beispiel offenbart, wobei eine Senke über der ersten leitfähigen Zwischenverbindung mit einem ersten Hartmaskenmaterial gefüllt wird.
  • Außerdem wird ein Beispiel offenbart, wobei eine Senke über der zweiten leitfähigen Zwischenverbindung mit einem zweiten Hartmaskenmaterial gefüllt wird.
  • Außerdem wird ein Beispiel offenbart, das ferner einen zweiten ätzresistenten Stecker umfasst, wobei der zweite ätzresistente Stecker aus einem anderen Material besteht als der erste ätzresistente Stecker.
  • Außerdem wird ein Beispiel offenbart, wobei der ätzresistente Stecker SiC umfasst.
  • Außerdem wird ein Beispiel offenbart, wobei der ätzresistente Stecker ein Metalloxid umfasst.
  • Außerdem wird ein Beispiel offenbart, wobei der ätzresistente Stecker ein Aufschleudermaterial umfasst.
  • Außerdem wird ein Beispiel offenbart, wobei der ätzresistente Stecker einen Atomschichtabscheidungsfilm umfasst.
  • Außerdem wird ein Beispiel eines Systems auf einem Chip offenbart, das Folgendes umfasst: einen Prozessor; eine Kommunikationssteuerung und eine Festkörperstruktur, die Folgendes umfasst: eine erste Schicht mit einem Dielektrikum, eine erste leitfähige Zwischenverbindung und eine zweite leitfähige Zwischenverbindung; eine zweite Schicht mit einer dritten leitfähigen Zwischenverbindung; eine leitfähige Durchkontaktierung zwischen der ersten Schicht und der zweiten Schicht, um die zweite leitfähige Zwischenverbindung an die dritte leitfähige Zwischenverbindung zu koppeln; und einen ätzresistenten Stecker, der vertikal zwischen der ersten Schicht und der zweiten Schicht angeordnet ist und angeordnet ist, zu verhindern, dass die Durchkontaktierung an der ersten leitfähige Zwischenverbindung elektrisch kurzschließt.
  • Außerdem wird ein Beispiel offenbart, wobei das Kurzschließen an der ersten leitfähigen Zwischenverbindung umfasst, dass eine Betriebsspannung des Systems auf einem Chip eine Dielektrikumdurchbruchspannung eines Dielektrikums, das zwischen der Durchkontaktierung und der ersten leitfähigen Zwischenverbindung angeordnet ist, überschreitet.
  • Außerdem wird ein Beispiel offenbart, wobei das Kurzschließen an der ersten leitfähigen Zwischenverbindung das Überschreiten einer Dielektrikumdurchbruchspannung über eine konstruktionsbedingte Lebensdauer des Systems auf einem Chip umfasst.
  • Außerdem wird ein Beispiel offenbart, das ferner ein erstes Hartmaskenmaterial, das über dem Dielektrikum angeordnet ist, und ferner Senken über den leitfähigen Zwischenverbindungen umfasst.
  • Außerdem wird ein Beispiel offenbart, wobei die Senken mit dem Dielektrikum gefüllt werden.
  • Außerdem wird ein Beispiel offenbart, wobei eine Senke über der ersten leitfähigen Zwischenverbindung mit einem ersten Hartmaskenmaterial gefüllt wird.
  • Außerdem wird ein Beispiel offenbart, wobei eine Senke über der zweiten leitfähigen Zwischenverbindung mit einem zweiten Hartmaskenmaterial gefüllt wird.
  • Außerdem wird ein Beispiel offenbart, das ferner einen zweiten ätzresistenten Stecker umfasst, wobei der zweite ätzresistente Stecker aus einem anderen Material besteht als der erste ätzresistente Stecker.
  • Außerdem wird ein Beispiel offenbart, wobei der ätzresistente Stecker SiC umfasst.
  • Außerdem wird ein Beispiel offenbart, wobei der ätzresistente Stecker ein Metalloxid umfasst.
  • Außerdem wird ein Beispiel offenbart, wobei der ätzresistente Stecker einen Atomschichtabscheidungsfilm umfasst.
  • Außerdem wird ein Beispiel eines Fertigungsverfahrens offenbart, das Folgendes umfasst: Abscheiden einer Strukturierung einer ersten Schicht mit einem Dielektrikum, einer ersten leitfähigen Zwischenverbindung und einer zweiten leitfähigen Zwischenverbindung; Abscheiden einer zweiten Schicht mit einer dritten leitfähigen Zwischenverbindung; Konstruieren einer leitfähigen Durchkontaktierung zwischen der ersten Schicht und der zweiten Schicht, um die zweite leitfähige Zwischenverbindung an die dritte leitfähige Zwischenverbindung zu koppeln; und Konstruieren eines ätzresistenten Steckers, der vertikal zwischen der ersten Schicht und der zweiten Schicht angeordnet ist und angeordnet ist, zu verhindern, dass die Durchkontaktierung an der ersten leitfähigen Zwischenverbindung elektrisch kurzschließt.
  • Außerdem wird ein Beispiel offenbart, wobei das Konstruieren des ätzresistenten Steckers einen Aufschleuderprozess umfasst.
  • Außerdem wird ein Beispiel offenbart, wobei das Kurzschließen an der ersten leitfähigen Zwischenverbindung umfasst, dass eine Betriebsspannung der integrierten Schaltung eine Dielektrikumdurchbruchspannung eines Dielektrikums, das zwischen der Durchkontaktierung und der ersten leitfähigen Zwischenverbindung angeordnet ist, überschreitet.
  • Außerdem wird ein Beispiel offenbart, wobei das Kurzschließen an der ersten leitfähigen Zwischenverbindung das Überschreiten einer dielektrischen Durchbruchspannung über eine konstruktionsbedingte Lebensdauer der integrierten Schaltung umfasst.
  • Außerdem wird ein Beispiel offenbart, das ferner das Abscheiden eines ersten Hartmaskenmaterials, das über dem Dielektrikum angeordnet ist, und ferner das Abscheiden von Senken über den leitfähigen Zwischenverbindungen umfasst.
  • Außerdem wird ein Beispiel offenbart, das ferner das Füllen der Senken mit dem Dielektrikum umfasst.
  • Außerdem wird ein Beispiel offenbart, das ferner das Füllen einer Senke über der ersten leitfähigen Zwischenverbindung mit einem ersten Hartmaskenmaterial umfasst.
  • Außerdem wird ein Beispiel offenbart, das ferner das Füllen einer Senke über der zweiten leitfähigen Zwischenverbindung mit einem zweiten Hartmaskenmaterial umfasst.
  • Außerdem wird ein Beispiel offenbart, das ferner das Konstruieren eines zweiten ätzresistenten Steckers umfasst, wobei der zweite ätzresistente Stecker aus einem anderen Material besteht als der erste ätzresistente Stecker.
  • Außerdem wird ein Beispiel offenbart, wobei der ätzresistente Stecker SiC umfasst.
  • Außerdem wird ein Beispiel offenbart, wobei der ätzresistente Stecker ein Metalloxid umfasst.
  • Außerdem wird ein Beispiel offenbart, wobei der ätzresistente Stecker ein Aufschleudermaterial umfasst.
  • Außerdem wird ein Beispiel offenbart, wobei der ätzresistente Stecker einen Atomschichtabscheidungsfilm umfasst.
  • Ein Produkt, das gemäß dem Verfahren eines beliebigen Beispiels produziert wird.
  • Außerdem wird ein Beispiel offenbart, wobei das Produkt eine integrierte Schaltung ist.
  • Außerdem wird ein Beispiel offenbart, wobei das Produkt ein System auf einem Chip ist.

Claims (26)

  1. Beansprucht wird:
  2. Integrierter Schaltkreis, der Folgendes umfasst: eine erste Schicht mit einem Dielektrikum, einer ersten leitfähigen Zwischenverbindung und einer zweiten leitfähigen Zwischenverbindung; eine zweite Schicht mit einer dritten leitfähigen Zwischenverbindung; eine leitfähige Durchkontaktierung zwischen der ersten Schicht und der zweiten Schicht, um die zweite leitfähige Zwischenverbindung an die dritte leitfähige Zwischenverbindung zu koppeln; und einen ätzresistenten Stecker, der vertikal zwischen der ersten Schicht und der zweiten Schicht angeordnet ist und angeordnet ist, zu verhindern, dass die Durchkontaktierung an der ersten leitfähigen Zwischenverbindung elektrisch kurzschließt.
  3. Integrierte Schaltung nach Anspruch 1, wobei das Kurzschließen an der ersten leitfähigen Zwischenverbindung umfasst, dass eine Betriebsspannung der integrierten Schaltung eine Dielektrumdurchbruchspannung eines Dielektrikums, das zwischen der Durchkontaktierung und der ersten leitfähigen Zwischenverbindung angeordnet ist, überschreitet.
  4. Integrierter Schaltkreis nach Anspruch 1, wobei das Kurzschließen an der ersten leitfähigen Zwischenverbindung das Überschreiten einer Dielektrumdurchbruchspannung über eine konstruktionsbedingte Lebensdauer der integrierten Schaltung umfasst.
  5. Integrierter Schaltkreis nach Anspruch 1, der ferner ein erstes Hartmaskenmaterial, das über dem Dielektrikum angeordnet ist, und ferner Senken über den leitfähigen Zwischenverbindungen umfasst.
  6. Integrierte Schaltung nach Anspruch 4, wobei die Senken mit dem Dielektrikum gefüllt sind.
  7. Integrierte Schaltung nach Anspruch 4, wobei eine Senke über der ersten leitfähigen Zwischenverbindung mit einem ersten Hartmaskenmaterial gefüllt ist.
  8. Integrierte Schaltung nach Anspruch 6, wobei eine Senke über der zweiten leitfähigen Zwischenverbindung mit einem zweiten Hartmaskenmaterial gefüllt ist.
  9. Integrierte Schaltung nach einem der Ansprüche 1-7, die ferner einen zweiten ätzresistenten Stecker umfasst, wobei der zweite ätzresistente Stecker aus einem anderen Material besteht als der erste ätzresistente Stecker.
  10. Integrierte Schaltung nach einem der Ansprüche 1-7, wobei der ätzresistente Stecker SiC umfasst.
  11. Integrierte Schaltung nach einem der Ansprüche 1-7, wobei der ätzresistente Stecker ein Metalloxid umfasst.
  12. Integrierte Schaltung nach einem der Ansprüche 1-7, wobei der ätzresistente Stecker ein Aufschleudermaterial umfasst.
  13. Integrierte Schaltung nach einem der Ansprüche 1-7, wobei der ätzresistente Stecker einen Atomschichtabscheidungsfilm umfasst.
  14. System auf einem Chip, das Folgendes umfasst: einen Prozessor; eine Kommunikationssteuerung und eine Festkörperstruktur, die Folgendes umfasst: eine erste Schicht mit einem Dielektrikum, einer ersten leitfähigen Zwischenverbindung und einer zweiten leitfähigen Zwischenverbindung; eine zweite Schicht mit einer dritten leitfähigen Zwischenverbindung; eine leitfähige Durchkontaktierung zwischen der ersten Schicht und der zweiten Schicht, um die zweite leitfähige Zwischenverbindung an die dritte leitfähige Zwischenverbindung zu koppeln; und einen ätzresistenten Stecker, der vertikal zwischen der ersten Schicht und der zweiten Schicht angeordnet ist und angeordnet ist, zu verhindern, dass die Durchkontaktierung an der ersten leitfähigen Zwischenverbindung elektrisch kurzschließt.
  15. System auf einem Chip nach Anspruch 13, wobei das Kurzschließen an der ersten leitfähigen Zwischenverbindung umfasst, dass eine Betriebsspannung des Systems auf einem Chip eine Dielektrumdurchbruchspannung eines Dielektrikums, das zwischen der Durchkontaktierung und der ersten leitfähigen Zwischenverbindung angeordnet ist, überschreitet.
  16. System auf einem Chip nach Anspruch 13, wobei das Kurzschließen an der ersten leitfähigen Zwischenverbindung das Überschreiten einer Dielektrikumdurchbruchspannung über eine konstruktionsbedingte Lebensdauer des Systems auf einem Chip umfasst.
  17. System auf einem Chip nach Anspruch 13, der ferner ein erstes Hartmaskenmaterial, das über dem Dielektrikum angeordnet ist, und ferner Senken über den leitfähigen Zwischenverbindungen umfasst.
  18. System auf einem Chip nach Anspruch 16, wobei die Senken mit dem Dielektrikum gefüllt sind.
  19. System auf einem Chip nach Anspruch 16, wobei eine Senke über der ersten leitfähigen Zwischenverbindung mit einem ersten Hartmaskenmaterial gefüllt ist.
  20. System auf einem Chip nach Anspruch 18, wobei eine Senke über der zweiten leitfähigen Zwischenverbindung mit einem zweiten Hartmaskenmaterial gefüllt ist.
  21. System auf einem Chip nach einem der Ansprüche 13-19, die ferner einen zweiten ätzresistenten Stecker umfasst, wobei der zweite ätzresistente Stecker aus einem anderen Material besteht als der erste ätzresistente Stecker.
  22. System auf einem Chip nach einem der Ansprüche 13-19, wobei der ätzresistente Stecker SiC umfasst.
  23. System auf einem Chip nach einem der Ansprüche 13-19, wobei der ätzresistente Stecker ein Metalloxid umfasst.
  24. System auf einem Chip nach einem der Ansprüche 13-19, wobei der ätzresistente Stecker einen Atomschichtabscheidungsfilm umfasst.
  25. Fertigungsverfahren, das Folgendes umfasst: Abscheiden einer Strukturierung einer ersten Schicht mit einem Dielektrikum, einer ersten leitfähigen Zwischenverbindung und einer zweiten leitfähigen Zwischenverbindung; Abscheiden einer zweiten Schicht mit einer dritten leitfähigen Zwischenverbindung; Konstruieren einer leitfähigen Durchkontaktierung zwischen der ersten Schicht und der zweiten Schicht, um die zweite leitfähige Zwischenverbindung an die dritte leitfähige Zwischenverbindung zu koppeln; und Konstruieren eines ätzresistenten Steckers, der vertikal zwischen der ersten Schicht und der zweiten Schicht angeordnet ist und angeordnet ist, zu verhindern, dass die Durchkontaktierung an der ersten leitfähige Zwischenverbindung elektrisch kurzschließt.
  26. Verfahren nach Anspruch 24, wobei das Konstruieren des ätzresistenten Steckers einen Aufschleuderprozess umfasst.
DE112016007483.2T 2016-12-31 2016-12-31 Gehärteter Stecker für verbesserte Kurzschlussmarge Pending DE112016007483T5 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2016/069634 WO2018125247A1 (en) 2016-12-31 2016-12-31 Hardened plug for improved shorting margin

Publications (1)

Publication Number Publication Date
DE112016007483T5 true DE112016007483T5 (de) 2019-08-22

Family

ID=62710532

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112016007483.2T Pending DE112016007483T5 (de) 2016-12-31 2016-12-31 Gehärteter Stecker für verbesserte Kurzschlussmarge

Country Status (4)

Country Link
US (1) US11024538B2 (de)
CN (1) CN110024105B (de)
DE (1) DE112016007483T5 (de)
WO (1) WO2018125247A1 (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110024105B (zh) 2016-12-31 2024-05-28 英特尔公司 用于改善短路裕量的硬化插塞
US11276572B2 (en) * 2017-12-08 2022-03-15 Tokyo Electron Limited Technique for multi-patterning substrates
KR20200011174A (ko) 2018-07-24 2020-02-03 에스케이하이닉스 주식회사 대칭형 구조를 갖는 전도성 패턴들을 갖는 반도체 소자
WO2020214238A1 (en) * 2019-04-16 2020-10-22 Applied Materials, Inc. Method of thin film deposition in trenches
CN113764332A (zh) * 2020-06-07 2021-12-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20230207459A1 (en) * 2021-12-24 2023-06-29 Shafaat Ahmed Patterning metal features on a substrate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5744865A (en) * 1996-10-22 1998-04-28 Texas Instruments Incorporated Highly thermally conductive interconnect structure for intergrated circuits
US6537902B1 (en) * 2000-01-24 2003-03-25 Oki Electric Industry Co, Ltd. Method of forming a via hole in a semiconductor device
US6989105B2 (en) * 2003-06-27 2006-01-24 International Business Machines Corporation Detection of hardmask removal using a selective etch
KR101649714B1 (ko) * 2008-03-21 2016-08-30 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 상호접속부를 위한 자기정렬 배리어 층
FR2969375A1 (fr) * 2010-12-17 2012-06-22 St Microelectronics Crolles 2 Structure d'interconnexion pour circuit intégré
US8664113B2 (en) * 2011-04-28 2014-03-04 GlobalFoundries, Inc. Multilayer interconnect structure and method for integrated circuits
KR102143907B1 (ko) * 2013-08-21 2020-08-12 인텔 코포레이션 타이트한 피치의 전도성 층들을 가이드된 비아들과 접촉시키는 방법 및 구조
US9209077B2 (en) * 2013-12-20 2015-12-08 Intel Corporation Diagonal hardmasks for improved overlay in fabricating back end of line (BEOL) interconnects
US9576852B2 (en) * 2015-06-26 2017-02-21 GlobalFoundries, Inc. Integrated circuits with self aligned contacts and methods of manufacturing the same
CN110024103B (zh) * 2016-12-29 2023-06-30 英特尔公司 自对准通孔
CN110024105B (zh) 2016-12-31 2024-05-28 英特尔公司 用于改善短路裕量的硬化插塞

Also Published As

Publication number Publication date
US11024538B2 (en) 2021-06-01
US20200098629A1 (en) 2020-03-26
CN110024105B (zh) 2024-05-28
CN110024105A (zh) 2019-07-16
WO2018125247A1 (en) 2018-07-05

Similar Documents

Publication Publication Date Title
DE112016007483T5 (de) Gehärteter Stecker für verbesserte Kurzschlussmarge
DE112016007377T5 (de) Selbstausgerichtete durchkontaktierung
DE102019100124A1 (de) Gateanordnungen in Quantenpunkt-Vorrichtungen
DE102020103518A1 (de) Gestapelte Transistoren mit einem Dielektrikum zwischen den Source/Drain- Materialien unterschiedlicher Strata
DE102020112887A1 (de) Substratlose, doppelseitige, eingebettete multi-die-verbindungsbrücke
DE102018204575A1 (de) Integriertes schaltungs-package mit mikrostreifen-leitungsführung und einer externen masseebene
DE112015006959T5 (de) Verfahren zum bilden rückseitiger selbstausgerichteter durchkontaktierungen und dadurch gebildete strukturen
DE112016007567T5 (de) Gehäusesubstrat mit hochdichte-zwischenverbindungsschicht mit säulen- und via-verbindungen zur fan-out-skalierung
DE112016007104T5 (de) Dual-finne-endkappe für selbstjustierte (sage) architekturen
DE112016007569T5 (de) Selbstjustierte hartmasken mit umgewandelten linern
DE112011105926T5 (de) Belastungskompensation in Transistoren
DE112012001732T5 (de) Selbstausgerichtete Kohlenstoffelektronik mit eingebetteter Gate-Elektrode
DE112017007845T5 (de) Integrierte schaltungskomponenten mit substrathohlräumen
DE102020104398A1 (de) Gestapelte Transistoren mit Dielektrikum zwischen Kanälen unterschiedlicher Vorrichtungslagen
DE102013112351A1 (de) Mehrschicht-Halbleitervorrichtungsstruktur
DE102019108021A1 (de) Wrap-Around-Kontaktstrukturen für Halbleiterfinnen
DE112017001160T5 (de) Elektronische Komponenten mit dreidimensionalen Kondensatoren in einem Metallisierungsstapel
DE112016006659T5 (de) Damaszierte Stopfen- und Zungenstrukturbildung mittels Photobuckets für auf Abstandhalter basierende Back-End-of-Line (BEOL)-Verbindungen
DE102019111079A1 (de) CMOS-kompatible Isolationsleckverbesserungen bei Galliumnitridtransistoren
DE112016007366T5 (de) Halbleitervorrichtung, die finnenendspannungsinduzierende merkmale aufweist
DE112016006698T5 (de) Galliumnitrid-NMOS auf Si(111), co-integriert mit einem Silicium-PMOS
DE102020113775A1 (de) Vorrichtung, umfassend Luft-Beabstanden von Gate-Abstandhaltern und anderen Dielektrika und Verfahren zur Bereitstellung einer solchen
DE102019104915A1 (de) Duale selbstausgerichtete Gate-Endabdeckungs- (SAGE) Architekturen
DE112018007152T5 (de) Ätzstoppschicht-basierte methoden zur herstellung leitfähigerdurchkontaktierungen und daraus resultierende strukturen
DE112015006960T5 (de) Lang-kanal-mos-transistoren für kriechverlustarme anwendungen auf einem kurz-kanal-cmos-chip

Legal Events

Date Code Title Description
R012 Request for examination validly filed