TW202201484A - 在微電子工件的處理中euv逆圖案化的方法 - Google Patents

在微電子工件的處理中euv逆圖案化的方法 Download PDF

Info

Publication number
TW202201484A
TW202201484A TW110109094A TW110109094A TW202201484A TW 202201484 A TW202201484 A TW 202201484A TW 110109094 A TW110109094 A TW 110109094A TW 110109094 A TW110109094 A TW 110109094A TW 202201484 A TW202201484 A TW 202201484A
Authority
TW
Taiwan
Prior art keywords
layer
euv
coating
extreme ultraviolet
ultraviolet light
Prior art date
Application number
TW110109094A
Other languages
English (en)
Inventor
志方 劉
高明輝
蘇巴迪普 卡爾
和田敏治
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202201484A publication Critical patent/TW202201484A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

方法使用逆極紫外光(EUV)圖案化處理以處理微電子工件。在某種程度上,逆圖案化技術係用以減少或消除習知EUV圖案化處理中所經歷的缺陷。與習知的EUV圖案化處理相比,逆圖案化技術包括額外的處理步驟,例如外塗層處理、回蝕或平坦化處理、及圖案去除處理。此外,進一步的例示實施例將逆圖案化技術與線平滑化處理相結合以降低圖案粗糙度並實現目標水平的線粗糙度。藉由使用此等額外的技術,除了減少或消除微橋(microbridge)及/或其他缺陷之外,還可顯著改善線圖案粗糙度。

Description

在微電子工件的處理中EUV逆圖案化的方法
本揭示內容係關於微電子工件的製造方法,包括在微電子工件上之圖案化結構之形成。
微電子工件內的元件形成通常涉及基板上之材料層的形成、圖案化及移除之一系列的製造技術。為了滿足目前與下一世代半導體元件的物理與電性規格,要求處理流程可縮小特徵部尺寸,同時對於各種圖案化製程能維持結構整體性。
為了減小特徵部尺寸,已在處理系統中引入使用極紫外光(EUV)微影的圖案化,且此等EUV微影通常使用具有10至15奈米(nm)或更小之波長的光。例如,EUV圖案化技術已被引入至次7奈米節點之先進半導體元件製程的生產中。雖然可實現減小的特徵部尺寸,但在EUV圖案化過程中出現圖案性能問題。例如,對於線和間隔圖案而言,在EUV圖案化過程中會出現線粗糙和圖案缺陷問題。EUV圖案化之後的蝕刻後處理並未顯著改善線粗糙度。此外,在所產生的EUV圖案中觀察到微橋(microbridge)和斷線(broken line)缺陷,且該等類型的缺陷通常無法修正並導致嚴重的元件故障。
圖1A(先前技術)為例示實施例100,其包含利用EUV圖案化處理在多層結構上形成圖案化層110之後的截面圖105及相關俯視圖115。如截面圖105所示,多層結構包括形成於下伏層102上方的硬遮罩層104、保護層106、及抗反射塗佈(ARC)層108。下伏層102可為用於微電子工件的基板,例如半導體基板及/或其他基板材料或材料的組合。硬遮罩層104可為SiN及/或其他硬遮罩材料或材料的組合。保護層106可為非晶碳的旋塗碳(SOC)層、平坦化層(例如有機平坦化層)、及/或其他平坦化或保護性材料或材料的組合。ARC層108可為基於矽的旋塗玻璃(SOG)層及/或其他ARC材料或材料的組合。圖案化層110可為適用於EUV微影的一或更多光阻材料或光阻材料的組合。如俯視圖115所示,由圖案化層110所形成的圖案包含線及相鄰間隔。通過俯視圖115中的間隔可看見下伏的ARC層108。如俯視圖115所示,作為EUV圖案化處理的結果,線的邊緣通常具有較高的粗糙度。
圖1B(先前技術)為例示實施例120,其包含在利用一或更多蝕刻處理將圖1A(先前技術)中之圖案化層110的線/間隔圖案轉移至下伏層之後的截面圖125及相關俯視圖130。蝕刻處理可例如實施為一或更多電漿蝕刻處理步驟,但亦可使用其他蝕刻處理。如截面圖125所示,圖案已被轉移至硬遮罩層104。如俯視圖130所示,硬遮罩層104現經圖案化以匹配由圖1A(先前技術)所示之圖案化層110形成的線/間隔圖案。在俯視圖130中亦可看到下伏層102。如在俯視圖130中所進一步顯示,線的邊緣具有亦經轉移的高粗糙度。此外,此等粗糙度不會經由蝕刻後處理而顯著改善。
圖2A-2B(先前技術)提供經常相關於使用EUV圖案化處理形成之線/間隔圖案而發生的斷線缺陷(broken line defects)及微橋缺陷(microbridge defects)的例示實施例。圖2A(先前技術)提供線/間隔圖案之俯視圖的例示實施例200,其中一條線內的間隙202造成斷線缺陷。圖2B(先前技術)提供線/間隔圖案之俯視圖的例示實施例210,其中在兩條相鄰線之間形成的材料橋212造成微橋缺陷。如上所述,該等斷線缺陷和微橋缺陷通常係無法修正的,並且在所處理的微電子工件中形成的最終元件中造成嚴重的元件故障。
本文揭示在處理微電子工件之過程中應用逆EUV圖案化的實施例。在某種程度上,逆圖案化技術係用以減少或消除習知EUV圖案化處理中所經歷的缺陷,例如微橋缺陷。與習知的EUV圖案化處理相比,逆圖案化技術包括額外的處理步驟,例如外塗層處理、回蝕或平坦化處理、及圖案去除處理。此外,進一步的例示實施例將逆圖案化技術與線平滑化處理相結合以降低圖案粗糙度並實現目標水平的線粗糙度。藉由使用此等額外的技術,除了減少或消除微橋缺陷之外,還可顯著改善線圖案粗糙度。亦可實施不同或額外的特徵、變化、及實施例,且亦可利用相關的系統和方法。
在一實施例中,揭示一種用於微電子工件之極紫外光處理的方法,其包含:利用EUV圖案化處理在一多層結構上形成一圖案化層;在該圖案化層上形成一塗層,其中該塗層為與該圖案化層不同的材料;去除該塗層的一部分以使該圖案化層暴露,其中該塗層的剩餘部分相對於該圖案化層之圖案而具有一逆圖案;執行一或更多蝕刻處理以去除該圖案化層並以該逆圖案的形式留下該塗層;以及將該逆圖案轉移至該多層結構內的至少一個下伏層。
在其他實施例中,該EUV圖案化處理包含形成EUV光阻層並利用EUV光使該光阻層曝光於一圖案。在進一步實施例中,該EUV光阻層包含化學增幅光阻(CAR)材料或非CAR材料。在進一步實施例中,該EUV光具有10至15奈米的波長。在進一步的其他實施例中,該塗層包含SiOx、SiN、SiC、非晶矽、金屬氧化物、或金屬氮化物之其中至少一者。
在其他實施例中,該塗層包含與該多層結構之頂層相同的材料。在進一步實施例中,該塗層及該頂層包含抗反射塗層(ARC)材料。
在其他實施例中,該去除步驟包含執行回蝕處理或平坦化處理之其中至少一者。在進一步的其他實施例中,該去除步驟使得該塗層之該剩餘部分的高度等於或低於該圖案化層的高度。
在其他實施例中,該圖案及該逆圖案包含線及間隔。在進一步實施例中,該塗層將形成於該圖案化層之該圖案中之相鄰的線之間的一或更多橋部覆蓋。在更進一步的實施例中,在該圖案化層被去除之後,該等橋部仍保持被該塗層所覆蓋。
在其他實施例中,該方法包含:在該圖案化層被去除之後,執行一或更多線平滑化處理。在進一步實施例中,目標水平的線粗糙度係利用該一或更多線平滑化處理而實現。在進一步實施例中,該一或更多線平滑化處理包含一或更多電漿蝕刻處理、濕式蝕刻處理、沉積處理、或以上處理之組合。
在其他實施例中,用以去除該圖案化層的該一或更多蝕刻處理包含一或更多電漿蝕刻處理。在進一步的其他實施例中,形成該塗層之步驟包含一或更多旋塗式塗佈處理、化學氣相沉積(CVD)處理、電漿沉積處理、或其他沉積處理。
在其他實施例中,該多層結構包含形成於該微電子工件之基板上方的硬遮罩層。在進一步實施例中,該逆圖案被轉移至該硬遮罩層。
在其他實施例中,該多層結構包含形成於該微電子工件之基板上方的抗反射塗佈(ARC)層、保護層、及硬遮罩層。
亦可實施不同的或額外的特徵、變化、及實施例,並且亦可利用相關的系統與方法。
揭示利用逆EUV圖案化處理以處理微電子工件的方法。本文所述之實施例應用逆圖案化技術以減少或消除習知EUV圖案化處理所經歷的缺陷。如以下更詳細地描述,與習知的EUV圖案化處理相比,所揭示之實施例的逆圖案化技術包含額外的處理步驟。例如,額外的處理步驟可包含外塗層(overcoat)處理、回蝕或平坦化處理、及圖案去除處理。此外,進一步的例示實施例將逆圖案化技術與線平滑化處理相結合以降低圖案粗糙度並實現目標水平的線粗糙度。該等進一步的實施例在圖案轉移至下伏的膜層之前施用額外的圖案平滑化處理。藉由使用此等額外的技術,除了減少或消除微橋缺陷及/或其他缺陷之外,還可顯著改善線圖案粗糙度。例如,在進行EUV圖案化之後於複數圖案線之間留下的材料橋係透過逆圖案化處理而加以覆蓋並有效地去除,從而引致較低的缺陷數。亦可實現其他優點及實施方式,而仍利用此處所述的處理技術。
圖3A係相似於圖1A(先前技術),且為例示實施例100,其包含在利用EUV圖案化處理於多層結構上形成圖案化層310之後的截面圖305及相關俯視圖315。可利用EUV微影以形成圖案化層310。例如,可形成EUV光阻層,然後利用EUV光使其曝光於一圖案。接著,可例如利用一或更多光阻蝕刻處理去除EUV光阻層的未曝光部分以形成圖案化層310。如截面圖305所示,多層結構包括形成於下伏層302上方的硬遮罩層304、保護層306、及抗反射塗佈(ARC)層308。應注意,亦可使用額外及/或不同的膜層。下伏層302可為用於微電子工件的基板,例如半導體基板及/或其他基板材料或材料的組合。硬遮罩層304可為SiN及/或其他硬遮罩材料或材料的組合。保護層306可為非晶碳的旋塗碳(SOC)層、平坦化層(例如有機平坦化層)、及/或其他平坦化或保護性材料或材料的組合。ARC層308可為基於矽的旋塗玻璃(SOG)層及/或其他ARC材料或材料的組合。圖案化層310可為適用於EUV微影的一或更多光阻材料或光阻材料的組合。例如,用於圖案化層310的EUV光阻材料可為化學增幅光阻(CAR)材料或非CAR材料,包括(但不限於)SiOx、SiN、SiC、非晶矽(a-Si)、金屬氧化物、金屬氮化物、及/或其他合適的材料。如俯視圖315所示,由圖案化層310所形成的圖案包含線和相鄰間隔。通過俯視圖315中的間隔可看見下伏的ARC層308。如俯視圖315中亦顯示,作為EUV圖案化處理的結果,線的邊緣具有較高的粗糙度。
圖3B為例示實施例320,其包含在進行外塗層處理以形成塗層312之後的截面圖322及相關俯視圖325。如俯視圖325所示,塗層312覆蓋由圖案化層310所形成的圖案。用於此塗層312的材料為與用於圖案化層310的材料不同的材料。然而,用於塗層312的材料可為與用於ARC層308的材料相同或不同的材料,該ARC層308為多層結構中的頂層。塗層312可為例如SiOx、SiN、SiC、非晶矽(a-Si)、金屬氧化物、金屬氮化物、及/或其他合適的材料。如上所述,塗層312為與圖案化層310不同的材料。此外,應注意,塗層312可例如利用一或更多膜層形成處理而形成,包括旋塗式塗佈處理、化學氣相沉積(CVD)處理、電漿沉積處理、及/或其他沉積處理或複數處理之組合。
圖3C為例示實施例330,其包含在執行膜層去除處理以使圖案化層310暴露之後的截面圖332及相關俯視圖335。例如,膜層去除處理使得塗層312的高度等於或低於圖案化層310的高度。如俯視圖335所示,基於EUV圖案化處理由圖案化層310所形成的圖案係透過膜層去除處理而暴露。膜層去除處理可為例如回蝕塗層312的一或更多蝕刻處理(例如電漿蝕刻處理)、將塗層312連同圖案化層310一起平坦化的一或更多平坦化處理、及/或一或更多其他處理或複數處理之組合。
圖3D為例示實施例340,其包含在執行一或更多蝕刻處理以去除圖案化層310並將塗層312留在原本圖案化層310之間的間隔位置之後的截面圖342及相關俯視圖345。如此,塗層312的剩餘部分相對於圖案化層310所形成之圖案而形成一逆圖案。蝕刻處理可例如作為一或更多電漿蝕刻處理步驟而實施,但亦可使用其他蝕刻處理或蝕刻處理的組合。如俯視圖345所示,塗層312的剩餘部分在原本圖案化層310內的間隔位置形成線,且原本由圖案化層310形成的線現為與塗層312所形成之線鄰接的間隔。如此,相對於原始圖案的逆圖案係由塗層312的剩餘部分所形成。在某種程度上,此等逆圖案化減少或消除微橋缺陷,如以下針對圖4A-4B而更詳細地描述。然而,如俯視圖415中所示,線的邊緣可能仍具有高粗糙度。亦應注意,下伏的ARC層308係通過逆圖案中的間隔而可見。
圖3E為例示實施例350,其包含在執行一或更多線平滑化處理以針對由圖案化塗層312形成的線之側壁352而降低線粗糙度及/或達到目標水平的線粗糙度之後的截面圖354及相關俯視圖355。如俯視圖355所示,由塗層312之逆圖案形成的線之側壁352相較於圖3D之俯視圖345所示的線而明顯更為平滑。應注意,與用於原本的圖案化層310之可用技術相比,可選擇用於塗層312的材料以允許應用改良的線平滑化處理。例如,可對塗層312施加選擇性蝕刻或處理以降低圖案化線的粗糙度。線平滑化處理可為例如一或更多電漿蝕刻處理、濕式蝕刻處理、沉積處理、及/或其他處理或複數處理的組合。作為另一範例,與用於原始圖案化層310的材料相比,可將具有更高抗蝕刻性的材料使用於塗層312。更高的抗蝕刻性允許改良的線平滑化處理之使用。亦可實施其他變化。
圖3F為例示實施例360,其包含在利用一或更多蝕刻處理將圖3E中之塗層312的逆圖案轉移至下伏層之後的截面圖362及相關俯視圖365。蝕刻處理可例如作為一或更多電漿蝕刻處理步驟實施,但亦可使用其他蝕刻處理或複數處理的組合。如截面圖362所示,該逆圖案已被轉移至硬遮罩層304。如俯視圖365所示,硬遮罩層304現經圖案化以匹配圖3D中所示之由逆圖案化所形成的線/間隔圖案。在俯視圖365中亦可看到下伏層302。此外,圖3E中所實現之改良的線粗糙度跟隨著圖3F中的圖案轉移。
圖4A-4B所提供的例示實施例中顯示藉由所揭示之實施例的逆EUV圖案化以減少或消除因習知EUV圖案化而產生的微橋缺陷。
圖4A為例示實施例400,其包含截面圖405及相關俯視圖415,其中材料橋402表示EUV圖案化缺陷。如截面圖405所示,材料橋402具有比由圖案化層310形成的相鄰線更低的高度。如俯視圖415所示,此材料橋402在圖案化層310內的兩條相鄰線之間延伸。在先前的解決方案中,此等橋接缺陷會在圖案轉移期間被轉移至底下的膜層並造成微橋缺陷。然而,藉由所揭示之實施例的逆圖案化,使得此等微橋缺陷被去除。例如,假設在材料橋402具有比EUV圖案化層310中之相鄰線更低的高度之情況下,該材料橋402將會在圖4B中的塗層312形成之後被覆蓋。
圖4B為例示實施例420,其包含在逆圖案化處理去除原始圖案化層310並在塗層312中留下逆圖案之後的截面圖422及相關俯視圖425。如截面圖422中所示,材料橋402仍然被圖案化塗層312所覆蓋。如俯視圖425所示,材料橋402係不可見的,並且已被圖案化塗層312有效地去除。當逆圖案隨後被轉移至下伏層中時,如圖3F所示,材料橋402已被有效地去除,並且不會造成在使用習知EUV圖案化的先前解決方案中會產生的微橋缺陷。
圖5為應用逆EUV圖案化以處理微電子工件之例示實施例的程序流程圖500。在方塊502中,使用極紫外光(EUV)圖案化處理在多層結構上形成圖案化層。在方塊504中,在圖案化層上方形成塗層。在方塊506中,去除該塗層的一部分以使圖案化層暴露,且該塗層的剩餘部分相對於該圖案化層之圖案而具有一逆圖案。在方塊508中,執行一或更多蝕刻處理以去除該圖案化層並以逆圖案的形式留下該塗層。在方塊510中,逆圖案被轉移至多層結構內的至少一個下伏層。應注意,亦可使用額外或不同的處理步驟,而同時仍利用此處所述之技術。
應注意,一或更多沉積製程可用以形成在此所述的材料層。例如,可使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、及/或其他沉積製程來實施一或更多沉積。對於電漿沉積製程,可在各種壓力、功率、流量、及溫度條件下使用一前驅物氣體混合物,其中該前驅物氣體混合物包括但不限於碳氫化合物、氟碳化合物、或含氮碳氫化合物與一或更多稀釋氣體(例如,氬氣、氮氣等)的組合。可使用光學微影、極紫外線(EUV)微影、及/或其他微影製程對光阻(PR)層實施微影製程。可使用電漿蝕刻製程、放電蝕刻製程、及/或其他期望的蝕刻製程來實施蝕刻製程。例如,可使用含氟碳化合物、氧、氮、氫、氬、及/或其他氣體的電漿來實施電漿蝕刻製程。此外,可控制製程步驟的操作變數,以確保在通孔形成期間達到通孔的臨界尺寸(CD)目標參數。該等操作變數可包括例如腔室溫度、腔室壓力、氣體流速、在產生電漿時施加到電極組件的頻率與/或功率、及/或其他用於處理步驟的操作變數。當仍利用在此所述的技術時,亦可實施變化。
應注意,本說明書對「一實施例」或「實施例」的參照意指涉及該實施例所描述之一特定的特徵、結構、材料、或特性被包括在本發明的至少一實施例中,但不表示其存在於每一實施例中。因此,在本說明書各處之用語「在一實施例中」或「在實施例中」的出現不必然是意指本發明的相同實施例。又,可在一或更多實施例中以任何適當的方式來組合特定特徵、結構、材料、或特性。在其他實施例中,可包括各種額外的層及/或結構,可省略所描述的特徵。
在此使用的「微電子工件」大致上意指根據本發明所處理的物件。微電子工件可包括一元件(尤其是半導體或其他電子元件)的任何材料部分或結構,並且可為例如一基底基板結構(諸如一半導體基板,或一基底基板結構之上或上方的一層(諸如一薄膜))。因此,工件不應被限制在任何特定的基底結構、下伏層或上覆層,無論其被圖案化或未被圖案化,而是應被解讀成包括任何這樣的層或基底結構及層與/或基底結構的任何組合。以下的敘述是參照特定類型的基板,但這僅是為了說明目的且不會構成限制。
在此使用的用語「基板」意指且包括一基底材料或構造,其中材料被形成在該基底材料或構造。可瞭解的是基板可包括單一材料、複數不同材料的層、具有不同材料或不同構造在其中的一層或多個層、或上述組合。例如,基板可為一半導體基板、位於一支撐結構上的一基底半導體層、一金屬電極、或具有一或更多層、結構或區域被形成在其上的一半導體基板。基板可為傳統的矽基板,或其他包含半導體材料層的塊體基板。如在此所使用,用語「塊體基板」意指且包括不僅矽晶圓,亦包括矽覆絕緣物(「SOI」)基板,諸如矽覆藍寶石(「SOS」)基板、及矽覆玻璃(「SOG」)基板,位於基底半導體基礎上的磊晶矽層,及其他半導體或光電材料,諸如矽-鍺、鍺、砷化鎵、氮化鎵、及磷化銦。基板可被摻雜或未被摻雜。
用以處理微電子工件的系統與方法被描述在各種實施例中。熟悉此相關技藝的人士可瞭解的是各種實施例能在不具有一或更多特定細節下或在具有其他替代與/或額外方法、材料或部件下來實施。在其他情況中,已知的結構、材料、或操作並未詳細地被顯示或描述,以為了避免模糊化本發明之各種實施例的態樣。相似地,為了說明目的,公開了特定數量、材料及組態,以為了提供本發明的完整瞭解。儘管如此,本發明可在不具有特定細節下來實施。又,可瞭解的是圖上顯示的各種實施例是示例性表示,並且不必然按比例繪製。
所描述的系統與方法之進一步變更和替代實施例對於熟悉此技藝之人士在參照本敘述是顯見的。因此,可瞭解的是所描述的系統與方法不會受到這些示例性配置所限制。可瞭解的是在此所示的系統與方法之形式是用來作為示例性實施例。可在實施方式中進行各種變化。因此,儘管在此是參照特定實施例來描述本發明,可在不脫離本發明的範疇下進行各種變更與變化。因此,說明書與圖式應被視為示例性的,而不是限制性的,並且這樣的變更應被包括在本發明的範疇內。又,任何在此所述關於特定實施例的好處、優點、或解決方式不應被解讀成申請專利範圍中任一或全部請求項之重要的、需要的、或必要的特徵或元件。
100:例示實施例 100:例示實施例 102:下伏層 104:硬遮罩層 106:保護層 108:抗反射塗佈(ARC)層 110:圖案化層 120:例示實施例 200:例示實施例 202:間隙 210:例示實施例 212:材料橋 302:下伏層 304:硬遮罩層 306:保護層 308:抗反射塗佈(ARC)層 310:圖案化層 312:塗層 320:例示實施例 330:例示實施例 340:例示實施例 350:例示實施例 352:側壁 360:例示實施例 400:例示實施例 402:材料橋 420:例示實施例 500:程序流程圖 502:方塊 504:方塊 506:方塊 508:方塊 510:方塊
透過結合附圖而參照以下說明,可獲得本發明及其優點之更完整理解,在附圖中相似的參考符號表示相似的特徵。然而,應注意,附圖僅顯示所揭示之概念的例示性實施例,且因此並非視為對範圍的限制,所揭示之概念可允許其他的等效實施例。
圖1A-1B(先前技術)提供習知EUV圖案化處理之例示實施例,其中缺陷和線粗糙度係因習知EUV圖案化而導致的問題。
圖2A-2B(先前技術)提供經常相關於使用圖1A-1B(先前技術)之EUV圖案化處理形成之線/間隔圖案而發生的斷線缺陷及微橋缺陷的例示實施例。
圖3A-3F提供減少或消除缺陷(例如在習知EUV圖案化處理中出現的微橋缺陷)並進一步允許應用改良的線平滑化處理之逆EUV圖案化處理的例示實施例。
圖4A-4B所提供的例示實施例中顯示藉由所揭示之實施例的逆EUV圖案化以減少或消除因習知EUV圖案化而產生的微橋缺陷。
圖5為如本文所述地將逆EUV圖案化應用於微電子工件之例示實施例的程序流程圖。
500:程序流程圖
502:方塊
504:方塊
506:方塊
508:方塊
510:方塊

Claims (20)

  1. 一種用於微電子工件之極紫外光處理的方法,包含: 利用極紫外光(EUV)圖案化處理在一多層結構上形成一圖案化層; 在該圖案化層上形成一塗層,該塗層為與該圖案化層不同的材料; 去除該塗層的一部分以使該圖案化層暴露,其中該塗層的剩餘部分相對於該圖案化層之圖案而具有一逆圖案; 執行一或更多蝕刻處理以去除該圖案化層並以該逆圖案的形式留下該塗層;以及 將該逆圖案轉移至該多層結構內的至少一個下伏層。
  2. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該EUV圖案化處理包含形成EUV光阻層並利用EUV光使該EUV光阻層曝光於一圖案。
  3. 如請求項2之用於微電子工件之極紫外光處理的方法,其中該EUV光阻層包含化學增幅光阻(CAR)材料或非CAR材料。
  4. 如請求項2之用於微電子工件之極紫外光處理的方法,其中該EUV光具有10至15奈米的波長。
  5. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該塗層包含SiOx、SiN、SiC、非晶矽、金屬氧化物、或金屬氮化物之其中至少一者。
  6. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該塗層包含與該多層結構之頂層相同的材料。
  7. 如請求項6之用於微電子工件之極紫外光處理的方法,其中該塗層及該頂層包含抗反射塗層(ARC)材料。
  8. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該去除步驟包含執行回蝕處理或平坦化處理之其中至少一者。
  9. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該去除步驟使得該塗層之該剩餘部分的高度等於或低於該圖案化層的高度。
  10. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該圖案及該逆圖案包含線及間隔。
  11. 如請求項10之用於微電子工件之極紫外光處理的方法,其中該塗層將形成於該圖案化層之該圖案中之相鄰的線之間的一或更多橋部覆蓋。
  12. 如請求項11之用於微電子工件之極紫外光處理的方法,其中,在該圖案化層被去除之後,該等橋部仍保持被該塗層所覆蓋。
  13. 如請求項10之用於微電子工件之極紫外光處理的方法,更包含:在該圖案化層被去除之後,執行一或更多線平滑化處理。
  14. 如請求項13之用於微電子工件之極紫外光處理的方法,其中目標水平的線粗糙度係利用該一或更多線平滑化處理而實現。
  15. 如請求項13之用於微電子工件之極紫外光處理的方法,其中該一或更多線平滑化處理包含一或更多電漿蝕刻處理、濕式蝕刻處理、沉積處理、或以上處理之組合。
  16. 如請求項1之用於微電子工件之極紫外光處理的方法,其中用以去除該圖案化層的該一或更多蝕刻處理包含一或更多電漿蝕刻處理。
  17. 如請求項1之用於微電子工件之極紫外光處理的方法,其中形成該塗層之步驟包含一或更多旋塗式塗佈處理、化學氣相沉積(CVD)處理、電漿沉積處理、或其他沉積處理。
  18. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該多層結構包含形成於該微電子工件之基板上方的硬遮罩層。
  19. 如請求項18之用於微電子工件之極紫外光處理的方法,其中該逆圖案被轉移至該硬遮罩層。
  20. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該多層結構包含形成於該微電子工件之基板上方的抗反射塗佈(ARC)層、保護層、及硬遮罩層。
TW110109094A 2020-03-19 2021-03-15 在微電子工件的處理中euv逆圖案化的方法 TW202201484A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/824,346 2020-03-19
US16/824,346 US11557479B2 (en) 2020-03-19 2020-03-19 Methods for EUV inverse patterning in processing of microelectronic workpieces

Publications (1)

Publication Number Publication Date
TW202201484A true TW202201484A (zh) 2022-01-01

Family

ID=77748447

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110109094A TW202201484A (zh) 2020-03-19 2021-03-15 在微電子工件的處理中euv逆圖案化的方法

Country Status (4)

Country Link
US (1) US11557479B2 (zh)
KR (1) KR20220156881A (zh)
TW (1) TW202201484A (zh)
WO (1) WO2021188181A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109983564A (zh) * 2016-11-16 2019-07-05 东京毅力科创株式会社 亚分辨率衬底图案化的方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020155389A1 (en) 2000-10-24 2002-10-24 Bharath Rangarajan Inverse resist coating process
JP4347110B2 (ja) 2003-10-22 2009-10-21 東京応化工業株式会社 電子線又はeuv用ポジ型レジスト組成物
US7371509B2 (en) 2004-05-07 2008-05-13 Micron Technology, Inc. Resist pattern and reflow technology
US8084186B2 (en) 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
US8329585B2 (en) 2009-11-17 2012-12-11 Lam Research Corporation Method for reducing line width roughness with plasma pre-etch treatment on photoresist
US9128384B2 (en) 2012-11-09 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a pattern
JP2017521715A (ja) 2014-07-08 2017-08-03 東京エレクトロン株式会社 ネガティブトーン現像剤相溶性フォトレジスト組成物及び使用方法
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109983564A (zh) * 2016-11-16 2019-07-05 东京毅力科创株式会社 亚分辨率衬底图案化的方法
CN109983564B (zh) * 2016-11-16 2023-05-02 东京毅力科创株式会社 亚分辨率衬底图案化的方法

Also Published As

Publication number Publication date
KR20220156881A (ko) 2022-11-28
US11557479B2 (en) 2023-01-17
WO2021188181A1 (en) 2021-09-23
US20210296125A1 (en) 2021-09-23

Similar Documents

Publication Publication Date Title
KR100870616B1 (ko) 트랜치 절연 영역 형성 방법
US7838432B2 (en) Etch process with controlled critical dimension shrink
TWI610364B (zh) 圖案化低k介電膜的方法
TWI784176B (zh) 用以增進極紫外光(euv)蝕刻抗性的保護性覆蓋物之選擇性原子層沉積(ald)
US20090068838A1 (en) Method for forming micropatterns in semiconductor device
JP2006013190A (ja) 半導体装置の製造方法
US11094543B1 (en) Defect correction on metal resists
US20150325441A1 (en) Semiconductor fabrication method
JP2007300125A (ja) 半導体素子の微細パターンの形成方法
TWI784183B (zh) 用於貫孔輪廓控制及相關應用的原子層沉積(ald)襯墊
JP4522892B2 (ja) 微細パターン形成方法
TW202201484A (zh) 在微電子工件的處理中euv逆圖案化的方法
CN108573865B (zh) 半导体器件及其形成方法
JP2020096184A (ja) 自己整合マルチパターニングにおいてスペーサプロファイルを再整形する方法
KR100727439B1 (ko) 금속 배선 형성 방법
TW201539576A (zh) 在蝕刻製程期間薄硬遮罩的原位維護之系統及方法
US10937659B2 (en) Method of anisotropically etching adjacent lines with multi-color selectivity
CN101169600A (zh) 半导体制造中去除钛或氮化钛层上的光刻胶的方法
US11615958B2 (en) Methods to reduce microbridge defects in EUV patterning for microelectronic workpieces
TWI851705B (zh) 以多色選擇性非等向性蝕刻相鄰線的方法
JPH05109702A (ja) 半導体装置の製造方法
US20220319838A1 (en) Method of Line Roughness Reduction and Self-Aligned Multi-Patterning Formation Using Tone Inversion
CN116995032A (zh) 半导体结构的形成方法
KR20080085287A (ko) 패턴 형성을 위한 반도체 구조 및 이를 이용한 패턴 형성방법
KR20090016935A (ko) 반도체 소자의 패턴 제조 방법