TWI610364B - 圖案化低k介電膜的方法 - Google Patents

圖案化低k介電膜的方法 Download PDF

Info

Publication number
TWI610364B
TWI610364B TW103102734A TW103102734A TWI610364B TW I610364 B TWI610364 B TW I610364B TW 103102734 A TW103102734 A TW 103102734A TW 103102734 A TW103102734 A TW 103102734A TW I610364 B TWI610364 B TW I610364B
Authority
TW
Taiwan
Prior art keywords
layer
low
metal nitride
dielectric layer
dielectric
Prior art date
Application number
TW103102734A
Other languages
English (en)
Other versions
TW201440144A (zh
Inventor
高嘉玲
康席恩S
奈馬尼史林尼法斯D
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201440144A publication Critical patent/TW201440144A/zh
Application granted granted Critical
Publication of TWI610364B publication Critical patent/TWI610364B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Abstract

本文說明了圖案化低k介電膜的方法。在一實例中,在一具體實施例中,一種圖案化低k介電膜的方法包括形成及圖案化在一低k介電層上方之一金屬氮化物遮罩層。該低k介電層係配置在一基板上方。該方法也包括利用以O2/N2/SixFy為基礎之一電漿進行處理,藉以鈍化該金屬氮化物遮罩層。該方法也包括蝕刻該低k介電層的一部分。

Description

圖案化低k介電膜的方法 【相關申請案之相互參照】
本申請案主張2013年1月29日申請之美國臨時申請案第61/757,826的優先權,該份文件的整體內容係藉由引用形式而併入本文中。
本發明之具體實施例是關於半導體製程領域,且特別是關於圖案化低k介電膜的方法。
在半導體製造中,低k介電質是一種具有比二氧化矽小之介電常數的材料。低k介電材料的實施是用以持續減小微電子裝置的幾種策略之一。在數位電路中,絕緣的介電質使傳導部件(例如線路互連與電晶體)彼此分隔。由於構件已經減小且電晶體已經移動為更靠近在一起,因此絕緣的介電質係已薄化至電荷會聚集且串擾會對裝置性能有不良影響的程度。以相同厚度的低k介電質來取代二氧化矽係降低了寄生電容,允許更快的切換速度並減少散熱。
然而,在低k介電質製程技術的演進中需要更顯著 的改良。
一或多個具體實施例係關於圖案化低k介電膜的方法。
在一具體實施例中,一種圖案化低k介電膜的方法包括形成及圖案化在一低k介電層上方的一金屬氮化物遮罩層。該低k介電層係配置在一基板上方。該方法也包括利用以O2/N2/SixFy為基礎之一電漿進行處理,藉以鈍化該金屬淡化物遮罩層。該方法也包括蝕刻該低k介電層的一部分。
在另一具體實施例中,一種圖案化一低k介電膜的方法包括形成及圖案化在一低k介電層上方的一金屬氮化物遮罩層,該低k介電層是配置在一基板上方。圖案化該金屬氮化物層包括在約為40毫托耳(mTorr)之一壓力、約為200W之一電源(Ws)、以CF4、C4F8、N2和Ar為基礎之化學組成、噴淋頭至晶圓之間隙約為1.6mm下進行電漿蝕刻達歷時約30秒。該方法也包括藉由進行一電漿製程,鈍化該金屬氮化物遮罩層,其中該電漿製程是在約20mTorr之壓力、約150W之電源(Ws)、以SiF4、N2、O2與Ar為基礎之化學組成、噴淋頭至晶圓之間隙約為1.25mm下進行達歷時約220秒。該方法也包括蝕刻該低k介電層的一部分,所述蝕刻包括在約40mTorr之壓力、約200W之電源(Ws)、以SiF4、C4F8、N2與Ar為基礎之化學組成、噴淋頭至晶圓之間隙約為1.6mm下進行電漿蝕刻達歷時約85秒。
在另一具體實施例中,一種圖案化一低k介電膜的 方法包括形成及圖案化在一低k介電層上方的一金屬氮化物遮罩層,該低k介電層是配置在一基板上方。該方法也包括利用以O2/N2/SixFy為基礎之一電漿進行處理,藉以鈍化該金屬氮化物遮罩層。該方法也包括蝕刻該低k介電層的一部分。 該方法也包括重複鈍化與蝕刻,以於該低k介電層中形成具有側壁之溝槽。該方法也包括:在所述重複鈍化與蝕刻以於該低k介電層中形成具有側壁之溝槽之後,利用以O2/N2/SixFy為基礎之一電漿進行處理,藉以鈍化該金屬氮化物遮罩層以及形成於該低k介電層中的該等溝槽的側壁,其中所述鈍化包括在該金屬氮化物層上以及在形成於該低k介電層中的該等溝槽的側壁上形成一保護材料。該方法也包括進行蝕刻以使該等溝槽延伸於該低k介電層中。
200‧‧‧流程圖
202‧‧‧步驟
204‧‧‧步驟
206‧‧‧步驟
302‧‧‧基板
304‧‧‧低k介電層
304B‧‧‧未改質部分
306‧‧‧金屬氮化物遮罩層
307‧‧‧電漿
308‧‧‧改質部分
310‧‧‧溝槽
310’‧‧‧溝槽
350‧‧‧材料堆疊
352‧‧‧基板
354‧‧‧低k材料
356‧‧‧遮罩堆疊
358‧‧‧襯層
360‧‧‧區域
399‧‧‧保護層
502‧‧‧氮化鈦硬遮罩
504‧‧‧超低k材料層
506‧‧‧矽晶圓
508‧‧‧摻碳氧化物硬遮罩層
510‧‧‧中間氧化物層
512‧‧‧蝕刻終止層
514‧‧‧二氧化矽玻璃層
600‧‧‧系統
602‧‧‧腔室
604‧‧‧樣本支架
606‧‧‧抽真空裝置
608‧‧‧進氣裝置
610‧‧‧電漿點燃裝置
612‧‧‧計算裝置
614‧‧‧電壓來源
616‧‧‧偵測器
800‧‧‧電腦系統
802‧‧‧處理器
804‧‧‧主要記憶體
806‧‧‧靜態記憶體
808‧‧‧網路介面裝置
810‧‧‧影音顯示單元
812‧‧‧字母數字輸入裝置
814‧‧‧游標控制裝置
816‧‧‧訊號產生裝置
818‧‧‧輔助記憶體
820‧‧‧網路
822‧‧‧軟體
826‧‧‧處理邏輯
830‧‧‧匯流排
831‧‧‧機器可存取之儲存媒介
第1圖說明了一低k介電層在用以移除一傳統之以氟碳為基礎的蝕刻製程中所形成的聚合物的傳統氧化電漿條件下受到破壞或影響之機制。
第2圖是一流程圖,其表示在根據本發明一具體實施例之圖案化低k介電膜的方法中的操作。
第3A圖至第3F圖係對應於第2圖之流程圖說明根據本發明一具體實施例之圖案化低k介電膜的方法中的各個操作。
第4A圖與第4B圖係說明了表示根據本發明一具體實施例之在兩次操作中進行的低k改質之截面圖。
第5圖係說明根據本發明一具體實施例之一例示材 料堆疊的截面圖,該例示材料堆疊包括低k介電層與一金屬氮化物硬遮罩層。
第6A圖說明一種系統,在該系統中係執行根據本發明一具體實施例之圖案化低k介電膜的方法。
第6B圖說明根據本發明一具體實施例之第6A圖之腔室的可能配置示意圖。
第7圖係根據本發明一具體實施例說明在氮化鈦(TiN)蝕刻前、TiN蝕刻後之蝕刻輪廓、以及所產生偏差之一系列厚度圖。
第8圖說明了根據本發明一具體實施例之一例示電腦系統的方塊圖。
現說明圖案化低k介電膜的方法。在下述說明中,提出各種具體細節,例如用於改質部分低k介電膜之特定電漿處理與效果,以提供對本發明具體實施例之一通盤了解。熟習該領域技術之人士將可明顯理解,亦可在不含這些具體細節下實施本發明之具體實施例。在其他實例中,則不再詳細描述習知構想(例如用於遮罩成形之光微影圖案化與顯影技術),以避免不必要地混淆了本發明之具體實施例。此外,應理解在圖式中所繪示之各種具體實施例係僅為例示呈現,而不需要依比例繪製。
本發明的一或多個具體實施例係與用於圖案化多孔超低k材料的乾式或電漿蝕刻方式有關。在一具體實施例中,乾式或電漿蝕刻是在有金屬氮化物硬遮罩(例如氮化鈦(TiN) 硬遮罩)的存在下進行,幾乎沒有金屬氮化物硬遮罩層的腐蝕。具體實施例係包括一或多個下列特徵:無碳電漿蝕刻、在低k膜蝕刻期間可忽略至不計的低k破壞、以及在低k圖案化期間金屬氮化物硬遮罩沒有腐蝕。
為提供前後文,使用銅(Cu)金屬化與多孔低k介 電材料之互連製程以確保一積體電路的半導體元件之高性能。銅/多孔摻碳氧化物(SiCOH)低k互連之一個主要的一貫性問題為因介電質蝕刻所致之超低k(ULK)材料的電漿致生改質。在傳統的低k蝕刻製程中,一般係使用碳氟化物製程氣體(例如CF4、CxHyFz)。通常需要一灰化步驟與接續的濕式清潔製程來移除含碳的側壁聚合物。其結果是,會發生電漿灰化致生之低k破壞。
相較之下,為解決上述問題,本文中所述之一或多 個具體實施例係包括了使用無碳方式來選擇性地移除具有可忽略至不計之k值偏差量的ULK膜。取代以C-F為基礎之化學組成,一種使用一或多種矽氟化物製程氣體(例如SiF4、SixFy)結合O2與N2兩者之新穎方式係被執行作為低k圖案化(例如蝕刻)製程的一部分。使用由O2/N2/SixFy所形成的電漿能夠形成SiOFx聚合物以於蝕刻期間保護ULK膜的暴露側壁。可藉由調整一或多個參數來最佳化蝕刻條件,例如、但不限於SiF4/O2與SiF4/N2比例、RF偏壓及電源、氬氣流量、腔室壓力、靜電吸盤(ESC)的溫度等等。在一個這類具體實施例中,相較於無O2、以氮為基礎之電漿處理,使用相對小量的O2來形成電漿可進行輪廓控制與鈍化控制。在介電質蝕 刻之後,可使用一下游siconi(預清)製程來移除含矽的側壁聚合物。利用無碳蝕刻製程,即可在無需灰化及/或蝕刻後處理下進行處理。
如上所述,多孔的低k介電材料(例如多孔SiCOH) 一般對於電漿蝕刻是敏感的。問題通常發生於在蝕刻製程中對一超低k(ULK)膜中Si-CH3網路所產生的周圍破壞。這類破壞會影響ULK膜的機械完整性。此外,在以氟碳為基礎(以CxFy為基礎)之蝕刻製程期間所形成的聚合物可能會產生一「F記憶效應」,也因高孔隙度而產生敏感性,其會使蝕刻化學組成擴散深入至低k介電膜中。
因此,本發明的一或多個具體實施例是針對低k介 電膜(例如ULK膜)的無氟碳蝕刻。在一具體實施例中,本文之一或多個方法係用以提供一種在例如一蝕刻圖案化製程中對低k介電膜有較少破壞或有害影響的圖案化方式。在一個這類具體實施例中,本文所述方式係特別可用於摻碳氧化物薄膜。傳統的以氟碳化學組成為基礎之ULK蝕刻製程必然會在ULK表面上沉積CF聚合物。後續係以一氧化性的蝕刻後處理(PET)電漿來移除該聚合物。然而,該PET電漿會氧化含碳的ULK介電質。氧化之含碳的ULK介電質可承受不需要的水份吸收,導致ULK介電質的介電常數(k值)增加。根據本發明之一具體實施例,超低k介電質的無氟碳蝕刻係說明如下。
基於比較目的,第1圖說明了在用以移除於傳統上 以氟碳為基礎之蝕刻製程中所形成聚合物之傳統氧化性電漿 條件下低k介電層會被破壞或受影響的機制。參閱第1圖的機制(a),當進行氧化性灰化時,三氧化矽-甲基(SiO3-methyl)片段(其會產生約40%的具有介電常數約為2.5之SiCOH低k膜)會非所欲地損失其甲基基團為氫氧化物基團。參閱第1圖之機制(b),當進行氧化性灰化時,SiO2-(CH2)2片段(其會產生約10%的具有介電常數約為2.5之SiCOH低k膜)則會非所欲地轉化為SiO2-(COH)2片段。參閱第1圖之機制(c),當進行一還原性灰化時,三氧化矽-甲基(SiO3-methyl)片段(其會產生約40%的具有介電常數約為2.5之SiCOH低k膜)會非所欲地損失其甲基基團為氫化物配位基(H)。
在一具體實施例中,係使用一無氟碳蝕刻來圖案化 一低k介電膜。因此,不形成需進行灰化製程加以去除的CF聚合物。在一個這類具體實施例中,以氧(O2)為基礎、結合氮(N2)與SixFy(例如SiF4)之電漿係用以於圖案化製程期間所形成的一側壁上形成Si-O沉積。在蝕刻序列中的下述階段或操作係包括使用遠端電漿或低能量電漿,以產生可選擇性移除例如低k材料膜之物種。一個實例是,使用NF3/NH3遠端電漿來形成NH4F,其與側壁沉積物反應以形成一矽酸鹽物種。矽酸鹽物種在高溫下昇華。在一個這類具體實施例中,上述製程對於低k材料係呈高度選擇性,低k材料在該製程中並不被蝕刻。在另一具體實施例中,使用O2/N2/NF3或NO/NF3之遠端電漿製程係用以蝕刻去除Si-N型之側壁沉積物。該製程係調整為對低k膜呈高度選擇性。蝕刻製程的沉積與移除態樣係可重複多次,以進行輪廓控制。
在本發明的一態樣中,低k介電膜的圖案化包括以 O2/N2/SixFy電漿進行處理,藉以鈍化一金屬氮化物遮罩層,以及也可能在相同處理期間於低k材料的暴露側壁上形成一鈍化膜。舉例而言,第2圖是一流程圖200,其表示在根據本發明一具體實施例之圖案化一低k介電膜的方法中的操作。 第3A圖至第3F圖說明了表示根據本發明一具體實施例之圖案化一低k介電膜的方法中的操作之截面圖。
參閱流程圖200的操作202與對應的第3A圖和第 3B圖,一種圖案化一低k介電膜的方法包括在一低k介電層304上方形成(第3A圖)與圖案化(第3B圖)一金屬氮化物遮罩層306,該低k介電層304係配置在一基板302上方。 在一具體實施例中,金屬氮化物遮罩層306係直接形成於低k介電層304上。在一具體實施例中,該金屬氮化物遮罩層306是一氮化鈦層或一氮化鉭層。
在一具體實施例中,該低k介電層304具有小於二 氧化矽之介電常數,例如低於約3.9。在一具體實施例中,低k介電層304為例如、但不限於摻氟二氧化矽、摻碳二氧化矽、多孔的二氧化矽、多孔的摻碳二氧化矽、多孔的SiLK、旋塗矽膠聚合性介電質或旋塗有機性聚合性介電質等之材料。根據本發明之一具體實施例,低k介電層304係一多孔的SiCOH層,其具有低於2.7之介電常數。
基板302係由適合承受製造製程且在上方可適當地留有半導體處理層的材料所組成。根據本發明一具體實施例,基板302是由第IV族材料所組成,例如、但不限於:結 晶矽、鍺及/或矽/鍺。在一特定具體實施例中,提供基板302包括提供一單晶矽基板。在一特定具體實施例中,單晶矽基板係摻有雜質原子。在另一具體實施例中,基板302是由一III-V族材料所組成。在一具體實施例中,有複數個半導體元件位於基板302上、低k介電層304下方。
參閱流程圖200的操作204以及對應的第3C圖,圖 案化該低k介電膜也包括以O2/N2/SixFy電漿之電漿307進行處理,藉以鈍化該金屬氮化物遮罩層306,例如其中SixFy為SiF4。舉例而言,在一具體實施例中,係形成一保護層399。 保護層399可為一沉積材料、可藉由改質一金屬氮化物遮罩306表面而形成、或可為前述兩者之組合。在一特定具體實施例中,金屬氮化物遮罩306係一氮化鈦遮罩,而保護層399係至少有些由氧化鈦(藉由電漿307中所含O2之氧化而形成)所形成。在一具體實施例中,以O2/N2/SixFy電漿進行處理係進一步包括在該低k介電層304的一部分(即要在蝕刻中加以保護的部分)上形成一保護層,如下文中更詳細所討論者。
再次參閱第3C圖,在一具體實施例中,係使用電漿 307、或是一第二電漿處理來改質該低k介電層304的暴露部分。低k介電層304的暴露部分為藉由圖案化遮罩306所暴露的那些部分。電漿係用以改質暴露部分,以提供低k介電層304的改質部分308與未改質部分304B。因此,在一具體實施例中,保護層的沉積和低k膜的改質係在同一或連續製程中進行。
在一具體實施例中,一鈍化電漿製程係包括一 SiF4/N2/O2/Ar電漿。在一個這類具體實施例中,係以一SiON或SiONH層保護低k側壁。在一特定具體實施例中,電漿中的Ar係用以僅僅轟擊溝槽的底部部分、選擇性地且非等向性地改質該低k材料。在一具體實施例中,除SiF4以外、或取而代之,可使用例如Si2F6之物種。
如上述簡要說明,在另一具體實施例中,係以兩次 操作製程方式來進行電漿製程307、或第一階段製程。在單一操作方式中,保護層沉積和低k膜處理是在同時進行(如第3C圖所述);而在兩次操作方式中,保護層沉積和低k膜處理是在兩次不同操作中進行,如以下關於第4A圖與第4B圖中所說明者。
第4A圖和第4B圖係根據本發明一具體實施例說明 了表示以兩次操作進行之低k改質的截面圖,例如恰如上述之兩次操作之第一階段電漿之具體實施例。參閱第4A圖,包括一基板352、低k材料354、以及遮罩堆疊356(包括一金屬氮化物層)之一材料堆疊350係具有沉積在上方之一襯層358(例如藉由SiF4/O2/N2沉積)。然後參閱第4B圖,利用超低k處理(例如使用He、N2或Ar離子)透過低k材料354的襯層358部分來進行改質(形成區域360)。
參閱流程圖200的操作206及對應的第3D圖,圖 案化低k介電膜也包括蝕刻該低k材料,例如藉由移除低k介電層304B的改質部分308。在一具體實施例中,所述移除對於金屬氮化物遮罩層306以及對於低k介電層304的未改質部分304B係呈選擇性。
因此,根據本發明之一具體實施例,低k介電膜的 蝕刻係藉由低k介電層的部分膜轉化而達成。在一具體實施例中,所述蝕刻係稱之為原子層蝕刻或分子級蝕刻(MLE),這是因為在每次製程週期中,僅有一層或少數層之低k介電膜暴露部分會轉化並接著被移除。如上述例示,在一具體實施例中,蝕刻製程包括以一第一電漿來第一次選擇性地改質一水平ULK表面的組成,然後以一第二、遠端電漿來移除改質部分。在一具體實施例中,本文所述方式係代表自一低k介電膜除去甲基(methyl knock-off)所進行之真實薄膜轉換。
相較之下,傳統的化學蝕刻一般係包括在ULK表面 上沉積聚合物,這些ULK表面係以一氧化PET操作加以移除。利用以水溶液為基礎之清潔來移除受破壞的ULK,其會導致線路彎曲及水分被吸收至ULK中。取而代之,在本文之一具體實施例中,可藉由完全避免CF蝕刻化學組成來達成本質上無破壞之ULK材料蝕刻。一惰性電漿係被用以移除ULK表面上的碳。接著使用一下游電漿來移除ULK的改質部分。 該下游電漿蝕刻係因其純化學蝕刻的本質而對ULK呈高度選擇性。
上述關於流程圖200的操作204與206所述之方法 係可依需要而重複進行,以達到適當程度的低k介電層304之圖案化。舉例而言,再次參閱第3D圖,溝槽310係形成於該低k介電層304中,留下部分圖案化且未改質之低k介電層304B。溝槽310的深度並不足以適當圖案化該低k介電層304,特別是由於上述改質和移除製程係僅一次移除一層或數 層分子層。
因次,在一具體實施例中,該低k介電層304係受 到多次改質與其暴露部分的移除製程,直到達到適合後續處理需求的溝槽310之深度為止。在一個這類具體實施例中,改質與移除係重複進行,直到在低k介電層304中形成部分溝槽、但未完全貫穿為止。在另一個這類具體實施例中,改質與移除係重複進行,直到形成了完全的溝槽而整體貫穿該低k介電層304為止。
作為一循環製程的實例,第3E圖與第3F圖說明了 一個具體實施例,其中(結合於第3A圖至第3D圖)係進行總共兩次循環來圖案化一低k介電層。需理解可能會需要進行比兩次改質與移除循環更多出許多次之循環來適當地圖案化一低k介電膜。
參閱第3E圖,以一第二改質電漿製程來改質及蝕刻 該低k介電層304B的暴露部分(例如溝槽310的暴露表面),其中該第二改質電漿製程包括在一相同操作中之第一與第二遠端電漿製程。該低k介電層304B的暴露部分即由圖案化遮罩306所暴露的那些部分、以及該低k介電層304B的暴露側壁。第3E圖所示之第二次重複的改質與蝕刻循環會形成較深的溝槽310’,以及因而形成之一圖案化低k膜304C。
參閱第3F圖,一但達到溝槽310’之所需深度(其可 能涉及上述改質與移除製程的各種循環),即可移除該金屬氮化物遮罩306。然而,在一具體實施例中,必須注意在金屬氮化物遮罩306的移除時,係使得該移除對圖案化之低k介 電層304C呈選擇性,且不會不良地影響該圖案化之低k介電層304C(例如提高介電常數)。
整體而言,在一具體實施例中,係使用一非碳基方 式來選擇性地移除部分的低k膜,而無k值偏移。在一具體實施例中,係使用一連續製程,首先,使用一O2/N2/SixFy基電漿在側壁保護下進行蝕刻,其次,使用一高度選擇性的自由基之移除。優點包括、但不限於:無碳製程的使用(不需要必須之灰化或蝕刻後處理)、可能不需要濕式清潔、使用低離子能量而使金屬硬遮罩腐蝕達最低、以及自限制處理與移除操作而產生良好的深度與均勻性控制。
在一具體實施例中,係於一電漿蝕刻腔室中進行一 或多個上述(或下述)製程。舉例而言,在一具體實施例中,係於美國加州桑尼維亞應用材料公司所供應之Applied Centura® Enabler介電質蝕刻系統中進行一或多個上述製程。在另一具體實施例中,係於同樣由美國加州桑尼維亞應用材料公司所供應之Applied MaterialsTM AdvantEdge G3蝕刻器中進行一或多個上述製程。
第5圖說明了根據本發明一具體實施例之一例示材 料堆疊的截面圖,該材料堆疊包括一低k介電層與一金屬氮化物硬遮罩層。參閱第5圖,該金屬堆疊包括大約為15奈米之氮化鈦(TiN)硬遮罩502與大約為170奈米之超低k材料層(例如黑鑽石(BD)III:k=2.55)504,其中該超低k材料層係配置在一矽晶圓506上方。其他層包括像是硬遮罩層之中間層,例如一摻碳氧化物硬遮罩(OMHM)層(例如約 10奈米)508。此外,一中間氧化物層(例如約為30奈米之TEOS蓋層)510係可包含於氮化鈦(TiN)硬遮罩502和超低k材料層504之間,而一蝕刻終止層(BLoK)512係可包含於該超低k材料層504下方,同樣如第5圖中所示。要理解所示之特定膜層堆疊係僅為本文所述之低k蝕刻處理之許多排列中的其中一種,且其係僅為說明目的之用。也應理解一完整膜層堆疊也可包括下方的前段製程(FEOL)元件層(例如電晶體層)以及下方的後段製程(BEOL)金屬層。此外,在一樣本僅為蝕刻製程開發之一測試媒介的情況中,為求製程設計與成本之簡化,也可包含像是二氧化矽玻璃(SiO2,例如300奈米)層514之基本中間層。
雖然材料層的主體係如上說明且繪示於第5圖中, 但在涉及低k溝槽蝕刻製程流程之製程中一般將包含的某些關鍵層可包括一金屬硬遮罩層與一低k介電層。在一個這類具體實施例中,該金屬硬遮罩層係一含有金屬的層體,例如、但不限於一氮化鈦層或一氮化鉭層。
低k介電層的圖案化係在適合在一樣本附近提供一 蝕刻電漿以進行蝕刻的處理設備中進行。舉例而言,第6A圖說明了根據本發明一具體實施例之一種系統,在該系統中可執行低k介電膜圖案化的方法。
參閱第6A圖,一種用於進行一電漿蝕刻製程之系統600係包括一腔室602,該腔室602係配有一樣本支架604。一抽真空裝置606、一進氣裝置608、以及一電漿點燃裝置610係耦接於腔室602。一計算裝置612係耦接於電漿點燃裝置 610。系統600係另外包括耦接於樣本支架604之一電壓來源614以及耦接於腔室602之一偵測器616。計算裝置612也耦接於抽真空裝置606、進氣裝置608、電壓來源614以及偵測器616,如第6A圖所示。
腔室602與樣本支架604係包括適合包含一離子化 氣體(亦即電漿)以及將一樣本帶至射出之離子化氣體或帶電荷物種附近之一反應腔室與樣本定位裝置。抽真空裝置606可為適合排空與解壓腔室602之一裝置。進氣裝置608係適合將一反應氣體注入腔室602之一裝置。電漿點燃裝置610係適合點燃由進氣裝置608注入腔室602之反應氣體所衍生的電漿之一裝置。偵測裝置616係適合偵測一處理操作終點之一裝置。在一具體實施例中,系統600包括一腔室602、一樣本支架604、一抽真空裝置606、一進氣裝置608、一電漿點燃裝置610以及一偵測器616,即類似於、或相同於在一Applied Centura® Enabler介電質蝕刻系統或一Applied MaterialsTM AdvantEdge G3系統中所包含者。
第6B圖說明了根據本發明一具體實施例之第6A圖 之腔室602之可能配置示意圖。參閱第6B圖、以及第3C圖與第3D圖之相關說明,一腔室602具有一第一階段(電漿階段1,其係包括具偏壓之一原位電源)以執行一第一、非遠端的電漿製程。舉例而言,包含保護層或鈍化層形成以及低k膜改質之一電漿製程是在階段1進行。腔室602也具有一第二階段(電漿階段2)以進行一遠端電漿製程。舉例而言,包含一沉積保護層以及改質低k材料之清潔的一電漿製程係於 階段2進行。腔室602之此一配置係可細微調整自由基/離子比例。此一可調整來源的優點係包括蝕刻無非等向性聚合物處理的控制。也可進行由改質層深度所定義之逐層移除。
在一具體實施例中,使用一金屬氮化物遮罩之低k 圖案化製程係於例如腔室600之腔室中進行。該配方也可包括下述操作1-4中的其中一個、多個或全部。在一個這類具體實施例中,所有的操作1-4係於腔室中以下述所提順序進行、或以單次方式進行。
操作1是一蓋層蝕刻部分,其是在壓力大約為 40mTorr、底部偏壓(Wb)約為300W、電源(Ws)約為200W、以及以CF4(約11sccm)、C4F8(約56sccm)、N2(約15sccm)和Ar(約500sccm)為基礎之化學組成、噴淋頭對晶圓之間隙約為1.6mm、ESC溫度約為65C下進行約30秒。此一操作係可用於蝕刻,例如第3B圖的遮罩層306或第5圖的蓋層(例如TEOS蓋層)。
操作2係一低k蝕刻沉積部分,其是在壓力大約為 20mTorr、底部偏壓(Wb)約為150W、電源(Ws)約為150W、以及以SiF4(約200sccm)、N2(約45sccm)、O2(約1.5sccm)和Ar(約600sccm)為基礎之化學組成、噴淋頭對晶圓之間隙約為1.25mm、ESC溫度約為65C下進行約220秒。此一操作係可用以鈍化一金屬氮化物硬遮罩,以及此外,一低k介電材料的暴露側壁。
操作3是一低k主要蝕刻部分,其是在壓力大約為 40mTorr、底部偏壓(Wb)約為300W、電源(Ws)約為200W、 以及以SiF4(約45sccm)、C4F8(約22sccm)、N2(約15sccm)和Ar(約500sccm)為基礎之化學組成、噴淋頭對晶圓之間隙約為1.6mm、ESC溫度約為65C/71C下進行約85秒。
操作4係一後蝕刻處理,其是在壓力大約為15mTorr、底部偏壓(Wb)約為200W、以N2(約200sccm)和O2(約2.5sccm)為基礎之化學組成、噴淋頭對晶圓之間隙約為3.5mm、ESC溫度約為65C/71C下進行約15秒。
第7圖係根據本發明一具體實施例說明在氮化鈦(TiN)蝕刻前、TiN蝕刻後之蝕刻輪廓、以及所產生偏差之一系列厚度圖像。參閱第7圖,使用用於鈍化一金屬氮化物硬遮罩之蝕刻製程係顯示了對於腐蝕與輪廓之顯著改良,其改良處在於幾無腐蝕。此外,所證實之蝕刻製程係顯示出對於經鈍化或受保護之TiN硬遮罩層具有良好的選擇性。
本發明之具體實施例係可以電腦程式產品或軟體方式提供,該電腦程式產品或軟體係包括一機器可讀取媒介,在該機器可讀取媒介上係儲存有指令,用以編程一電腦系統(或其他電子裝置),以執行根據本發明之製程。一種機器可讀取媒介係包括用於以可由一機器(例如電腦)加以讀取的形式來儲存或傳送資訊的任何機制。舉例而言,一機器可讀取(例如電腦可讀取)媒介係包括一機器(例如電腦)可讀取之儲存媒介(例如:唯讀記憶體(ROM)、隨機存取記憶體(RAM)、磁碟儲存媒介、光學儲存媒介、快閃記憶體裝置等)、一機器(例如電腦)可讀取之傳輸媒介(電氣、光學、聲音或其他形式所傳遞之訊號(例如紅外線訊號、數位訊號等)) 等。
第8圖說明了一電腦系統800之例示形式中的機器圖示表示,在該電腦系統800內係可執行一指令集,以使該機器執行本文所述方法中的任一或多個方法。在替代具體實施例中,該機器係連接(例如網路連接)至在區域網路(LAN)、內部網路、外部網路或網際網路中的其他機器。該機器係在一客戶端-伺服器網路環境中的一伺服器或一客戶端機器的容量下運作,或作為一點對點(或分佈式)網路環境中的一同級機器。該機器係一個人電腦(PC)、一平板電腦、一機上盒(STB)、一個人數位助理(PDA)、一行動電話、一網路應用裝置、一伺服器、一網路路由器、切換器或橋接器、或可執行一指令集(連續或非連續)之任何機器(其中該指令集係指定由該機器所進行之動作)。此外,雖僅說明一單一機器,但用語「機器」也應可包含個別或整合執行一指令集(或多個指令集)以執行本文所述之任一或多個方法之任何機器(例如電腦)組合。在一個具體實施例中,電腦系統800係適合使用作為關於第6A圖中所說明之計算裝置612。
例示的電腦系統800包括一處理器802、一主要記憶體804(例如唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM)(如同步DRAM(SDRAM)、或Rambus(記憶體匯流排)DRAM(RDRAM))等)、一靜態記憶體806(例如快閃記憶體、靜態隨機存取記憶體(SRAM)等)、以及一輔助記憶體818(例如資料儲存裝置),其係經由匯流排830 而彼此連接通訊。
處理器802代表一或多個通用處理裝置,例如一微 處理器、中央處理單元等等。更特定地,處理器802係一複雜指令集計算(CISC)微處理器、簡易指令集計算(RISC)微處理器、長指令字元(VLIW)微處理器、實施其他指令集的處理器、或是實施指令集組合的處理器。處理器802也可為一或多個專用處理裝置,例如專用積體電路(ASIC)、場可編程閘極陣列(FPGA)、數位訊號處理器(DSP)、網路處理器等等。處理器802係配置以執行處理邏輯826,以進行本文所述之操作。
電腦系統800係進一步包括一網路介面裝置808。 該電腦系統800也包括一影音顯示單元810(例如一液晶顯示器(LCD)或一陰極射線管(CRT))、一字母數字輸入裝置812(例如鍵盤)、一游標控制裝置814(例如滑鼠)、以及一訊號產生裝置816(例如揚聲器)。
輔助記憶體818係包括一機器可存取之儲存媒介 (或更具體地為一電腦可讀取之儲存媒介)831,在其上係儲存有可體現本文所述之任一或多個方法或功能之一或多個指令集(例如軟體822)。軟體822在由電腦系統800執行期間也完全或至少部分常駐於主要記憶體804內、及/或處理器802內,主要記憶體804與處理器802亦構成了機器可讀取之儲存媒介。軟體822係進一步經由網路介面裝置808而於網路820上被傳送或接收。
雖然在一例示具體實施例中,該電腦可存取之儲存 媒介831係繪示為一單一媒介,但用語「電腦可讀取之儲存媒介」應被理解為包括可儲存一或多組指令集的一單一媒介或多個媒介(例如一集中式或分佈式資料庫、及/或相關的緩存與伺服器)。用語「電腦可讀取之儲存媒介」也應包括可儲存、編碼或傳載由該機器所執行、且可使該機器執行本發明方法中任一或多者之指令集的任何媒介。因此,用語「電腦可讀取之儲存媒介」係可包括、但不限於固態記憶體、光學媒介和磁性媒介。
根據本發明之一具體實施例,一機器可存取之儲存 媒介係具有儲存於其上之指令,這些指令係使一資料處理系統可執行一種圖案化一低k介電層之方法。該方法包括形成及圖案化在一低k介電層上方之一金屬氮化物遮罩層,該低k介電層係配置在一基板上方。該金屬氮化物遮罩層係利用以O2/N2/SixFy為基礎之一電漿來處理以進行鈍化。該低k介電層的一部分係被蝕刻。在一具體實施例中,所述利用以O2/N2/SixFy為基礎進行處理係進一步包括在該低k介電層的一第二部分上形成一保護層。該第二部分在該低k介電層的該部分被蝕刻期間係未被蝕刻。
因此,本文係已揭露了圖案化低k介電膜之方法。
200‧‧‧流程圖
202‧‧‧步驟
204‧‧‧步驟
206‧‧‧步驟

Claims (20)

  1. 一種圖案化一低k介電膜的方法,該方法包括:形成及圖案化在一低k介電層上方的一金屬氮化物遮罩層,該低k介電層是配置在一基板上方;利用以O2/N2/SixFy為基礎之一電漿進行處理,以鈍化該金屬氮化物遮罩層;及蝕刻該低k介電層的一部分。
  2. 如請求項1所述之方法,其中所述鈍化該金屬氮化物遮罩層包括在該金屬氮化物層上沉積一保護材料層。
  3. 如請求項2所述之方法,進一步包括:在蝕刻該低k介電層的該部分之後,移除該保護材料層。
  4. 如請求項1所述之方法,其中所述鈍化該金屬氮化物層包括改質該金屬氮化物層的一表面。
  5. 如請求項1所述之方法,其中所述利用以O2/N2/SixFy為基礎之該電漿進行處理進一步包括在該低k介電層的一第二部分上形成一保護層,其中該第二部分在蝕刻該低k介電層的該部分期間未被蝕刻。
  6. 如請求項1所述之方法,其中SixFy為SiF4
  7. 如請求項1所述之方法,其中所述利用以O2/N2/SixFy為基礎之該電漿進行處理以鈍化該金屬氮化物遮罩層係一無碳製程。
  8. 如請求項1所述之方法,其中所述形成及圖案化在該低k介電層上方的該金屬氮化物遮罩層包括在具有一介電常數小於2.7的一多孔摻雜碳之氧化物(SiCOH)層上方形成一氮化鈦層或一氮化鉭層。
  9. 一種圖案化一低k介電膜的方法,該方法包括:形成及圖案化在一低k介電層上方的一金屬氮化物遮罩層,該低k介電層是配置在一基板上方,其中圖案化該金屬氮化物層包括在約為40毫托耳(mTorr)之一壓力、約為200W之一電源(Ws)、以CF4、C4F8、N2和Ar為基礎之化學組成、噴淋頭至晶圓之間隙約為1.6mm下進行電漿蝕刻達歷時約30秒;利用一電漿製程進行處理以鈍化該金屬氮化物遮罩層,該電漿製程是在約20mTorr之一壓力、約150W之一電源(Ws)、以SiF4、N2、O2與Ar為基礎之化學組成、噴淋頭至晶圓之間隙約為1.25mm下進行達歷時約220秒;及蝕刻該低k介電層的一部分,其中所述蝕刻包括在約40mTorr之一壓力、約200W之一電源(Ws)、以SiF4、C4F8、N2與Ar為基礎之化學組成、噴淋頭至晶圓之間隙約為1.6mm下進行電漿蝕刻達歷時約85秒。
  10. 如請求項9所述之方法,其中所述利用該電漿製程進行處理以鈍化該金屬氮化物遮罩層進一步包括在該低k介電層的一第二部分上形成一保護層,其中該第二部分在蝕刻該低k介電層的該部分期間未被蝕刻。
  11. 如請求項9所述之方法,其中所述鈍化該金屬氮化物遮罩層包括在該金屬氮化物層上沉積一保護材料層。
  12. 如請求項11所述之方法,進一步包括:在所述蝕刻該低k介電層的該部分之後,利用一電漿製程移除該保護材料層,該電漿製程是在約15mTorr之一壓力、以N2與O2為基礎之化學組成、噴淋頭至晶圓之間隙約為3.5mm下進行達歷時約15秒。
  13. 如請求項9所述之方法,其中所述鈍化該金屬氮化物遮罩層包括改質該金屬氮化物層的一表面。
  14. 如請求項9所述之方法,其中所述利用該電漿製程進行處理以鈍化該金屬氮化物遮罩層係一無碳製程。
  15. 如請求項9所述之方法,其中所述形成及圖案化在該低k介電層上方的該金屬氮化物遮罩層包括在具有一介電常數小於2.7的一多孔摻雜碳之氧化物(SiCOH)層上方形成一氮化 鈦層或一氮化鉭層。
  16. 一種圖案化一低k介電膜的方法,該方法包括:形成及圖案化在一低k介電層上方的一金屬氮化物遮罩層,該低k介電層是配置在一基板上方;利用以O2/N2/SixFy為基礎之一電漿進行處理,以鈍化該金屬氮化物遮罩層;蝕刻該低k介電層的一部分;重複鈍化與蝕刻,以於該低k介電層中形成具有側壁之溝槽;及在所述重複鈍化與蝕刻以於該低k介電層中形成具有側壁之溝槽之後,利用以O2/N2/SixFy為基礎之一電漿進行處理,以鈍化該金屬氮化物遮罩層以及形成於該低k介電層中的該等溝槽的側壁,其中所述鈍化包括在該金屬氮化物層上以及在形成於該低k介電層中的該等溝槽的側壁上沉積一保護材料層;及進行蝕刻以使該等溝槽延伸於該低k介電層中。
  17. 如請求項16所述之方法,進一步包括:在所述蝕刻以使該等溝槽延伸於該低k介電層中之後,移除該保護材料層。
  18. 如請求項16所述之方法,其中SixFy為SiF4
  19. 如請求項16所述之方法,其中所述利用以O2/N2/SixFy為基礎之該電漿進行處理以鈍化該金屬氮化物遮罩層係一無碳製程。
  20. 如請求項16所述之方法,其中所述形成及圖案化在該低k介電層上方的該金屬氮化物遮罩層包括在具有一介電常數小於2.7的一多孔摻雜碳之氧化物(SiCOH)層上方形成一氮化鈦層或一氮化鉭層。
TW103102734A 2013-01-29 2014-01-24 圖案化低k介電膜的方法 TWI610364B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361757826P 2013-01-29 2013-01-29
US61/757,826 2013-01-29
US14/159,832 US8987139B2 (en) 2013-01-29 2014-01-21 Method of patterning a low-k dielectric film
US14/159,832 2014-01-21

Publications (2)

Publication Number Publication Date
TW201440144A TW201440144A (zh) 2014-10-16
TWI610364B true TWI610364B (zh) 2018-01-01

Family

ID=51223386

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103102734A TWI610364B (zh) 2013-01-29 2014-01-24 圖案化低k介電膜的方法

Country Status (3)

Country Link
US (1) US8987139B2 (zh)
TW (1) TWI610364B (zh)
WO (1) WO2014120576A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
US9006106B2 (en) * 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US20140273463A1 (en) * 2013-03-15 2014-09-18 GlobalFoundries, Inc. Methods for fabricating integrated circuits that include a sealed sidewall in a porous low-k dielectric layer
JP6289996B2 (ja) * 2014-05-14 2018-03-07 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US20170178899A1 (en) * 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US10366902B2 (en) * 2016-02-22 2019-07-30 Tokyo Electron Limited Methods for cyclic etching of a patterned layer
KR102436210B1 (ko) * 2016-06-20 2022-08-26 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
JP6796519B2 (ja) * 2017-03-10 2020-12-09 東京エレクトロン株式会社 エッチング方法
US10707165B2 (en) 2017-04-20 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having an extra low-k dielectric layer and method of forming the same
US10468243B2 (en) * 2017-11-22 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device and method of cleaning substrate
US11276572B2 (en) * 2017-12-08 2022-03-15 Tokyo Electron Limited Technique for multi-patterning substrates
US10551742B2 (en) * 2017-12-20 2020-02-04 International Business Machines Corporation Tunable adhesion of EUV photoresist on oxide surface
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition
CN114334642B (zh) * 2022-03-10 2022-06-17 绍兴中芯集成电路制造股份有限公司 膜层的图形化方法及半导体器件的制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030013311A1 (en) * 2001-07-03 2003-01-16 Ting-Chang Chang Method of avoiding dielectric layer deterioation with a low dielectric constant during a stripping process
US20050110152A1 (en) * 2002-01-10 2005-05-26 United Microelectronics Corp Method for forming openings in low dielectric constant material layer
US20070032087A1 (en) * 2005-08-03 2007-02-08 Masaru Nishino Damage-free ashing process and system for post low-k etch
US20080286978A1 (en) * 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6610354B2 (en) * 2001-06-18 2003-08-26 Applied Materials, Inc. Plasma display panel with a low k dielectric layer
US6737358B2 (en) * 2002-02-13 2004-05-18 Intel Corporation Plasma etching uniformity control
JP4184851B2 (ja) * 2003-03-31 2008-11-19 東京エレクトロン株式会社 プラズマ処理方法
JP4488999B2 (ja) 2005-10-07 2010-06-23 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
JP4768557B2 (ja) 2006-09-15 2011-09-07 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
FR2914782B1 (fr) * 2007-04-04 2009-06-12 St Microelectronics Sa Procede de gravure profonde anisotrope de silicium
US8435901B2 (en) 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030013311A1 (en) * 2001-07-03 2003-01-16 Ting-Chang Chang Method of avoiding dielectric layer deterioation with a low dielectric constant during a stripping process
US20050110152A1 (en) * 2002-01-10 2005-05-26 United Microelectronics Corp Method for forming openings in low dielectric constant material layer
US20070032087A1 (en) * 2005-08-03 2007-02-08 Masaru Nishino Damage-free ashing process and system for post low-k etch
US20080286978A1 (en) * 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features

Also Published As

Publication number Publication date
TW201440144A (zh) 2014-10-16
US20140213060A1 (en) 2014-07-31
WO2014120576A1 (en) 2014-08-07
US8987139B2 (en) 2015-03-24

Similar Documents

Publication Publication Date Title
TWI610364B (zh) 圖案化低k介電膜的方法
US9006106B2 (en) Method of removing a metal hardmask
US11302519B2 (en) Method of patterning a low-k dielectric film
US8802572B2 (en) Method of patterning a low-k dielectric film
US9093389B2 (en) Method of patterning a silicon nitride dielectric film
US8992792B2 (en) Method of fabricating an ultra low-k dielectric self-aligned via
US8940642B2 (en) Method of multiple patterning of a low-K dielectric film
US8741775B2 (en) Method of patterning a low-K dielectric film
US8871650B2 (en) Post etch treatment (PET) of a low-K dielectric film
US20060240661A1 (en) Method of preventing damage to porous low-K materials during resist stripping
TWI763778B (zh) 介層接觸窗蝕刻
JP2007250873A (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
TW202121527A (zh) 以多色選擇性非等向性蝕刻相鄰線的方法
JP2003298049A (ja) 半導体装置の製造方法