CN110114863A - 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法 - Google Patents

使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法 Download PDF

Info

Publication number
CN110114863A
CN110114863A CN201780079015.3A CN201780079015A CN110114863A CN 110114863 A CN110114863 A CN 110114863A CN 201780079015 A CN201780079015 A CN 201780079015A CN 110114863 A CN110114863 A CN 110114863A
Authority
CN
China
Prior art keywords
gas
treatment system
room
lining treatment
distributing device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780079015.3A
Other languages
English (en)
Other versions
CN110114863B (zh
Inventor
杨登亮
方浩权
大卫·张
吉那那玛尼·安布罗斯
恩苏克·科
罗伟义
张丹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN110114863A publication Critical patent/CN110114863A/zh
Application granted granted Critical
Publication of CN110114863B publication Critical patent/CN110114863B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • B08B5/02Cleaning by the force of jets, e.g. blowing-out cavities
    • B08B5/023Cleaning travelling work
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Abstract

一种用于选择性蚀刻衬底的衬底处理系统包括第一室和第二室。第一气体输送系统向所述第一室供应惰性气体物质。等离子体产生系统产生在所述第一室中的包括离子和亚稳态物质的等离子体。气体分配装置从所述等离子体中去除所述离子,阻挡由所述等离子体产生的紫外(UV)光,并将所述亚稳态物质输送到所述第二室。衬底支撑件设置在所述气体分配装置下方以支撑所述衬底。第二气体输送系统将反应性气体物质输送到所述气体分配装置或位于所述气体分配装置下方的容积空间中的一者。所述亚稳态物质将能量传递给所述反应性气体物质,以比所述衬底的至少一种其他暴露材料选择性地更多地蚀刻所述衬底的一种暴露材料。

Description

使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的 系统和方法
相关申请的交叉引用
本申请要求于2017年12月18日提交的美国发明专利申请No.15/845,206的优先权,并且还要求于2016年12月20日提交的美国临时申请No.62/436,708,于2017年6月1日提交的美国临时申请62/513,615和于2017年10月6日提交的美国临时申请No.62/569,094的权益。上述申请的全部公开内容通过引用并入本文。
技术领域
本公开涉及衬底处理系统,并且更具体地涉及用于剥离光致抗蚀剂或者以高选择性从衬底选择性地去除膜的衬底处理系统。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分以及在提交申请时不能确定为现有技术的描述的各方面中描述的范围内的当前指定的发明人的工作既不明确也不暗示地承认是针对本公开的现有技术。
可以使用衬底处理系统来剥离诸如半导体晶片之类的衬底上的光致抗蚀剂。衬底处理系统通常包括处理室、气体分配装置和衬底支撑件。在处理期间,衬底布置在衬底支撑件上。可以将不同的气体混合物引入处理室,并且可以使用射频(RF)等离子体来激活化学反应。
基于等离子体的剥离技术通常使用自由基物质来去除光致抗蚀剂层。衬底处理系统可以使用电容耦合等离子体(CCP)或电感耦合等离子体(ICP)以在衬底上方直接产生自由基。CCP和ICP工艺通常在执行等离子体蚀刻工艺之后,用于原位光致抗蚀剂剥离。这些工艺的优点包括在没有真空破坏的情况下进行剥离和相对高的去除速率。然而,由直接等离子体产生的离子或真空紫外(VUV)光子可能损坏下伏的层并在暴露于直接等离子体的区域中引起可测量的衬底损失。
也可以使用利用例如ICP、电子回旋共振(ECR)或微波源等下游等离子体源的衬底处理系统。使用喷头可以使衬底暴露于离子和光子通量的量最小化。虽然这种方法具有较低的衬底损耗,但是由于在圆顶/管表面、室壁和/或喷头表面上的表面复合导致的损失,去除速率通常较低。此外,由于从如分子氧(O2)、水(H2O)、原子O、OH之类的背景物质产生的活性氧化物质和在等离子体产生期间直接暴露的圆顶/管材料的溅射,因而仍然存在可测量的衬底损失。
发明内容
一种用于选择性蚀刻衬底的衬底处理系统包括第一室和第二室。第一气体输送系统向所述第一室供应惰性气体物质。等离子体产生系统产生在所述第一室中的包括离子和亚稳态物质的等离子体。气体分配装置布置在所述第一室和所述第二室之间,以从所述等离子体中去除所述离子,阻挡由所述等离子体产生的紫外(UV)光,并将所述亚稳态物质输送到所述第二室。衬底支撑件设置在所述气体分配装置下方以支撑所述衬底。第二气体输送系统将反应性气体物质输送到所述气体分配装置或位于所述气体分配装置下方的容积空间(volume)中的一者。所述亚稳态物质将能量传递给所述反应性气体物质,以比所述衬底的至少一种其他暴露材料选择性地更多地蚀刻所述衬底的一种暴露材料。
在其他特征中,所述衬底的一种暴露材料包括光致抗蚀剂。所述衬底处理系统以所述光致抗蚀剂相对于所述衬底的至少一种其他暴露材料的大于50:1的比率蚀刻所述光致抗蚀剂。所述至少一种其他材料选自硅、硅锗和二氧化硅。所述惰性气体物质选自氦气、氩气、氖气、氪气和氙气。
在其他特征中,所述反应性气体物质选自分子氧、分子氮、分子氢、三氟化氮和四氟化碳。所述等离子体产生系统包括布置在所述第一室的外表面周围的电感线圈,并且其中,所述等离子体产生系统选择性地向所述线圈提供500W至5kW以产生所述等离子体。
在其他特征中,所述衬底支撑件在蚀刻期间将所述衬底的温度控制到从75℃至225℃的预定温度范围。所述惰性气体物质和所述反应性气体物质以50sccm至10slm的流率供应。遮光结构布置在所述气体分配装置上方。
在其他特征中,所述遮光结构包括第一遮光板,该第一遮光板包括第一多个通孔。第二遮光板位于第一遮光板和所述气体分配装置之间并与所述第一遮光板和所述气体分配装置间隔开,且包括第二多个通孔。所述第一多个通孔不与所述第二多个通孔对准。所述亚稳态物质流过所述第一多个通孔和所述第二多个通孔到达所述气体分配装置。
在其他特征中,所述第一多个通孔和所述第二多个通孔的直径在0.1英寸至2英寸的范围内。所述第一遮光板和所述第二遮光板的厚度在0.1英寸至0.5英寸的范围内。所述第一多个通孔和所述第二多个通孔中的每一者包括10个至3000个孔。
在其他特征中,环形板位于所述第一遮光板上方,包括延伸到所述第一室的侧壁的径向外边缘,并且包括具有小于所述第一遮光板的外径的直径的径向内边缘。
在其他特征中,所述遮光结构包括第一遮光板,该第一遮光板没有通孔,并且包括与所述第一室的侧壁间隔开的径向外边缘。第二遮光板位于所述第一遮光板和所述气体分配装置之间并与所述第一遮光板和所述气体分配装置隔开,且包括多个通孔。所述亚稳态物质围绕第一遮光板流动并通过所述第二遮光板的所述多个通孔流到气体分配装置。
在其他特征中,所述遮光结构包括没有通孔的遮光板。该遮光板包括与所述第一室的侧壁间隔开的径向外边缘。环形板与所述遮光板以及所述气体分配装置隔开,延伸到所述第一室的所述侧壁,并且其内径小于所述遮光板的外径。所述亚稳态物质围绕所述遮光板流动并通过所述环形板的所述内径流到所述气体分配装置。
在其他特征中,所述气体分配装置包括面向所述第一室的第一表面和面向所述第二室的第二表面。气体入口接收来自所述第二气体输送系统的反应性气体物质。位于所述气体分配装置中的通道将所述反应性气体物质从所述气体入口输送到所述衬底上方的多个位置。第一多个通孔从所述通道延伸穿过所述第二表面到达所述第二室。第二多个通孔从所述第一表面延伸到所述第二表面,以将所述亚稳态物质输送到所述第二室。
在其他特征中,所述通道包括:环形通道,其设置在所述气体分配装置的径向外边缘附近;和多个连接通道,其在所述环形通道之间延伸穿过所述气体分配装置。
在其他特征中,所述气体分配装置包括面向所述第一室的第一表面和面向所述第二室的第二表面。多个通孔限定从所述第一表面穿过所述气体分配装置到达所述第二表面的间接路径。
在其他特征中,所述气体分配装置包括面向所述第一室的第一表面和面向所述第二室的第二表面。第一气体入口从所述第二气体输送系统接收第一反应性气体物质。第二气体入口从所述第二气体输送系统接收第二反应性气体物质。第一通道位于所述气体分配装置中以将所述第一反应性气体物质从所述第一气体入口输送到所述衬底上方的第一区域中的多个位置。第二通道位于所述气体分配装置中以用于将所述第二反应性气体物质从所述第二气体入口输送到所述衬底上方的第二区域中的多个位置。第一多个通孔从所述第一通道延伸穿过所述第二表面,以将所述第一反应性物质输送到所述第二室。第二多个通孔从所述第二通道延伸穿过所述第二表面,以将所述第二反应性物质输送到所述第二室。第三多个通孔从所述第一表面延伸到所述第二表面,以将所述亚稳态物质输送到所述第二室。
在其他特征中,所述第一通道的一部分径向向内延伸到所述第一区域。所述第二通道的一部分径向向内延伸到所述第二区域。所述第一多个通孔、所述第二多个通孔和所述第三多个通孔排布成同心圆。遮光结构布置在所述气体分配装置上方。
在其他特征中,所述遮光结构包括包含弧形孔的板,所述弧形孔相对于所述第一多个通孔、所述第二多个通孔和所述第三多个通孔未对准。
在其他特征中,所述等离子体产生系统还包括脉冲调制器,所述脉冲调制器被配置成在蚀刻期间改变产生等离子体的RF信号的脉冲参数。所述脉冲调制器改变在蚀刻期间提供的所述RF信号的占空比和幅值中的至少一者。所述脉冲调制器在具有第一RF功率的第一状态和具有比所述第一状态小的第二RF功率的第二状态之间改变所述脉冲参数。
在其他特征中,所述脉冲调制器在蚀刻期间以预定间隔在所述第一状态和所述第二状态之间切换。所述脉冲调制器接收光学反馈信号,并且在蚀刻期间基于所述光学反馈信号在所述第一状态和所述第二状态之间切换。在所述第一状态期间所述亚稳态物质的第一强度小于在所述第二状态期间所述亚稳态物质的第二强度。所述RF信号具有选自由方波、矩形波、正弦波和锯齿波组成的组的包络。
在其他特征中,所述RF信号具有矩形波包络,并且在第一幅值和第二幅值之间以小于100%的占空比切换。所述第一幅值大于所述第二幅值,并且其中所述第二幅值大于或等于零。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步范围将变得显而易见。详细描述和具体实施例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1是根据本公开的衬底处理系统的示例的功能框图;
图2是包括硅层和待剥离的光致抗蚀剂层的衬底的示例的侧面剖视图;
图3是仅用He说明等离子体的光发射光谱(OES)表征的图;
图4是说明从喷头和衬底支撑件之间的侧面注入O2的He等离子体的OES表征的图;
图5是说明根据本发明的用于选择性剥离光致抗蚀剂的方法的示例的流程图;
图6是说明根据本公开的包括双气体分配腔的气体分配装置的示例的平面图;
图7是根据本公开的图6的双气体分配腔的第一横截面图;
图8是根据本公开的图6的双气体分配腔的第二横截面图;
图9是说明根据本发明的气体分配装置的遮光结构的示例的俯视图;
图10是根据本公开的遮光结构和双气体分配腔的其他示例的侧剖视图;
图11-13是根据本发明的遮光结构和双气体分配腔的其他示例的侧剖视图。
图14是包括喷头和双气体分配腔的气体分配装置的侧剖视图;
图15A和15B是根据本公开的双气体分配腔的另一示例的侧剖视图;
图16是根据本公开的分区双气体分配腔的另一示例的俯视图;
图17和18是说明根据本公开的不同工艺温度和周期的非晶硅(a-Si)的净损失的示例的图;
图19是根据本发明的包括分区双气体分配腔的气体分配装置的透视图;
图20是图19的阻挡板的透视图;
图21是图19的双气体分配腔的底表面的局部剖视图;
图22是根据本公开的包括基于光学反馈选择或控制的等离子体脉冲的衬底处理系统的另一示例的功能框图;
图23A是说明根据本公开的通过脉冲化等离子体产生的增强的长寿命物种的图表;
图23B是说明根据本公开通过脉冲化等离子体产生的快电子效应的曲线图;
图24A是说明根据本公开的用于调制RF等离子体信号的脉冲信号的示例的图;
图24B是说明根据本公开的调制RF等离子体信号的脉冲信号的示例的图;
图24C是说明根据本公开的调制RF等离子体信号的脉冲电平到电平信号的示例的图;
图25A至25D说明根据本发明的用于调制RF等离子体信号的脉冲信号的其他示例;
图26是说明根据本公开的用于识别具有期望强度比的RF脉冲参数对的方法的流程图;
图27是说明根据本公开的用于使用来自光发射光谱(OES)传感器的反馈来在不同脉冲状态之间切换脉冲信号以调制RF等离子体信号的方法的流程图;
图28是说明根据本公开的用于以固定间隔在不同脉冲状态之间给脉冲信号施加脉冲的方法的流程图;
图29A是说明位于中央的用于支撑阻挡板的垫片的底部透视图;以及
图29B是说明具有位于气体分配装置的中央的间隔件的阻挡板的侧视图。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
根据本公开的系统和方法利用等离子体源来供应包括在上室中的亚稳态物质和离子的等离子体。亚稳态物质通过位于上室和布置在下室中的衬底支撑件之间的第一喷头扩散。
第一喷头阻挡痕量的He离子和真空紫外线(VUV)或UV发射。在一些示例中,第二喷头可布置在第一喷头和衬底支撑件之间。第二喷头可用于将活性剥离物质或蚀刻物质均匀地重新引导到衬底上方。
从第一喷头(或第二喷头)与衬底支撑件之间的处理室的一侧注入活性蚀刻物质。亚稳态物质将能量转移到活性蚀刻物质以产生用于去除膜的自由基。
例如,ICP源和诸如氦(He)之类的惰性等离子体气体可用于产生He活性物质,其包括具有范围为19-21eV的高激发能的低动能(<1eV)亚稳态物质(He*)。亚稳态物质的寿命在10秒或更长的范围内。亚稳态物质通过第一喷头扩散并用于激活蚀刻物质。从与活性等离子体源不同的位置注入反应性气体或气体混合物,以减少对室表面材料的腐蚀。
现在参考图1,示出了用于选择性地剥离诸如光致抗蚀剂之类的膜或其他膜的示例性衬底处理系统50。如本文所使用的,选择性剥离是指以比剥离由其他材料制成的一个或多个其他暴露膜的速率高的速率剥离由第一材料制成的暴露的膜。在一些示例中,目标膜相对于其他(非目标)膜的剥离比率大于或等于50:1,200:1,500:1,2000:1等等。而前述示例将在使用电感耦合等离子体(ICP)的衬底处理系统的背景下描述,本公开可以应用于使用其他等离子体源产生等离子体的其他衬底处理系统。
衬底处理系统50包括等离子体源51和衬底支撑件52,例如静电卡盘、基座或其他类型的衬底支撑件。在一些示例中,等离子体源51包括ICP源。可以理解,等离子体源51可以包括其他合适的等离子体源,例如CCP、ECR或微波等离子体源。
在选择性剥离期间,衬底54布置在衬底支撑件52上。在一些示例中,使用一个或多个温度控制元件(TCE)55,如电阻加热器56、冷却剂通道58或其他类型的热控制装置,对衬底支撑件52进行温度控制(加热和/或冷却)。衬底支撑件52可包括单个温度控制区或单独控制的多个温度控制区。
衬底处理系统50包括上室60。在一些示例中,上室60具有圆顶形状,但是也可以使用其他形状。当使用ICP等离子体时,线圈64布置在上室60的外表面周围。气体注入器68将等离子气体注入到上室60中。在一些示例中,气体注入器68将等离子体气体沿一个或多个方向注入(例如中心和侧面气体注入方向,如图1所示)。
衬底处理系统50还包括气体分配装置70,例如包括多个间隔开的通孔76的压板。气体分配装置70用于过滤由等离子体产生的离子并阻挡VUV或UV辐射。气体分配装置70布置在衬底支撑件52和上室60之间。辅助气体注入器82在气体分配装置70和衬底支撑件52之间的位置注入辅助气体。在一些示例中,辅助气体注入器82是围绕处理室的周边以均匀的间隔布置的。在一些示例中,另一个气体分配装置84(例如包括多个间隔开的通孔86的压板)可以布置在气体分配装置70和衬底支撑件52之间。气体分配装置84可以用于活性剥离物质或蚀刻物质在衬底上方重新定向。
如果使用ICP等离子体,则RF生成系统87生成RF功率并将其输出到线圈64。仅举例而言,RF生成系统87可以包括RF生成器88,其生成由匹配网络89馈送到线圈64的RF功率。
气体输送系统90-1包括一个或多个气体源92-1、92-2、......、和92-N(统称为气体源92),其中N是大于零的整数。气体源92通过阀94-1、94-2、......、和94-N(统称为阀94)和质量流量控制器96-1、96-2、......、和96-N(统称为质量流量控制器)连接到歧管98。可以使用另一气体输送系统90-2将辅助气体输送到辅助气体注入器82。可以理解,在衬底处理系统使用单一等离子气体和单一辅助气体的情况下,可以简化气体输送系统90。
温度控制器106可以连接到TCE 55,例如电阻加热器56。温度控制器63可以与检测衬底支撑件或衬底的温度的一个或多个温度传感器(未示出)通信,并且与冷却剂控制器108通信以控制流过冷却剂通道58的冷却剂。例如,冷却剂控制器108可包括冷却剂泵、贮存器和/或一个或多个温度传感器(未示出)。阀130和泵132可用于控制处理室中的压强并从中抽空反应物。系统控制器140可用于控制衬底处理系统10的部件,如图1所示。
根据本公开的系统和方法利用惰性气体产生等离子体以产生高密度的亚稳态物质。亚稳态物质携带足够高的化学能以激发下游引入的其他活性自由基物质。本文描述的系统和方法在空间上解耦等离子体产生和蚀刻物质产生。与诸如ICP和电容耦合等离子体(CCP)之类的直接等离子体相比,优点包括减少的带电离子和VUV和/或UV光发射。与具有低得多的复合损失的下游等离子体相比,本文所述的系统和方法在衬底上方具有更高的自由基密度。所提出的系统和方法具有单独的等离子体产生和活性物质产生,这减少了暴露于高密度直接等离子体的室材料的腐蚀。本文所述的系统和方法具有更高的剥离速率、更高的蚀刻选择性和更低的衬底氧化或损失。
在一些示例中,使用ICP功率在500W至5kW范围内的ICP室操作该工艺。在一些示例中,施加到感应线圈的RF功率为13.56MHz,但是可以使用其他频率。在一些示例中,该工艺在10mTorr至10Torr的室压强范围下进行。在一些示例中,等离子体气体或气体混合物以50标准立方厘米/分钟(sccm)至10标准升/分钟(slm)的流率供应。在一些示例中,反应性气体以50sccm至10slm的流率供应。
在一些示例中,等离子体气体或等离子体气体混合物包括惰性气体,例如He、氩(Ar)、氖(Ne)、氪(Kr)、氙(Xe)以及它们的混合物。在一些示例中,活性蚀刻气体包括分子氧(O2)、分子氮(N2)、分子氢(H2)、四氟化碳(CF4)、三氟化氮(NF3)和/或它们的混合物中的至少一种。
在使用中,等离子体源产生在上室中的包括亚稳态物质和离子的等离子体。亚稳态物质通过喷头扩散。从喷头下方或喷头之间的室侧注入有源蚀刻物质。亚稳态物质将能量传送到活性蚀刻物质以产生用于去除光致抗蚀剂的自由基。
现在参考图2,衬底150包括一个或多个下伏层154。二氧化硅层158布置在下伏层154上。光致抗蚀剂层160布置在二氧化硅层158的一些部分上。在执行诸如蚀刻之类的处理以(在162处)蚀刻二氧化硅层158之后,需要去除光致抗蚀剂层160。剥离光致抗蚀剂层160的工艺优选在不损失或损坏二氧化硅层158的情况下进行。
等离子体源通过点燃等离子体气体产生等离子体。产生的亚稳态原子(例如He*)通过喷头离开等离子体源。喷头过滤大部分(如果不是全部的话)能量损伤产生离子和真空紫外线(VUV)和/或UV光。然后将亚稳态原子与在喷头之下或在喷头和衬底支撑件之间注入的辅助气体(例如O2)混合。例如,可以从侧注入器端口注入辅助气体。注入的气体物质可以通过He*亚稳态经由潘宁电离:He*(23S)+O2→O2 ++He+e-激发,并进一步离解成原子O*物质。对于某些工艺来说,产生分子自由基物质以增加剥离或蚀刻选择性是有益的。在He等离子体中混合O2时,所述物质中的大多数是原子氧。激发的分子O2撞击在光致抗蚀剂涂覆的衬底上可以除去膜,但在硅、硅锗或其它需要保护的衬底上形成自限层。
现在参考图3和图4,示出了仅He等离子体的光学发射光谱(OES)表征以及具有辅助O2气体的He等离子体的光学发射光谱(OES)表征。在图3中,示出了仅具有He的等离子体的OES表征。在图4中,显示了具有在喷头下方从侧面注入的O2的He等离子体的OES表征。净效应是光致抗蚀剂被O*原子剥离,对Si的损伤非常低(例如,SiO2的损失非常小),并且剥离速率还非常高。
从侧面注入O2气体淬灭了来自He活性物质的大部分光发射,如图4所示。光发射光谱显示在777nm和844nm处的主要O2的发射,其对应于活化氧物质的特征光发射线。
在以下比较中,已优化O2/N2下游等离子体工艺以去除具有最小非晶硅(a-Si)衬底损失的表面改性层。可以用相当的a-Si衬底损失证明有机层去除量高5倍。以下实施例使用用于O2/N2工艺的增加的压强以使能量O2或O-物质最小化,而所述工艺(He/O2)可以在4x较低压强下运行以提高活化自由基物质的效率。
现在参考图5,示出了根据本发明的用于剥离光致抗蚀剂的方法184。在186处,将衬底布置在处理室中。在188处,通过等离子体源将等离子体供应到处理室。在190处,离子和VUV或UV光被布置在等离子体源和衬底支撑件之间的一个或多个喷头至少部分地过滤。在192处,在至少一个喷头和衬底支撑件之间供应辅助气体。当在194处确定剥离时间段结束时,在196处关断等离子体源和辅助气体。
虽然前述公开涉及光致抗蚀剂剥离,但是本文描述的系统和方法可以用于其他目的。在其他示例中,诸如分子氮N2之类的气体可以被亚稳态物质激发并且在下游用于氮化膜或处理表面。例如,钛膜可以暴露于氮化物(例如Ti和N2→TiN)。在另一示例中,可以处理钨膜(例如,用N*处理W将产生WN,其比W更难以用氟除去)。
现在参考图6,示出了气体分配装置200,其包括用于输送反应物气体物质和根据本公开的包括亚稳态物质的受激发气体物质的双气体分配腔202。双气体分配腔202将反应物气体物质和受激发气体物质的混合物输送到下室而不在上室中混合。
在一些示例中,受激发气体物质与反应物气体物质的流量比在1.3至10:1的范围内,但是可以使用其他比率。在一些示例中,处理时段在30秒(s)到270秒的范围内,但是可以使用其他时段。在其他示例中,处理时段在60s到240s的范围内,但是可以使用其他时段。在一些示例中,处理温度在75℃至225℃的范围内,但是可以使用其他处理温度。在其他示例中,处理温度在100℃至200℃的范围内,但是可以使用其他处理温度。在一些示例中,与先前的剥离工艺相比,非晶硅或硅锗(SiGe)的损失减少了50%以上。
气体分配装置200包括上凸缘204、侧壁206和底表面208(形成双气体分配腔202的上表面)。双气体分配腔202包括用于接收反应物气体物质的气体入口210,反应物气体物质如分子氧(O2)、分子氮(N2),分子氢(H2)、三氟化氮(NF3)、甲烷(CH4)及其组合。反应物气体物质在图6-16中用带箭头的虚线表示。
双气体分配腔202限定环形通道220和连接通道224。连接通道224在环形通道220的相对侧之间延伸穿过底表面208的内部部分。环形通道220可以在侧壁206和底表面208之间的位置处形成。环形通道220和连接通道224与气体入口210流体连通。反应物气体混合物流过环形通道220并进入连接通道224。如图7所示的向下导向的通孔将反应物气体混合物从连接通道224朝向衬底引导到下室中。如下面将进一步描述的。
位于连接通道224之间的区域228包括穿过底表面208的多个通孔230。可以理解,出于说明和清楚的目的,仅示出了多个通孔230中的一些通孔。在一些示例中,多个通孔230具有圆形横截面和均匀间隔,但是可以使用其他横截面和/或不均匀间隔。在一些示例中,多个通孔232的直径在3mm至10mm的范围内,但是可以使用其他直径。
使用等离子体气体混合物在上室中产生等离子体,所述等离子体气体混合物包括选自氦(He)、氖(Ne)、氩(Ar)、氪(Kr)、氙(Xe)、分子氮(N2)及其组合中的一种或多种气体。由等离子体产生的受激发气体物质由图6-16中的实线箭头示出。
现在参考图7-8,示出了双气体分配腔202的底表面208的横截面视图。在图7中,示出了沿连接通道224截取的第一横截面图。反应物气体被供应到环形通道220,环形通道220将反应物气体供应到连接通道224。多个通孔232将连接通道224流体地连接到下室。在一些示例中,多个通孔232的直径在0.1mm至1mm的范围内,但是可以使用其他直径。多个通孔232可以沿着连接通道224以均匀或不均匀的间隔设置。
在图8中,示出了穿过区域228截取的第二横截面图。多个通孔230穿过底表面208从上室到下室。可以看出,受激发气体物质和反应物气体物质的流动路径是分开的,直到它们到达下部室。
现在参考图9,遮光结构240可以布置在等离子体和双气体分配腔202之间。遮光结构240可以用于阻挡由等离子体产生的真空紫外(VUV)光。换句话说,遮光结构240防止上室中的等离子体与下室中的衬底之间的视线路径。
在一些示例中,遮光结构240(从顶部到底部)包括:环形板250,环形板250包括邻近和/或邻接侧壁206的径向外表面;第一阻挡板260,其包括通孔264(以实线示出);和第二阻挡板270,其包括通孔274(以虚线示出)。在一些示例中,多个通孔264位于相对于多个通孔274偏移的位置。在一些示例中,多个通孔264和274具有圆形横截面,但是可以使用其他横截面。虽然多个通孔264和274被示出为具有大致相同的直径,但是可以使用不同尺寸的通孔(例如,如第一阻挡板260的中心区域所示)。在一些示例中,孔直径在0.1英寸至2英寸的范围内,孔的数量在10至3000个孔的范围内,板的厚度在0.1英寸至0.5英寸的范围内。
遮光结构240可以包括布置在双气体分配腔202上方的阻挡板(具有或不具有通孔)和/或环形板的各种布置。例如,如图10所示,环形板250布置在第一阻挡板260上方,第一阻挡板260布置在第二阻挡板270上方。在一些示例中,环形板250邻近或抵靠侧壁206附接。环形板250可以使用紧固件、凹口(在侧壁或环形板中)和凸起(在环形板或侧壁中)或其他紧固装置(未示出)附接在侧壁206上的支点(rest)处。
在一些示例中,环形板250的径向内边缘与环形板250的径向外边缘在径向上间隔在0.5英寸至3英寸的范围内。在其他示例中,遮光结构240搁置在底部表面208上,并且不直接附接到侧壁206上。在一些示例中,第一阻挡板260通过两个或更多个间隔件282附接到环形板250的下表面。在一些示例中,间隔件282可以通过诸如螺钉、螺纹、铆钉、粘合剂、焊接等紧固件(未示出)附接到环形板250和第一阻挡板260上。
在使用中,由上室中的等离子体产生的受激发气体物质流过遮光结构240,使得在等离子体和衬底之间不存在直接视线。受激发气体物质流过遮光结构240和多个通孔230进入下室,如图8所示,同时反应物气体物质流过环形通道220、连接通道224和多个通孔232,然后流入下室,如图7所示。在一些示例中,环形板250的径向内边缘分别布置在第一和第二阻挡板260,270中的一个或多个的径向外边缘的内侧。
现在参考图11-13,示出了遮光结构240的其他变型。在图11中,遮光结构240(从顶部到底部)包括没有通孔的第一阻挡板290、包括多个通孔304的第二阻挡板300、以及环形板250。第一阻挡板290可以具有比由第一侧壁206限定的直径略小的直径。在第一阻挡板290的径向外边缘和侧壁206之间形成间隙308。在一些示例中,间隙小于或等于0.5英寸。在一些示例中,间隙小于或等于0.1英寸。间隔件282可用于在第二阻挡板300和第一阻挡板290之间提供间隙。
受激发气体物质流过间隙308并被环形板250和第二阻挡板300引导到多个通孔304。受激发气体物质流过气体分配装置200的多个通孔230并进入下室,如图8所示。同样,反应物气体物质流过环形通道220、连接通道224和多个通孔232,并进入下室,如图7所示。
在图12中,遮光结构240(从顶部到底部)包括:包括通孔314的第一阻挡板310、包括通孔324的第二阻挡板320、以及环形板250。第一阻挡板310还可以限定间隙308。第二阻挡板320包括径向外部部分,该径向外部部分搁置在环形板250的径向内部部分上。间隔件282可以用在第二阻挡板320和第一阻挡板310之间。
第一阻挡板310的直径可以略小于由侧壁206限定的直径。受激发气体物质经由间接路径流过多个通孔314和324。受激发气体物质流过气体分配装置200的多个通孔230并进入下室,如图8所示。同样,反应物气体物质流过环形通道220、连接通道224和多个通孔232进入下室,如图7所示。
现在参考图13,遮光结构240(从顶部到底部)包括没有孔的第一阻挡板360和环形板250。可以使用一个或多个间隔件280来限定第一阻挡板360和环形板250之间的轴向间隙。环形板250的径向外表面与侧壁206相邻或邻接。受激发气体物质围绕第一阻挡板360的径向外边缘流动通过间隙308,并由环形板250向内引导。受激发气体物质流过气体分配装置200的多个通孔230并进入下室,如图8所示。同样,反应物气体物质流过环形通道220、连接通道224和多个通孔232进入下室,如图7所示。
在一些示例中,轴向间距在0.5英寸至2英寸的范围内。在一些示例中,顶板的直径在4英寸至11.5英寸的范围内。在一些示例中,环208利用第一阻挡板360的板直径进行调节,以保持来自第一阻挡板360上方的等离子体的光没有视线。
现在参考图14,气体分配装置200的另一示例包括第一喷头400,第一喷头400包括多个通孔410并且布置在双气体分配腔202上方的环形板250上。在一些示例中,第一喷头400的孔尺寸在0.1英寸至0.5英寸的范围内,间距在0.07英寸-2英寸的范围内,并且第一喷头400中的孔的数量在200至400个孔的范围内。
环形板250的径向外表面与侧壁206相邻或邻接。受激发气体物质流过第一喷头400。然后,受激发气体物质流过气体分配装置200的多个通孔230,并进入下室,如图8所示。同样,反应物气体物质流过环形通道220、连接通道224和多个通孔232进入下室,如图7所示。由于第一喷头400的多个通孔410通常不与底表面208中的多个通孔230对准,因此等离子体产生的大部分VUV光被阻挡。
现在参考图15A和15B,示出了另一种气体分配装置200。代替使用具有如图8所示的直的路径的多个通孔230,提供多个通孔420,其限定穿过气体分配装置200的底表面208的间接路径。例如,多个通孔420可具有沿第一或轴向方向延伸的第一部分、连接到第一部分并沿第二方向(例如平行于衬底)延伸的第二部分、和连接到第二部分并沿第二或轴向延伸的第三部分。多个通孔420可以具有其他路径,例如对角线路径或对角线、轴向和/或径向的组合。在一些示例中,底表面208由多个板制成,这些板焊接、粘合、紧固或以其他方式附接在一起。例如,在图15A中,底表面208包括第一板430、第二板432和第三板434。第一板430限定第一部分,第二板432限定第二部分,第三板434限定第三部分。在图15B中,反应物气体以与图7中所示的方式类似的方式流动。
现在参考图16,示出了气体分配装置500。气体分配装置500使用中心区域502和边缘区域504输送一种或多种反应物气体混合物。中心区域502位于底部表面208的径向内部部分,边缘区域504位于中心区域502周围。边缘区域504包括一个或多个入口510,入口510将第一反应物气体混合物供应到位于底表面208的径向外边缘周围的环形通道520和连接通道524。连接通道524从环形通道520向内延伸。通孔(如上所示)从环形通道520和/或连接通道524向下延伸到下室中。
中心区域502包括供应第二反应物气体混合物的一个或多个气体入口536。中心区域502包括连接到环形通道540的径向通道538。环形通道540连接到连接通道542。通孔(如上所示)从环形通道540和/或连接通道542向下延伸。多个通孔558布置在位于通道之间的区550中。第一气体混合物和第二气体混合物可包括相同或不同的气体种类或气体比率。
现在参考图17和18,示出了说明不同工艺温度和周期的非晶硅(a-Si)的净损失的图。可以理解,a-Si在处理过程中经受小于2埃的损失,与现有工艺相比减少了50%。
现在参考图19,示出了包括分区双气体分配腔602的气体分配装置600的另一示例。气体分配装置600包括从侧壁606径向向外延伸的凸缘604和部分地限定双气体分配腔602的底表面610。底表面610包括第一多个通孔620(为清楚起见,部分地示出),第一多个通孔620从上室延伸通过底表面610到达下室。在使用期间,由上室中的等离子体产生的受激发气体物质流过第一多个通孔620进入下室。在一些示例中,第一多个通孔620布置在多个同心圆环(具有不同直径)中,每个圆环包括单排均匀间隔的通孔。
底表面610还包括第二多个通孔630(为清楚起见,部分地示出),其与位于圆622的径向外侧的第一区域相关联。底表面610还包括第三多个通孔640(为清楚起见,部分地示出),其与位于圆622的径向内侧的第二区域相关联。在一些示例中,第二多个通孔630和第三多个通孔640布置在多个同心圆环(具有不同的每个直径)中,每个同心圆环包括单排均匀间隔开的通孔。在一些示例中,第二多个通孔630和第三多个通孔640的同心圆环位于第一多个通孔620的同心圆环之间,如图所示。
一个或多个气体入口650-1、650-2等(统称为气体入口650)可沿凸缘604的径向外表面布置。凸缘604中的一个或多个通道652、侧壁606中的一个或多个通道654和底表面610中的一个或多个通道656提供从气体入口650-1到第三多个通孔640的流体连接。在一些示例中,一个或多个通道656在底表面610中沿径向布置,但是可以使用非径向通道。凸缘604中的一个或多个通道662、侧壁606中的一个或多个通道664、以及底表面中的一个或多个通道666提供从另一气体入口650-2到第二多个通孔630的流体连接。
现在参考图20,阻挡板700可以布置在底表面610上方的上室中,以阻挡从等离子体到衬底的直接视线。阻挡板700包括多个同心环710。每个同心环710包括一个或多个弧形槽712。例如,每个同心环710包括彼此成角度偏移的弧形槽712。在图20中的示例中,每个同心环710包括三个或四个彼此间隔开的弧形槽712,但是可以使用额外的或更少的弧形槽712。在一些示例中,当阻挡板700相对于底表面610布置时,弧形槽712不与通孔620对准。位于弧形槽712之间的相邻板部分718与通孔620对准以阻挡从等离子体到衬底的视线。
现在参考图21,示出了双气体分配腔602的底表面610的局部横截面。阻挡板700位于底表面610上或者通过一个或多个间隔件722与其隔开,如上所述。底表面610包括与通道656或666流体连通的环形通道730,740,具体取决于环形通道730,740的径向位置。在一些示例中,环形通道730,740是同心的并且位于由第一多个通孔620限定的同心环之间。环形通道730与第二多个通孔630流体连通。环形通道740与第三多个通孔640流体连通。
在使用中,受激发气体物质由上室中的等离子体通过第一多个通孔620供应到下室。阻挡板700阻挡从等离子体到衬底的视线。将第一反应物气体混合物供应到气体入口650-1。第一反应物气体混合物流过通道652、654和656进入环形通道730并通过第二多个通孔630进入下室的径向外部。将第二反应物气体混合物供应到气体入口650-2。第二反应物气体混合物流过通道662、664和666进入环形通道740并通过第三多个通孔640进入下室的径向内部。在一些示例中,第一反应物气体混合物和第二反应物气体混合物是相同的反应物气体混合物,但是可以使用不同的反应物气体混合物。在一些示例中,第一反应物气体混合物和第二反应物气体混合物以不同的流率输送,但是可以使用相同的流率。
在一些示例中,内部区域(圆圈622的内部)由底部表面的中心限定为等于最大径向距离的40%至70%的径向距离。外部区域(圆圈622的外侧)限定在内部区域的径向外侧。在一些示例中,内部区域(圆圈622的内侧)由底部表面的中心和范围在70mm至120mm的径向距离限定。外部区域(圆圈622的外侧)被限定为内部区域的外边缘至150mm。在一些示例中,第一多个通孔620包括200至4000个孔。在一些示例中,阻挡板700与底表面610间隔开在0.07英寸至3英寸的范围内。
现在参考图22,示出了衬底处理系统750,其执行等离子体脉冲以提高在光致抗蚀剂剥离期间的灰化速率和/或在膜去除期间的去除速率。在一些示例中,光学反馈用于识别预定的RF脉冲参数和/或控制等离子体脉冲。例如,光发射光谱(OES)传感器760通过检测等离子体的光谱来产生光学反馈。在一些示例中,OES传感器760布置在视口(未示出)中,视口布置在处理室60的侧壁中。监视对应于所选亚稳态物质的一个或多个特定发射线的强度。在一些示例中,在视口和OES传感器760之间使用准直管(未示出)。OES传感器760的输出被反馈到系统控制器140。
RF生成系统770还包括脉冲调制器772,其接收RF发生器88的输出并通过使用诸如正方形、矩形、三角形、锯齿形、正弦波形或其他类型的调制波形的调制波形调制RF发生器88的功率输出来执行等离子体脉冲。还可以改变RF脉冲参数,例如调制波形的频率和/或占空比。系统控制器140基于反馈调整RF脉冲参数中的一个或多个。在一些示例中,改变RF脉冲参数以识别成组的RF脉冲参数,其中在第一状态(例如接通(ON)状态)期间亚稳态物质的强度小于在第二状态(例如关断(OFF)状态)期间亚稳态物质的强度。
现在参考图23A和23B,与非脉冲等离子体相比,当RF等离子体被施加脉冲时,亚稳态物质被增强,这至少有两个原因。在图23A中,当给等离子体施以脉冲时产生增强的长寿命物质。在该示例中,使用方波信号给等离子体施以脉冲。
亚稳态物质具有比离子和电子更长的寿命。例如,He亚稳态物种比He+和激发态高至少3个数量级。可以理解,高能Ar物质也可以通过脉冲等离子体产生。使用脉冲Ar等离子体通常比使用He等离子体的成本低。当使用脉冲等离子体时,更多亚稳态物质将扩散到衬底中并且灰化率提高。在一些示例中,与非脉冲等离子体相比,可以实现灰化率提高25%。
在第二状态(例如等离子体源关断状态)期间的快电子增加了特定线光发射(例如,对于Ar等离子体,420nm和549.6nm发射线)。本文描述的系统和方法监测亚稳态物质的特定线光发射波长处的强度水平,并基于此控制RF脉冲参数,以确保第一状态期间亚稳态物质的强度小于第二状态期间亚稳态物质的强度。
在图23B中,还通过给等离子体施以脉冲产生快速电子效应。可以看出,当RF等离子体接通一段时间后RF等离子体关断时,亚稳态物质的发射强度增加。当调制波形接通时,由于电子碰撞而发生亚稳态物质的产生。当调制波形关断时,通过复合发生再现。当调制波形通过慢电子和亚稳态物质的化学电离而关断时,产生快速电子,这进一步增加亚稳态物质密度。
虽然可以使用固定占空比,但是也可以基于来自OES传感器的反馈来改变占空比。换句话说,监测一个或多个波长的强度。当在关断时段期间的强度下降到调制波形的先前接通时段期间的强度之下时,可以将调制波形重新返回至接通持续预定时段,然后将调制波形返回至关断以产生增强的亚稳态物质强度。
现在参考图24A至24C,示出了用于调制RF等离子体信号的脉冲信号的示例。在图24A中,调制波形包括脉冲方波,其具有周期t、接通时间tON和关断时间tOFF。占空比定义为tON/t。幅值、频率和/或占空比是变化的,并且光学反馈用于识别成对的RF脉冲参数,其中第一状态期间的亚稳态物质的强度小于第二状态期间的亚稳态物质的强度。在图24B中,示出了调制波形和RF等离子体信号。在图24C中,脉冲双电平1到电平2信号调制RF等离子体信号。
现在参考图25A至25D,除了上面示出和描述的那些之外,还示出了用于调制RF等离子体信号的脉冲信号的其他示例。在图25A中,三角形波形可用于调制RF等离子体信号。在图25B中,正弦形波形可用于调制RF等离子体信号。在图25C中,渐增的锯齿波形可用于调制RF等离子体信号。在图25D中,渐减的锯齿波形可用于调制RF等离子体信号。
现在参考图26,用于识别可用于提供期望的强度比(第一状态期间的强度除以第二状态期间的强度)的调制信号的成对的RF参数的方法800。方法800使用来自光发射光谱(OES)传感器的光学反馈来监测对应于所选择的亚稳态物质的一个或多个波长。反馈还用于识别具有所需强度比的调制信号的成对的RF脉冲参数。在一些示例中,期望的强度比小于1。在其他示例中,强度比被最小化。
在每次迭代期间,该方法选择RF脉冲参数(对应于调制信号的第一状态)并使用OES传感器测量强度。改变RF脉冲参数(到调制信号的第二状态),然后该方法使用OES传感器测量强度。可以切换的调制信号的RF脉冲参数的示例包括调制信号的占空比(例如,在两个值之间,例如在0%和100%之间,在10%和100%之间,在零和非零百分比值之间,或者在两个非零百分比值之间)、调制信号的脉冲频率(例如两个不同的频率)、调制信号的幅值、调制信号的形状和/或其组合。
可以在有或没有衬底位于处理室中的情况下执行潜在RF脉冲参数的识别。在824处,通过脉冲调制器从等离子体源提供等离子体。在一些示例中,脉冲调制器开始以第一脉冲状态操作。脉冲调制器可用于改变第一状态和第二状态之间的RF脉冲参数。
在836处,使用OES传感器监测对应于所选亚稳态物质的一个或多个预定波长处的强度。在840处,选择将在状态之间变化的RF脉冲参数。例如,占空比可以从0%或20%变化到100%以识别具有小于1的强度比的占空比。在842处,RF脉冲参数在可以重复一次或多次的第一和第二连续时段期间在第一和第二状态之间变化。在844处,在第一和第二状态期间在一个或多个波长(对应于亚稳态物质)处测量强度。如果在846处确定强度比小于1,则可以使用RF脉冲参数并且可以在848处熄灭等离子体源。如果846是假的,则可以通过返回到840并且选择其他RF脉冲参数来重复该过程以改变。
现在参考图27,方法850在处理衬底期间在第一和第二状态之间切换RF参数,以增强由亚稳态物质执行的灰化。在一些示例中,可以使用在图26的方法中识别的用于第一和第二状态的RF脉冲参数。可以使用来自OES传感器的反馈来控制第一和第二状态之间的切换的时序。
在852处,将衬底布置在处理室中。在854处,从等离子体源供应等离子体,并且脉冲调制器在第一脉冲状态下操作。在856处,使用喷头过滤离子和UV光子。在858处,在喷头和衬底支撑件之间供应辅助气体。
在860处,在对应于亚稳态物质的预定波长处监测OES传感器的强度。在864处,将第一状态期间的强度与第二状态期间的强度进行比较。第二状态中的强度可以对应于来自先前时段的存储值、预定值、或来自先前时段的一个或多个强度值的函数。如果在868确定第一强度小于第二强度,则该方法在870处切换状态。如果第一强度大于第二强度,则该方法在874处继续并确定剥离周期是否结束。如果874为假,则该方法在860处继续。如果874为真,则在878处关断等离子体源和辅助气体。
现在参考图28,方法900在处理衬底期间在第一和第二状态之间切换RF参数。可以使用在图26的方法中识别的用于第一和第二状态的RF脉冲参数。不是使用反馈,而是以预定间隔执行第一和第二状态之间的切换。
在910处,该方法确定脉冲状态是否等于第一脉冲状态。如果910为真,则该方法在912处确定对应于第一脉冲状态的第一脉冲周期是否向上。如果912为假,则该方法在920处继续。如果912为真,则该方法在914处继续并且切换到第二脉冲状态。该方法从914继续到920。
当910为假时,该方法在924处继续并且确定脉冲状态是否等于第二脉冲状态。当924为真时,该方法在928继续,并确定第二脉冲周期是否为向上。当928为假时,该方法在920处继续。当928为真时,该方法在934处继续并且从第二脉冲状态切换到第一脉冲状态。该方法从934继续到920。
在920处,该方法确定剥离时段是否结束。如果920为假,则该方法在910处继续。当920为真时,该方法在940处继续并且关断等离子体源和辅助气体
前面的描述本质上仅仅是说明性的,并且决不意图限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,尽管本公开包括特定示例,但是本公开的真实范围不应当如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是关于本公开的任何实施方式描述的那些特征中的任何一个或多个可以在任何其他实施方式中实现和/或与任何其他实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用包括“连接”、“接合”、“耦合”、“相邻”、“邻近”、“在...之上”、“在...上方”、“在…下方”和“设置”的各种术语来描述元件之间(例如,在模块、电路元件、半导体层等之间)的空间和功能关系。除非明确地描述为“直接的”,否则当在上述公开中描述第一和第二元件之间的关系时,该关系可以是其中在第一和第二元件之间不存在其他中间元件的直接关系,但是也可以是其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件的间接关系。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个,B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,所述系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,所述半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制其操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具以及其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
广义地说,控制器可以定义为具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件的电子设备。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、限定为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单个的设置(或程序文件)的形式传送到控制器的指令,所述单个的设置(或程序文件)定义用于在半导体晶片上或为半导体晶片或系统执行特定处理的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在晶片的一个或多个层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯的制备过程中完成一个或多个处理步骤。
在一些实现方式中,控制器可以是计算机的一部分或耦合到计算机,所述计算机与系统集成、耦合到系统、以其他方式联网到系统或这些的组合。例如,该控制器可以在“云”中,或在晶片厂(fab)主机计算机系统的全部或部分中,其使得能够对晶片处理进行远程访问。计算机可以实现对系统的远程访问以监控制备操作的目前进展,研究过去的制备操作的历史,从多个制备操作来研究趋势或性能指标,改变当前处理的参数,设置当前处理之后的处理步骤,或开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将所述参数和/或设置从远程计算机传送到系统。在一些示例中,控制器以数据的形式接收指令,所述指令指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,对于要执行的处理的类型和与控制器接口或由控制器控制的工具的类型,参数可以是特定的。因此,如上所述,控制器可以是分布式的,例如通过包括一个或多个联网在一起并朝着共同目的(例如,本文所述的处理和控制)而工作的离散控制器。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,它们结合以控制在室上的处理。
示例系统可以包括但不限于,等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制备和/或制造相关联或在半导体晶片的制备和/或制造中使用的任何其他半导体处理系统。
如上所述,根据由工具要执行的一个或多个工艺步骤,控制器可以与一个或多个其它工具电路或模块、其他工具部件、群集工具、其它工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在半导体制造工厂中将晶片容器往返工具位置和/或装载端口输送的材料运输中使用的工具通信。

Claims (33)

1.一种用于选择性蚀刻衬底的衬底处理系统,其包括:
第一室;
第一气体输送系统,其用于向所述第一室供应惰性气体物质;
等离子体产生系统,其用于产生在所述第一室中的包括离子和亚稳态物质的等离子体;
第二室;
气体分配装置,其设置在所述第一室和所述第二室之间,以从所述等离子体中去除所述离子,阻挡由所述等离子体产生的紫外(UV)光,并将所述亚稳态物质输送到所述第二室;
衬底支撑件,其设置在所述气体分配装置下方以支撑所述衬底;以及
第二气体输送系统,其用于将反应性气体物质输送到以下之一:
所述气体分配装置;或
位于所述气体分配装置下方的容积空间,其中所述亚稳态物质将能量传递给所述反应性气体物质,以比所述衬底的至少一种其他暴露材料选择性地更多地蚀刻所述衬底的一种暴露材料。
2.根据权利要求1所述的衬底处理系统,其中所述衬底的一种暴露材料包括光致抗蚀剂。
3.根据权利要求2所述的衬底处理系统,其中所述衬底处理系统以所述光致抗蚀剂相对于所述衬底的至少一种其他暴露材料的大于50:1的比率蚀刻所述光致抗蚀剂。
4.根据权利要求3所述的衬底处理系统,其中,所述至少一种其他材料选自硅、硅锗和二氧化硅。
5.根据权利要求1所述的衬底处理系统,其中,所述惰性气体物质选自氦气、氩气、氖气、氪气和氙气。
6.根据权利要求1所述的衬底处理系统,其中所述反应性气体物质选自分子氧、分子氮、分子氢、三氟化氮和四氟化碳。
7.根据权利要求1所述的衬底处理系统,其中,所述等离子体产生系统包括布置在所述第一室的外表面周围的电感线圈,并且其中,所述等离子体产生系统选择性地向所述线圈提供500W至5kW以产生所述等离子体。
8.根据权利要求1所述的衬底处理系统,其中所述衬底支撑件在蚀刻期间将所述衬底的温度控制到从75℃至225℃的预定温度范围。
9.根据权利要求1所述的衬底处理系统,其中所述惰性气体物质和所述反应性气体物质以50sccm至10slm的流率供应。
10.根据权利要求1所述的衬底处理系统,其还包括布置在所述气体分配装置上方的遮光结构。
11.根据权利要求10所述的衬底处理系统,其中所述遮光结构包括:
第一遮光板,其包括第一多个通孔;和
第二遮光板,其位于第一遮光板和所述气体分配装置之间并与所述第一遮光板和所述气体分配装置间隔开,且包括第二多个通孔,
其中,所述第一多个通孔不与所述第二多个通孔对准,以及
其中,所述亚稳态物质流过所述第一多个通孔和所述第二多个通孔到达所述气体分配装置。
12.根据权利要求11所述的衬底处理系统,其中,所述第一多个通孔和所述第二多个通孔的直径在0.1英寸至2英寸的范围内。
13.根据权利要求11所述的衬底处理系统,其中,所述第一遮光板和所述第二遮光板的厚度在0.1英寸至0.5英寸的范围内。
14.根据权利要求11所述的衬底处理系统,其中所述第一多个通孔和所述第二多个通孔中的每一者包括10个至3000个孔。
15.根据权利要求11所述的衬底处理系统,其还包括位于所述第一遮光板上方的环形板,所述环形板包括延伸到所述第一室的侧壁的径向外边缘,并且包括具有小于所述第一遮光板的外径的直径的径向内边缘。
16.根据权利要求11所述的衬底处理系统,其中所述遮光结构包括:
第一遮光板,其没有通孔,并且包括与所述第一室的侧壁间隔开的径向外边缘;和
第二遮光板,其位于所述第一遮光板和所述气体分配装置之间并与所述第一遮光板和所述气体分配装置隔开,且包括多个通孔,
其中,所述亚稳态物质围绕所述第一遮光板流动并通过所述第二遮光板的所述多个通孔流到所述气体分配装置。
17.根据权利要求11所述的衬底处理系统,其中所述遮光结构包括:
没有通孔并且包括与所述第一室的侧壁间隔开的径向外边缘的遮光板;和
环形板,其与所述遮光板以及所述气体分配装置隔开,延伸到所述第一室的所述侧壁,并且其内径小于所述遮光板的外径,
其中,所述亚稳态物质围绕所述遮光板流动并通过所述环形板的所述内径流到所述气体分配装置。
18.根据权利要求1所述的衬底处理系统,其中,所述气体分配装置包括:
面向所述第一室的第一表面;
面向所述第二室的第二表面;
气体入口,其用于接收来自所述第二气体输送系统的反应性气体物质;
位于所述气体分配装置中的通道,其用于将所述反应性气体物质从所述气体入口输送到所述衬底上方的多个位置;
第一多个通孔,其从所述通道延伸穿过所述第二表面到达所述第二室;和
第二多个通孔,其从所述第一表面延伸到所述第二表面,以将所述亚稳态物质输送到所述第二室。
19.根据权利要求18所述的衬底处理系统,其中,所述通道包括:
环形通道,其设置在所述气体分配装置的径向外边缘附近;和
多个连接通道,其在所述环形通道之间延伸穿过所述气体分配装置。
20.根据权利要求1所述的衬底处理系统,其中所述气体分配装置包括:
面向所述第一室的第一表面;
面向所述第二室的第二表面;和
多个通孔,其限定从所述第一表面穿过所述气体分配装置到达所述第二表面的间接路径。
21.根据权利要求1所述的衬底处理系统,其中所述气体分配装置包括:
面向所述第一室的第一表面;
面向所述第二室的第二表面;
第一气体入口,其用于从所述第二气体输送系统接收第一反应性气体物质;
第二气体入口,其用于从所述第二气体输送系统接收第二反应性气体物质;
位于所述气体分配装置中的第一通道,其用于将所述第一反应性气体物质从所述第一气体入口输送到所述衬底上方的第一区域中的多个位置;
位于所述气体分配装置中的第二通道,其用于将所述第二反应性气体物质从所述第二气体入口输送到所述衬底上方的第二区域中的多个位置;
第一多个通孔,其从所述第一通道延伸穿过所述第二表面,以将所述第一反应性物质输送到所述第二室;
第二多个通孔,其从所述第二通道延伸穿过所述第二表面,以将所述第二反应性物质输送到所述第二室;和
第三多个通孔,其从所述第一表面延伸到所述第二表面,以将所述亚稳态物质输送到所述第二室。
22.根据权利要求21所述的衬底处理系统,其中:
所述第一通道的一部分径向向内延伸到所述第一区域;
所述第二通道的一部分径向向内延伸到所述第二区域;以及
所述第一多个通孔、所述第二多个通孔和所述第三多个通孔排布成同心圆。
23.根据权利要求22所述的衬底处理系统,其还包括布置在所述气体分配装置上方的遮光结构。
24.根据权利要求23所述的衬底处理系统,其中,所述遮光结构包括包含弧形孔的板,所述弧形孔相对于所述第一多个通孔、所述第二多个通孔和所述第三多个通孔未对准。
25.根据权利要求1所述的衬底处理系统,其中所述等离子体产生系统还包括脉冲调制器,所述脉冲调制器被配置成在蚀刻期间改变产生等离子体的RF信号的脉冲参数。
26.根据权利要求25所述的衬底处理系统,其中,所述脉冲调制器改变在蚀刻期间提供的所述RF信号的占空比和幅值中的至少一者。
27.根据权利要求25所述的衬底处理系统,其中,所述脉冲调制器在具有第一RF功率的第一状态和具有第二RF功率的第二状态之间改变所述脉冲参数,其比所述第一状态小。
28.根据权利要求27所述的衬底处理系统,其中,所述脉冲调制器在蚀刻期间以预定间隔在所述第一状态和所述第二状态之间切换。
29.根据权利要求27所述的衬底处理系统,其中,所述脉冲调制器接收光学反馈信号,并且在蚀刻期间基于所述光学反馈信号在所述第一状态和所述第二状态之间切换。
30.根据权利要求27所述的衬底处理系统,其中在所述第一状态期间所述亚稳态物质的第一强度小于在所述第二状态期间所述亚稳态物质的第二强度。
31.根据权利要求27所述的衬底处理系统,其中,所述RF信号具有选自由方波、矩形波、正弦波和锯齿波组成的组的包络。
32.根据权利要求27所述的衬底处理系统,其中,所述RF信号具有矩形波包络,并且在第一幅值和第二幅值之间以小于100%的占空比切换。
33.根据权利要求32所述的衬底处理系统,其中,所述第一幅值大于所述第二幅值,并且其中所述第二幅值大于或等于零。
CN201780079015.3A 2016-12-20 2017-12-20 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法 Active CN110114863B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201662436708P 2016-12-20 2016-12-20
US62/436,708 2016-12-20
US201762513615P 2017-06-01 2017-06-01
US62/513,615 2017-06-01
US201762569094P 2017-10-06 2017-10-06
US62/569,094 2017-10-06
US15/845,206 2017-12-18
US15/845,206 US11694911B2 (en) 2016-12-20 2017-12-18 Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
PCT/US2017/067660 WO2018119111A1 (en) 2016-12-20 2017-12-20 Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead

Publications (2)

Publication Number Publication Date
CN110114863A true CN110114863A (zh) 2019-08-09
CN110114863B CN110114863B (zh) 2024-04-16

Family

ID=62562651

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780079015.3A Active CN110114863B (zh) 2016-12-20 2017-12-20 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法

Country Status (5)

Country Link
US (2) US11694911B2 (zh)
KR (1) KR102465689B1 (zh)
CN (1) CN110114863B (zh)
TW (1) TW201839846A (zh)
WO (1) WO2018119111A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180065072A (ko) * 2016-12-06 2018-06-18 삼성전자주식회사 이온 빔 추출을 위한 슬릿 구조체를 포함하는 이온 빔 장비, 및 이를 이용한 식각 방법 및 자기기억소자의 제조방법
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
KR20180077392A (ko) * 2016-12-28 2018-07-09 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조 방법
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
US11967486B2 (en) * 2019-01-23 2024-04-23 Lam Research Corporation Substrate processing system including dual ion filter for downstream plasma
US10535524B1 (en) * 2019-03-11 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning threshold voltage through meta stable plasma treatment
JP7221115B2 (ja) * 2019-04-03 2023-02-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102187121B1 (ko) * 2019-04-30 2020-12-07 피에스케이 주식회사 기판 처리 장치
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
TWI706576B (zh) * 2019-08-30 2020-10-01 財團法人金屬工業研究發展中心 形成半導體結構之方法及半導體結構
US11081396B2 (en) 2019-09-12 2021-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN110867365B (zh) * 2019-11-04 2022-05-27 北京北方华创微电子装备有限公司 等离子体系统
KR20210150978A (ko) * 2020-06-03 2021-12-13 에이에스엠 아이피 홀딩 비.브이. 샤워 플레이트, 기판 처리 장치 및 기판 처리 방법
KR20230021726A (ko) * 2020-06-12 2023-02-14 램 리써치 코포레이션 이온 손상 감소 및 에칭 균일도 개선을 위한 펄싱 리모트 플라즈마
US11521834B2 (en) 2020-08-26 2022-12-06 Tokyo Electron Limited Plasma processing systems and methods for chemical processing a substrate
US20220068607A1 (en) * 2020-08-31 2022-03-03 Tokyo Electron Limited Gas Cluster Assisted Plasma Processing
US11448977B1 (en) * 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center

Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4615756A (en) * 1984-07-11 1986-10-07 Hitachi, Ltd. Dry etching apparatus
JPS639935A (ja) * 1986-07-01 1988-01-16 Hitachi Ltd ドライエツチング装置
US5002632A (en) * 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
GB9217349D0 (en) * 1992-08-14 1992-09-30 Sharp Kk Method of etching a surface of a semiconductor
JPH07335612A (ja) * 1994-06-13 1995-12-22 Hitachi Ltd 半導体集積回路装置の製造方法
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US20040084412A1 (en) * 2001-05-14 2004-05-06 Carlo Waldfried Plasma ashing process
CN101053063A (zh) * 2004-09-01 2007-10-10 艾克塞利斯技术公司 用于增加光阻移除率之装置及等离子体灰化方法
CN101326613A (zh) * 2005-12-07 2008-12-17 艾克塞利斯技术公司 用于去除表面层而不损失基片的中等压力等离子体系统
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
US20090277871A1 (en) * 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
CN102339716A (zh) * 2003-04-16 2012-02-01 Mks仪器股份有限公司 环形低场活性气体和具有绝缘真空容器的等离子体源
US20120258607A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
CN102934208A (zh) * 2009-12-15 2013-02-13 休斯敦大学体系 用脉冲等离子体进行的原子层蚀刻
TW201322332A (zh) * 2011-10-07 2013-06-01 Applied Materials Inc 透過介穩氫終止之矽的選擇性蝕刻
CN103430288A (zh) * 2011-03-14 2013-12-04 应用材料公司 用于金属及金属氧化物膜的蚀刻的方法
CN103430289A (zh) * 2011-03-14 2013-12-04 应用材料公司 用于蚀刻sin膜的方法
TW201401435A (zh) * 2012-03-07 2014-01-01 Tokyo Electron Ltd 形成外露式低K表面上之含SiOCl的層以減少低K損傷
US20140054269A1 (en) * 2012-08-27 2014-02-27 Eric A. Hudson Plasma-enhanced etching in an augmented plasma processing system.
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US20140299681A1 (en) * 2013-04-05 2014-10-09 Dhritiman S. Kashyap Cascade design showerhead for transient uniformity
CN105122424A (zh) * 2013-04-19 2015-12-02 应用材料公司 用于半导体处理应用的压力控制器配置
TW201611113A (zh) * 2014-09-11 2016-03-16 Hitachi High Tech Corp 電漿處理方法
CN105679632A (zh) * 2011-07-20 2016-06-15 朗姆研究公司 使用从惰性气体形成的亚稳态体的原子层蚀刻
CN105762060A (zh) * 2015-01-05 2016-07-13 朗姆研究公司 氧化硅和氧化锗的各向同性原子层蚀刻
US20160260616A1 (en) * 2015-03-02 2016-09-08 Applied Materials, Inc. Silicon selective removal
US20160276134A1 (en) * 2015-03-17 2016-09-22 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor

Family Cites Families (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2610556C2 (de) * 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4867841A (en) * 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4828649A (en) * 1987-07-16 1989-05-09 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon
US4820377A (en) * 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US5217559A (en) * 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
JP2989063B2 (ja) * 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3339200B2 (ja) * 1994-09-28 2002-10-28 ソニー株式会社 プラズマ発生装置、プラズマ加工方法および薄膜トランジスタの製造方法
US5779925A (en) * 1994-10-14 1998-07-14 Fujitsu Limited Plasma processing with less damage
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US6794301B2 (en) * 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6074514A (en) * 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
KR100521120B1 (ko) * 1998-02-13 2005-10-12 가부시끼가이샤 히다치 세이사꾸쇼 반도체소자의 표면처리방법 및 장치
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
TW507256B (en) * 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
US6388383B1 (en) * 2000-03-31 2002-05-14 Lam Research Corporation Method of an apparatus for obtaining neutral dissociated gas atoms
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6875700B2 (en) * 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US6875366B2 (en) * 2000-09-12 2005-04-05 Hitachi, Ltd. Plasma processing apparatus and method with controlled biasing functions
US20050026436A1 (en) * 2000-12-21 2005-02-03 Hogan Timothy J. Method for improving ash rate uniformity in photoresist ashing process equipment
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP4073174B2 (ja) * 2001-03-26 2008-04-09 株式会社荏原製作所 中性粒子ビーム処理装置
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP4221526B2 (ja) * 2003-03-26 2009-02-12 キヤノンアネルバ株式会社 金属酸化物を基板表面上に形成する成膜方法
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
JP2005064037A (ja) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置及びアッシング方法
KR20050024949A (ko) * 2003-09-05 2005-03-11 삼성전자주식회사 플라즈마 식각 장치
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
TW200537695A (en) * 2004-03-19 2005-11-16 Adv Lcd Tech Dev Ct Co Ltd Insulating film forming method, insulating film forming apparatus, and plasma film forming apparatus
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7651583B2 (en) * 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20060027611A1 (en) * 2004-07-23 2006-02-09 Hobbs Wade T Baffled water bottle
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4588391B2 (ja) * 2004-09-01 2010-12-01 芝浦メカトロニクス株式会社 アッシング方法及びアッシング装置
JP4633425B2 (ja) * 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
US7138767B2 (en) * 2004-09-30 2006-11-21 Tokyo Electron Limited Surface wave plasma processing system and method of using
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20090029564A1 (en) * 2005-05-31 2009-01-29 Tokyo Electron Limited Plasma treatment apparatus and plasma treatment method
EP1753011B1 (de) * 2005-08-13 2012-10-03 HÜTTINGER Elektronik GmbH + Co. KG Verfahren zur Erzeugung von Ansteuersignalen für HF-Leistungsgeneratoren
US20090130335A1 (en) * 2005-09-01 2009-05-21 Tomohiro Okumura Plasma processing apparatus, plasma processing method, dielectric window used therein, and manufacturing method of such a dielectric window
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
EP1956645A4 (en) * 2005-11-08 2010-04-28 Univ Tohoku ASPERSION PLATE AND PLASMA PROCESSING APPARATUS USING THE ASPERSION PLATE
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4354519B2 (ja) * 2006-09-13 2009-10-28 キヤノンアネルバ株式会社 磁気抵抗効果素子の製造方法
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
CN101647103B (zh) * 2007-03-27 2012-05-23 佳能安内华股份有限公司 真空处理装置
WO2008123060A1 (ja) * 2007-03-28 2008-10-16 Canon Anelva Corporation 真空処理装置
JP5058727B2 (ja) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
JP2011503844A (ja) * 2007-11-01 2011-01-27 ユージン テクノロジー カンパニー リミテッド 高周波駆動誘導結合プラズマを用いたウェハ表面処理装置
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
KR100999583B1 (ko) * 2008-02-22 2010-12-08 주식회사 유진테크 기판처리장치 및 기판처리방법
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US20090275206A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
WO2009140371A2 (en) * 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
JP4914902B2 (ja) * 2008-05-30 2012-04-11 キヤノンアネルバ株式会社 シリサイド形成方法とその装置
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
JP5572329B2 (ja) * 2009-01-15 2014-08-13 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ生成装置
JP2010192197A (ja) * 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US8382999B2 (en) * 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8154209B2 (en) * 2009-04-06 2012-04-10 Lam Research Corporation Modulated multi-frequency processing method
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
DE102009043840A1 (de) * 2009-08-24 2011-03-03 Aixtron Ag CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR101353012B1 (ko) * 2009-11-17 2014-01-22 가부시키가이샤 히다치 하이테크놀로지즈 시료 처리 장치, 시료 처리 시스템 및 시료의 처리 방법
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US8551248B2 (en) * 2010-04-19 2013-10-08 Texas Instruments Incorporated Showerhead for CVD depositions
JP5740203B2 (ja) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
KR20130135261A (ko) * 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
US9068265B2 (en) * 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
US9129778B2 (en) * 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US20120258555A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode and Systems Implementing the Same
US20120255678A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
US9111728B2 (en) * 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
JP5823160B2 (ja) * 2011-05-11 2015-11-25 東京エレクトロン株式会社 堆積物除去方法
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8692467B2 (en) * 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
EP2854160B1 (en) * 2012-05-23 2020-04-08 Tokyo Electron Limited Substrate processing method
US9447499B2 (en) * 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US20150167162A1 (en) * 2012-07-13 2015-06-18 Gallium Enterprises Pty Ltd Apparatus and method for film formation
WO2014116304A2 (en) * 2012-08-23 2014-07-31 Applied Materials, Inc. Method and hardware for cleaning uv chambers
US20140062285A1 (en) * 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
JP2014049466A (ja) * 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
KR101420709B1 (ko) * 2013-03-11 2014-07-22 참엔지니어링(주) 기판 지지 장치 및 이를 구비하는 기판 처리 장치
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
CN105122431A (zh) * 2013-03-13 2015-12-02 应用材料公司 脉冲式直流等离子体蚀刻方法以及设备
CN107221487B (zh) * 2013-03-15 2019-06-28 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
US20140284404A1 (en) * 2013-03-20 2014-09-25 Asm Technology Singapore Pte Ltd. Chemical vapour deposition injector
US9252024B2 (en) * 2013-05-17 2016-02-02 Applied Materials, Inc. Deposition chambers with UV treatment and methods of use
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US8940646B1 (en) * 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
JP6173851B2 (ja) * 2013-09-20 2017-08-02 株式会社日立ハイテクノロジーズ 分析方法およびプラズマエッチング装置
KR102376429B1 (ko) * 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
KR102335891B1 (ko) * 2013-12-26 2021-12-03 어플라이드 머티어리얼스, 인코포레이티드 유동성 막들의 광-보조 증착
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
JP5908001B2 (ja) * 2014-01-16 2016-04-26 東京エレクトロン株式会社 基板処理装置
US9469912B2 (en) * 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US9972477B2 (en) * 2014-06-28 2018-05-15 Applied Materials, Inc. Multiple point gas delivery apparatus for etching materials
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20160017495A1 (en) * 2014-07-18 2016-01-21 Applied Materials, Inc. Plasma-enhanced and radical-based cvd of porous carbon-doped oxide films assisted by radical curing
US10192717B2 (en) * 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US20160042943A1 (en) * 2014-08-07 2016-02-11 Lam Research Corporation Low-k dielectric film formation
US9885112B2 (en) * 2014-12-02 2018-02-06 Asm Ip Holdings B.V. Film forming apparatus
JP6410592B2 (ja) * 2014-12-18 2018-10-24 東京エレクトロン株式会社 プラズマエッチング方法
WO2017123589A1 (en) * 2016-01-15 2017-07-20 Mattson Technology, Inc. Variable pattern separation grid for plasma chamber
JP6625891B2 (ja) * 2016-02-10 2019-12-25 株式会社日立ハイテクノロジーズ 真空処理装置
JP2017157778A (ja) * 2016-03-04 2017-09-07 東京エレクトロン株式会社 基板処理装置
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
JP7447093B2 (ja) * 2018-09-10 2024-03-11 ラム リサーチ コーポレーション 準安定活性ラジカル種を使用する原子層処置プロセス

Patent Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4615756A (en) * 1984-07-11 1986-10-07 Hitachi, Ltd. Dry etching apparatus
JPS639935A (ja) * 1986-07-01 1988-01-16 Hitachi Ltd ドライエツチング装置
US5002632A (en) * 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
GB9217349D0 (en) * 1992-08-14 1992-09-30 Sharp Kk Method of etching a surface of a semiconductor
JPH07335612A (ja) * 1994-06-13 1995-12-22 Hitachi Ltd 半導体集積回路装置の製造方法
CN1128899A (zh) * 1994-06-13 1996-08-14 株式会社日立制作所 半导体集成电路装置的制造方法
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US20040084412A1 (en) * 2001-05-14 2004-05-06 Carlo Waldfried Plasma ashing process
CN102339716A (zh) * 2003-04-16 2012-02-01 Mks仪器股份有限公司 环形低场活性气体和具有绝缘真空容器的等离子体源
CN101053063A (zh) * 2004-09-01 2007-10-10 艾克塞利斯技术公司 用于增加光阻移除率之装置及等离子体灰化方法
CN101326613A (zh) * 2005-12-07 2008-12-17 艾克塞利斯技术公司 用于去除表面层而不损失基片的中等压力等离子体系统
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
US20090277871A1 (en) * 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
CN102934208A (zh) * 2009-12-15 2013-02-13 休斯敦大学体系 用脉冲等离子体进行的原子层蚀刻
CN103430288A (zh) * 2011-03-14 2013-12-04 应用材料公司 用于金属及金属氧化物膜的蚀刻的方法
CN103430289A (zh) * 2011-03-14 2013-12-04 应用材料公司 用于蚀刻sin膜的方法
US20120258607A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
CN105679632A (zh) * 2011-07-20 2016-06-15 朗姆研究公司 使用从惰性气体形成的亚稳态体的原子层蚀刻
TW201322332A (zh) * 2011-10-07 2013-06-01 Applied Materials Inc 透過介穩氫終止之矽的選擇性蝕刻
TW201401435A (zh) * 2012-03-07 2014-01-01 Tokyo Electron Ltd 形成外露式低K表面上之含SiOCl的層以減少低K損傷
CN103632954A (zh) * 2012-08-27 2014-03-12 朗姆研究公司 加强等离子体处理系统中的等离子体增强蚀刻
US20140054269A1 (en) * 2012-08-27 2014-02-27 Eric A. Hudson Plasma-enhanced etching in an augmented plasma processing system.
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US20140299681A1 (en) * 2013-04-05 2014-10-09 Dhritiman S. Kashyap Cascade design showerhead for transient uniformity
CN105122424A (zh) * 2013-04-19 2015-12-02 应用材料公司 用于半导体处理应用的压力控制器配置
TW201611113A (zh) * 2014-09-11 2016-03-16 Hitachi High Tech Corp 電漿處理方法
CN105762060A (zh) * 2015-01-05 2016-07-13 朗姆研究公司 氧化硅和氧化锗的各向同性原子层蚀刻
US20160260616A1 (en) * 2015-03-02 2016-09-08 Applied Materials, Inc. Silicon selective removal
US20160276134A1 (en) * 2015-03-17 2016-09-22 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor

Also Published As

Publication number Publication date
KR20190090034A (ko) 2019-07-31
CN110114863B (zh) 2024-04-16
US20230369076A1 (en) 2023-11-16
KR102465689B1 (ko) 2022-11-09
US11694911B2 (en) 2023-07-04
WO2018119111A1 (en) 2018-06-28
US20180174870A1 (en) 2018-06-21
TW201839846A (zh) 2018-11-01

Similar Documents

Publication Publication Date Title
CN110114863A (zh) 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法
US10424485B2 (en) Enhanced etching processes using remote plasma sources
JP7028956B2 (ja) プラズマエッチングプロセスでのコーティング部品を使用するプロセスウィンドウの拡大
US11024486B2 (en) Semiconductor processing systems having multiple plasma configurations
US10424464B2 (en) Oxide etch selectivity systems and methods
US9659791B2 (en) Metal removal with reduced surface roughness
US9378969B2 (en) Low temperature gas-phase carbon removal
US9406523B2 (en) Highly selective doped oxide removal method
US9449845B2 (en) Selective titanium nitride etching
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
US20150357205A1 (en) Selective titanium nitride removal
JP5728482B2 (ja) 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
CN111463125A (zh) 使用多个流动途径的自由基化学调制及控制
KR20180035158A (ko) 기판 프로세싱 시스템의 기판 영역에서 인시츄로 산소를 검출하는 시스템들 및 방법들
CN110537241A (zh) 使用同时存在的原位和远程等离子体源进行快速室清洁
TW202031921A (zh) 使用介穩活化自由基物種的原子層處理製程
CN107665803A (zh) 用于蚀刻复合三维结构的压力吹扫蚀刻方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant