KR20130135261A - 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들 - Google Patents

실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들 Download PDF

Info

Publication number
KR20130135261A
KR20130135261A KR1020137014088A KR20137014088A KR20130135261A KR 20130135261 A KR20130135261 A KR 20130135261A KR 1020137014088 A KR1020137014088 A KR 1020137014088A KR 20137014088 A KR20137014088 A KR 20137014088A KR 20130135261 A KR20130135261 A KR 20130135261A
Authority
KR
South Korea
Prior art keywords
plasma
carbosilane
precursor
silicon carbide
exposing
Prior art date
Application number
KR1020137014088A
Other languages
English (en)
Inventor
티모시 더블유. 와이드먼
토드 슈로더
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130135261A publication Critical patent/KR20130135261A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

기판 표면 상에 실리콘 탄화물막들의 성막을 위한 방법들이 제공된다. 그 방법들은 증기상 카보실란 전구체들의 이용을 포함하고, 플라즈마 강화 원자층 성막 프로세스들을 채용할 수도 있다. 그 방법들은 600℃ 미만의 온도에서, 예를 들어, 약 23℃와 약 200℃ 사이에서, 또는 약 100℃에서 실행될 수 있다. 그 후, 이 실리콘 탄화물층은 고밀도화되어, 수소 함유량을 제거할 수도 있다. 부가적으로, 실리콘 탄화물층은 질소 소스에 노출되어 반응성 N-H기들을 제공할 수도 있으며, 그 후, 이는 다른 방법들을 이용하여 막 성막을 계속하는데 이용될 수 있다. 플라즈마 프로세싱 조건들이 막들의 탄소, 수소 및/또는 질소 함유량을 조정하는데 이용될 수 있다.

Description

실리콘 탄화물 및 실리콘 탄질화물 막들의 성막을 위한 장치 및 방법들{APPARATUS AND METHODS FOR DEPOSITION OF SILICON CARBIDE AND SILICON CARBONITRIDE FILMS}
본 발명의 제 1 양태는 일반적으로 기판 표면들 상에 실리콘 탄화물층들 또는 막들을 성막하는(depositing) 방법들에 관한 것이다. 제 1 양태의 특정 실시예들에 있어서, 본 발명은 유기 실란 전구체 화합물들을 활용한 원자층 성막 프로세스들에 관한 것이다. 본 발명의 제 2 양태는 플라즈마 강화 원자층 성막을 위한 장치 및 방법들에 관한 것이다. 제 2 양태의 특정 실시예들에 있어서, 그 장치는 이중 채널들을 갖는 샤워헤드 또는 페이스플레이트를 활용하여, 원격으로 발생된 플라즈마를 제 1 채널 세트를 통해 전달하고, 전구체 및 다른 가스들을 제 2 채널 세트를 통해 전달한다. 제 3 양태에 있어서, 실리콘 탄화물층들을 형성하는 방법들은 제 2 양태에 따라 설명된 장치에서 수행될 수 있다.
기판 표면 상의 박막들의 성막은, 자기 판독/기입 헤드들을 위한 반도체 프로세싱, 확산 장벽 코팅들 및 유전체들을 포함한 다양한 산업들에서 중요한 프로세스이다. 반도체 산업에 있어서, 특히, 소형화는 고 애스팩트 구조들 상에서 컨포멀 코팅들을 생성하기 위해 박막 성막의 원자 레벨 제어를 요구한다. 원자층 제어로의 박막들의 성막 및 컨포멀 성막을 위한 일 방법은 원자층 성막(ALD)이며, 이 원자층 성막은 순차적이고 자기-한정적 표면 반응들을 채용하여 옹스트롱 또는 단층 레벨로 제어된 정밀한 두께의 층들을 형성한다. 대부분의 ALD 프로세스들은, 바이너리 화합물막을 성막하는 바이너리 반응 시퀀스들에 기초한다. 2개의 표면 반응들 각각은 순차적으로 발생하고, 그 반응들은 자기-한정적이기 때문에, 박막이 원자 레벨 제어로 성막될 수 있다. 표면 반응들이 순차적이기 때문에, 2개의 가스상 반응물들은 접촉되지 않고, 입자들을 형성 및 성막할 수도 있는 가능한 가스상 반응들은 한정적이다. 표면 반응들의 자기-한정적 본성은 또한, 모든 반응 사이클 동안 반응이 완료되게 하여, 연속적이고 핀홀없는 막들을 발생시킨다.
원자층 성막은 반도체들과 같은 회로 디바이스들의 제조 프로세스에 있어서 피처들을 형성하는데 이용될 수도 있다. 박막은 프로세스 챔버에 배치된 기판의 표면을, 각각이 일반적으로 제어된 막 두께를 제공하는 반응을 경험하는 반응물들 또는 화학적 전구체들의 교번하는 펄스들에 노출함으로써 층별로 성장된다. 각각의 반응물 펄스는 이전에 성막된 층들에 부가적인 원자층을 제공한다. 막 성장 사이클은 일반적으로 2개의 펄스들로 이루어지고, 각각의 펄스는 퍼지에 의해 분리된다. 프로세스 챔버는 반응물 또는 전구체 재료를 제거하기 위해 비활성 가스로 퍼지될 수 있다. 제 2 의 반응물 또는 전구체 재료가 반응기에 펄싱될 경우, 제 2 의 반응물 또는 전구체 재료는 웨이퍼 표면 상에서 전구체 재료와 반응한다. 반응기는 비활성 가스로 다시 퍼지된다. ALD 제조 프로세스에 있어서, 성막된 막의 두께는 사이클들의 수에 의해 제어된다.
원자층 성막은 또한, 기판 표면 상에 재료의 층을 성막하기 위해 2개 또는 그 이상의 반응성 화합물들의 순차적인 도입을 지칭하는 순환식 성막으로서 지칭될 수도 있다. 대안적으로, 2개 또는 그 이상의 반응성 화합물들이 프로세싱 챔버의 반응 존 또는 프로세스 영역으로 도입된다. 반응성 화합물들은 가스 상태, 플라즈마 상태, 증기 상태, 유체 상태 또는 기상성막 프로세스에 유용한 다른 물질 상태일 수도 있다. 일반적으로, 각각의 반응성 화합물은 각각의 화합물로 하여금 기판 표면에 부착, 흡착, 흡수, 및/또는 반응하게 하기 위해 시간 지연만큼 분리된다. 통상의 ALD 프로세스들에 있어서, 제 1 전구체 또는 화합물 A는 반응 존으로 펄싱된 이후 제 1 시간 지연된다. 다음으로, 제 2 전구체 또는 화합물 B는 반응 존으로 펄싱된 이후 제 2 지연된다. 화합물 A 및 화합물 B는 성막된 재료를 형성하기 위해 반응한다. 각각의 시간 지연 동안, 퍼지 가스가 프로세싱 챔버에 도입되어, 반응 존을 퍼지하거나, 그렇지 않으면, 임의의 잔여 반응성 화합물 또는 부산물들을 반응 존으로부터 제거한다. 대안적으로, 퍼지 가스는 성막 프로세스 전반에 걸쳐 계속 플로우할 수도 있어서, 오직 퍼지 가스는 반응성 화합물들의 펄스들 간의 시간 지연 동안에만 플로우한다. 대안적으로, 반응성 화합물들은, 성막된 재료의 원하는 막 두께가 기판 표면 상에 형성될 때까지 펄싱된다. 어느 하나의 시나리오에 있어서, 화합물 A를 펄싱하는 것, 퍼지 가스, 화합물 B를 펄싱하는 것 및 퍼지 가스의 ALD 프로세스는 일 사이클이다.
실리콘 탄화물(SixCyHz) 및 유사한 막들은 다양한 어플리케이션들에 대한 유망한 재료들이다. 예를 들어, 반도체 디바이스들에 있어서, SixCyHz의 일부 조성들은 열화없이 고온에서, 고 전압에서 및 고 주파수에서 기능한다. 탁월한 기계적, 화학적 및 전기적 능력들이 또한 미세전자기계 시스템(MEMS)에서 실리콘 탄화물을 매력적인 재료가 되게 한다. 실리콘 탄화물은 EUV 및 연질 X선 광학, 태양 전지들에서의 패시베이션층들을 위한 매력적인 재료로 고려된다.
ALD에 부가하여, 종래의 화학기상성막(CVD) 및 플라즈마 강화 CVD(PECVD)를 포함하여 다양한 다른 기술들이 실리콘 탄화물 박막들의 성막용으로 이용된다. 기존 프로세스들에서의 개선뿐 아니라 새로운 성막 프로세스들이 요구된다. 본 발명은, 양호한 등각성(conformality) 및 성막 레이트들, 낮은 응력 및 높은 에칭 레이트 선택도를 갖고 상대적으로 저온에서 수행될 수도 있는 박막 성막 프로세스들을 제공한다.
본 발명의 일 실시예는 기판 표면 상에 실리콘 탄화물을 형성하는 방법에 관한 것으로서, 그 방법은 반응성 표면을 갖는 기판을 증기상 카보실란 전구체에 노출하여 기판 표면 상에 실리콘 탄화물층을 형성하는 단계를 포함하고, 여기서, 카보실란 전구체는 적어도 2개의 실리콘 원자들을 가교하는 적어도 하나의 탄소 원자를 함유한다. 따라서, 본 발명의 일 양태는 기판 표면 상에 층을 형성하는 방법에 관한 것이고, 그 방법은 기판을 제공하는 단계, 적어도 2개의 실리콘 원자들을 가교하는 적어도 하나의 탄소 원자를 함유하는 카보실란 전구체에 기판 표면을 노출하는 단계, 기판 표면에 카보실란을 제공하기 위해 카보실란 전구체를 저 전력 에너지 소스에 노출하는 단계, 카보실란을 고밀도화하는 단계, 및 카보실란 표면을 질소 소스에 노출하는 단계를 포함한다. 이 양태의 일 실시예에 있어서, 카보실란을 고밀도화하는 것은 He, Ar 및 H2 중 하나 또는 그 초과를 함유하는 플라즈마에 기판 표면을 노출하는 것을 포함한다. 상이한 실시예에 있어서, 카보실란 전구체는 적어도 2개의 실리콘 원자들을 가교하는 메틸렌기를 함유한다. 더 특정한 실시예에 있어서, 카보실란은 1,3-디실라프로판, 1,3-디실라부탄, 1,3-디실라시클로부탄, 1,3,5-트리실라시클로헥산, 1,3,5-티실라헥산, 1,3,5-트리실라펜탄, 1,3,5,7-테트라실라헵탄, 및 2,4,6-트리실라헵탄 중 하나 또는 그 초과이다. 이 실시예의 일 변형예에 있어서, 카보실란 전구체는 1,3,5-트리실라펜탄이다.
이 양태의 다른 실시예에 있어서, 카보실란을 질소 소스에 노출하는 것은 질소를 함유하는 플라즈마에 카보실란을 노출하는 것을 포함한다. 이 실시예의 더 특정한 버전에 있어서, 질소를 함유하는 플라즈마에 카보실란을 노출하는 것은 카보실란의 단층의 기판 표면으로의 비가역 부착을 촉진하는 N-H 결합의 형성을 발생시킨다. 대안적으로, 상이한 실시예에 있어서, 카보실란을 질소 소스에 노출하는 것은 암모니아를 플로우하는 것을 포함한다. 일 실시예에 있어서, 이 양태는 실리콘-할로겐 결합 또는 실리콘-유사 할로겐 결합을 함유하는 제 2 전구체에 기판 표면을 노출하는 것을 더 포함한다.
이 양태의 다른 실시예에 있어서, 카보실란 전구체를 저 전력 에너지 소스에 노출하는 것은 카보실란 전구체를 전자 빔에 노출하는 것을 포함한다. 대안적인 실시예에 있어서, 카보실란 전구체를 저 전력 에너지 소스에 노출하는 것은 카보실란 전구체를 저 전력 플라즈마에 노출하는 것을 포함한다. 이 실시예의 더 특정한 변형예에 있어서, 카보실란 전구체를 저 전력 플라즈마에 노출하는 것은 카보실란의 중합을 발생시킨다. 상이한 실시예에 있어서, 저 전력 플라즈마는 약 10W 내지 약 200W의 값을 가진다. 또다른 상이한 실시예에 있어서, 카보실란 전구체는 약 0.10초 내지 약 5.0초 동안 저 전력 플라즈마에 노출된다.
SiC에 대한 중간 표면 결합 카보실란계 단층의 고밀도화를 실시하기 위해, 기판 표면은 수소 원자들을 제거하기에 효과적인 플라즈마로 처리될 수도 있다. 그러한 목적들에 적절한 통상의 가스 혼합물들은 H2, He 및 Ar과 같은 비활성 가스들, 또는 가장 빈번하게는 이들의 혼합물들을 포함할 수 있다. 그러한 플라즈마들에서 발생된 수소 이온들 및 라디칼 종 양자는, H2의 손실 및 가교를 발생시키는 막에 있어서 Si 또는 C에 결합된 수소 원자들과 결합함에 있어서 특히 효율적이고 선택적이다. 퍼지한 이후, 플라즈마 처리된 실리콘 탄화물 중간물은 부가적인 카보실란 전구체에 가스 상으로 재노출되어, 부가적인 흡착층을 형성할 수 있다. SiCN을 타겟팅하면, 가스 혼합물 혼입 질소(N2)를 이용한 부가적인 플라즈마 단계가 부가적인 실리콘 탄화물층들을 부가하기 전 시퀀스에 도입될 수 있다. 플라즈마 활성화 및 실리콘 탄화물 가스 노출의 사이클들은 원하는 층 두께가 달성될 때까지 반복될 수도 있다. 성막 프로세스들에 유용한 카보실란 전구체들은 선형 또는 환형 카보실란들(즉, 탄소 및 실리콘 원자들의 교번하는 주쇄를 갖는 화합물들)일 수도 있다. 예들로는 1,3-디실라프로판, 1,3-디실라부탄, 1,3-디실라시클로부탄, 1,3,5-트리실라시클로헥산, 1,3,5-티실라헥산, 1,3,5-트리실라펜탄, 1,3,5,7-테트라실라헵탄, 및 2,4,6-트리실라헵탄을 한정없이 포함한다.
추가의 실시예에 있어서, 본 발명은 기판 표면 상에 실리콘 탄화물을 형성하는 방법을 제공하고, 그 방법은 기판 표면을 플라즈마로 처리하여 그 표면을 활성화하는 단계, 처리된 기판 표면을 증기상 카보실란 화합물에 노출하여 기판 표면 상에 실리콘 탄화물층을 형성하는 단계로서, 증기상 실리콘 탄화물은 적어도 2개의 실리콘 원자들을 가교하는 적어도 하나의 탄소를 함유하는, 상기 실리콘 탄화물층을 형성하는 단계, 실리콘 탄화물층을 플라즈마로 처리하여 실리콘 탄화물층을 활성화하는 단계, 및 처리된 실리콘 탄화물층을 증기상 카보실란 전구체에 노출하여 기판 표면 상에 부가적인 실리콘 탄화물층을 형성하는 단계를 포함한다. 그러한 플라즈마는 수소 원자들을 제거하는데 효과적일 수도 있다. 더욱이, 적절한 통상의 가스들은 수소 가스, 비활성 가스들(예를 들어, He, Ar 등) 및 이들의 혼합물들을 포함하지만 이에 한정되지 않는다. 추가의 부가적인 실리콘 탄화물층들이 요구된다면, 가스상 실리콘 탄화물은 퍼지될 수도 있으며, 플라즈마 처리 및 실리콘 탄화물 노출 단계들은 원하는 층 두께가 획득될 때까지 반복될 수도 있다. 후속의 성막 사이클들에 있어서 증기상 카보실란 전구체는 이전 사이클들에서 성막된 카보실란 전구체와 동일하거나 상이할 수도 있다. 또한, 반응성 표면을 증기상 카보실란 전구체에 노출하기 전에 표면 활성화 플라즈마를 퍼지하고 부가적인 층들의 성막 전에 실리콘 탄화물층 활성화 플라즈마를 퍼지하는 것이 바람직할 수도 있다. 특정 실시예들에 있어서, 카보실란 전구체는 선형 또는 환형일 수도 있다.
본 발명의 특정 실시예에 있어서, 증기상 카보실란 전구체 화합물은 1,3,5-트리실라시클로헥산이다. 이 실시예에 있어서, 기판 표면 상에 실리콘 탄화물을 형성하는 방법은 성막 챔버에서, 반응성 표면을 갖는 기판을 증기상 1,3,5-트리실라시클로헥산에 노출하여 기판 표면 상에 실리콘 탄화물층을 형성하는 단계, 성막 챔버로부터 1,3,5-트리실라시클로헥산을 퍼지하는 단계, 실리콘 탄화물층을 플라즈마에 노출하여 실리콘 탄화물층을 활성화하는 단계, 성막 챔버로부터 플라즈마를 퍼지하는 단계, 및 활성화된 실리콘 탄화물층을 증기상 1,3,5-트리실라시클로헥산에 노출하여 기판 표면 상에 부가적인 실리콘 탄화물층을 형성하는 단계를 포함한다. 요구된다면, 추가의 부가적인 실리콘 탄화물층들이, 통상적으로 플라즈마 활성화와 실리콘 탄화물 성막 단계들 사이에서 퍼지들을 갖고 플라즈마 활성화 및 실리콘 탄화물 성막 단계들을 반복함으로써 형성될 수도 있다. 대안적으로, 1,3,5-트리실라시클로헥산층 상에 성막된 카보실란 전구체는 임의의 다른 카보실란 전구체일 수도 있다. 특정 실시예에 있어서, SiCN계 막의 성막은 1,3,5-트리실라펜탄을 포함하는 전구체를 이용하여 실행된다. 이 전구체는 실온에서 충분한 증기 압력을 가져서, (가열없이) 증기 인출 앰플 또는 버블러 구성으로의 용이한 전달을 허용한다. 부가적으로, 다수의 반응성 Si-H 결합들(2개의 말단-SiH3기들 및 하나의 가교-SiH2-기)이 질소와 같은 부가적인 이종원자들의 용이한 도입을 허용한다.
특정 실시예들에 있어서, 전술한 프로세스들 중 임의의 프로세스는 600℃ 미만의 온도에서 수행될 수도 있다. 더 특정한 실시예들에 있어서, 그 프로세스들은 실온(약 23℃)과 200℃ 사이의 온도에서 또는 약 100℃에서 수행될 수도 있다.
도 1은, 전구체 1,3,5-트리실라시클로헥산이 실리콘 탄화물층들의 성막용으로 이용되는 본 발명의 범위 내에서의 예시적인 프로세스를 도시한 것이다.
도 2a는 하나 또는 그 초과의 실시예들에 따른 ALD 프로세스 챔버에서 사용하기 위한 독립적인 가스 플로우 채널들을 갖는 샤워헤드 및 페이스플레이트의 단면을 도시한 것이다.
도 2b는 하나 또는 그 초과의 실시예들에 따른 ALD 프로세스 챔버에서 사용하기 위한 독립적인 전구체 및 플라즈마 가스 채널들을 갖는 샤워헤드의 단면을 도시한 것이다.
도 3a는, 하나 또는 그 초과의 실시예들에 따른 ALD 프로세스 챔버에서 사용하기 위한 페이스플레이트에 동심형 홀들을 포함하는 독립적인 채널들을 통해 프로세스 가스들이 제공되는 샤워헤드의 단면도 부분을 도시한 것이다.
도 3b는 하나 또는 그 초과의 실시예들에 따른 ALD 프로세스 챔버에서 사용하기 위한 동심형 홀 설계를 갖는 페이스플레이트의 표면을 도시한 것이다.
도 3c는, 페이스플레이트에 형성된 독립적인 병렬 채널들을 통해 프로세스 가스들이 제공되는 샤워헤드의 다른 단면부를 도시한 것이다.
도 3d는, 하나 또는 그 초과의 실시예들에 따른 ALD 프로세스 챔버에서 사용하기 위한 샤워헤드의 에지로부터 중심까지 프로세스 가스를 플로우하는 샤워헤드의 단면부를 도시한 것이다.
도 4는 하나 또는 그 초과의 실시예들에 따른 예시적인 프로세스 시퀀스를 도시한 것이다.
도 5는 비교예의 SiCN막의 푸리에 변환 적외선 스펙트럼이다.
도 6은 비교예의 SiCN막의 푸리에 변환 적외선 스펙트럼이다.
도 7은 비교예의 SiCN막의 푸리에 변환 적외선 스펙트럼이다.
도 8a 내지 도 8c는 본 발명의 실시예에 따라 형성된 3개의 SiCN막들의 푸리에 변환 적외선 스펙트럼이다.
본 발명의 수개의 예시적인 실시예들을 설명하기 전에, 본 발명은 다음의 설명에 기재된 구성 또는 프로세스 단계들의 상세에 한정되지 않음을 이해해야 한다. 본 발명은 다른 실시예들이 가능하고, 다양한 방식들로 실시 또는 수행되는 것이 가능하다.
본 명세서에서 사용되는 바와 같이, "SiH 함유 전구체"는 복수의 Si-H 결합들을 함유하는 전구체 분자를 지칭한다. SiH 함유 전구체들은 실란 및 카보실란을 포함한다. 용어 "실란"은, 실리콘-수소 결합들을 포함하여 실리콘 및 수소 원자들을 함유하는 화합물들을 지칭한다. "유기 실란"과 대체가능하게 사용될 수도 있는 용어 "카보실란"은 실리콘, 수소 및 탄소 원자들을 함유하고 또한 적어도 하나의 탄소-실리콘 공유 결합을 함유하는 화합물들을 지칭한다.
본 명세서에서 사용되는 바와 같이, 용어들 "카보실란 전구체" 또는 "실리콘 탄화물 전구체" 및 그 등가물들은 적어도 하나의 Si-C 결합을 함유하는 유기 실리콘 화합물들을 지칭한다. 본 발명의 특정 실시예들에 있어서, 카보실란 전구체는 적어도 2개의 실리콘 원자들 간의 가교 위치에서 탄소 원자를 함유한다. 본 명세서에서 사용되는 바와 같이, "적어도 2개의 실리콘 원자들을 가교하는 적어도 하나의 탄소 원자를 함유하는 것" 또는 "적어도 2개의 실리콘 원자들 간의 가교 위치에서 적어도 하나의 탄소 원자를 함유하는 것"은 Si-C-Si 컴포넌트를 함유하는 카보실란을 지칭한다. 탄소는, 메틸렌기를 구성하고 Si-CH2-Si 컴포넌트를 발생시키는 2개의 수소들을 가질 수도 있다. 실리콘 원자들은 수소 또는 부가적인 실리콘 및/또는 탄소 원자들을 포함하지만 이에 한정되지 않는 매우 다양한 치환기들을 가질 수도 있다. 일부 특정 실시예들에 있어서, 탄소 원자는 3개 또는 4개의 실리콘 원자들을 가교할 수도 있다.
본 명세서에서 지칭되는 실리콘 탄화물은 식 SixCyHx에 의해 표현될 수도 있고, 여기서, x, y 및 z 각각은 적어도 1이고 화합물은 적어도 하나의 Si-H 결합을 함유한다. 상기 논의된 바와 같이, x가 적어도 2인 카보실란 전구체들은, 탄소가 2개의 상이한 Si 원자들에 결합되는 가교 메틸렌 유닛들(-Si-CH2-Si-)을 혼입할 수도 있다. 화합물들은 선형 카보실란 또는 환형 카보실란일 수도 있다. 선형 카보실란 전구체들의 특정 예들에 있어서, x는 1 내지 6이고, y는 1 내지 6이고, z는 1 내지 26이다. 특정 예들에 있어서, 환형 카보실란 전구체들은 실라시클로부탄, 실라시클로펜탄 및 실라시클로헥산과 같은 4-, 5- 및 6-부재 고리들을 포함한다. 특정 카보실란 전구체들은 1,3-디실라프로판, 1,3-디실라부탄, 1,3-디실라시클로부탄, 1,3,5-트리실라시클로헥산, 1,3,5-티실라헥산, 1,3,5-트리실라펜탄, 1,3,5,7-테트라실라헵탄, 및 2,4,6-트리실라헵탄을 한정없이 포함한다.
본 명세서에서 사용되는 바와 같이, 용어들 "반응성 표면", "활성화된 표면", "활성화된 실리콘 탄화물층" 및 그 균등물들은, 더 반응성이거나 "불포화" 표면을 발생시킬 수 있는 수소 추출을 촉진시키도록 처리된 기판 표면 또는 층을 지칭한다. 이는 예를 들어, 플라즈마 처리에 의해 달성될 수도 있다. 플라즈마는 예를 들어, 수소 플라즈마 또는 비활성 가스 플라즈마(예를 들어, 헬륨, 아르곤, 네온 또는 제논)일 수도 있다. 또한, N2를 혼입한 가스 혼합물의 이용을 포함할 수 있고, 이는 일부 질소의 성막하는 막으로의 혼입을 발생시킬 수도 있다. 반응성 또는 활성화된 표면 또는 층의 발생은 그 표면 또는 층으로의 카보실란 전구체의 부착을 위한 활성 사이트들을 제공한다. 반응성 또는 활성화된 표면 또는 층은 활성 사이트들로서, 댄글링 Si 결합들, Si=Si 이중 결합들, Si=C 이중 결합들, Si-H 결합들로 삽입된 질소, 또는 Si-Si 결합들로 삽입된 질소를 포함할 수도 있다.
본 명세서에서 사용되는 바와 같이, 용어 "기판 표면" 또는 그 균등물은, 막 프로세싱이 제조 프로세스 동안에 수행되는 임의의 재료를 지칭한다. 예를 들어, 프로세싱이 수행될 수 있는 기판 표면들은 실리콘, 실리콘 산화물 및 실리콘 탄화물을 포함한다.
본 명세서에서 사용되는 바와 같이, "저 전력 에너지 소스"는, 기판 표면에 성막된 실리콘 탄화물막을 손상시키지 않을 에너지의 소스를 지칭한다. 예들로는 자외선, 전자 빔 및 이온 빔을 포함한다. 예를 들어, 에너지의 소스가 플라즈마인 경우, RF 값은 약 200W 미만이다.
본 발명의 실시예들은, 카보실란 전구체들을 이용하여 저온에서 기상성막 프로세스에 있어서 기판 상에 실리콘 탄화물, 및 일부 경우들에서는 실리콘 탄질화물을 선택적으로 성막하거나 형성하는 방법들을 제공한다. 그러한 방법들은 예를 들어, 원자층 성막(ALD), 플라즈마 강화 ALD(PE-ALD), 화학기상성막(CVD), 또는 플라즈마 강화 CVD(PE-CVD)를 포함한다. ALD 및 PE-ALD에 있어서, 프로세싱 챔버는 기상성막 프로세스 동안 일련의 증기상 전구체들 및/또는 플라즈마들로의 기판의 순차적인 노출을 허용하도록 구성된다.
본 발명의 다양한 실시예들에서 사용되는 전구체들은 적어도 하나의 실리콘 원자를 가교하는 적어도 하나의 탄소 원자를 함유하는 카보실란 전구체들이다. 예를 들어, 그 전구체는, 탄소가 2개의 상이한 Si 원자들에 결합되는 가교 메틸렌 유닛들(-Si-CH2-Si-)을 함유할 수도 있다. 특정 실시예에 있어서, 그 전구체는 하나 초과의 가교 메틸렌 유닛을 함유한다. 순차적인 플라즈마 활성화 단계들 동안, 그러한 전구체들은 상대적으로 낮은 온도(예를 들어, 100℃) 및 플라즈마 밀도에서도 실리콘 탄화물에 대한 선택적인 탈수소 축합을 경험하는 것으로 발견되었다. 대조적으로, 메틸실란계 전구체들은 Si-C 결합 절단을 포함하여 플라즈마 반응하기에 더 쉬울 수도 있다. 교번하는 Si-C-Si-C- 결합들로 이루어진 주쇄들을 함유하는 카보실란계 전구체들과 연관된 부가적인 핵심 속성은 이종 원자들을 도입하기 위한 단순하고 효율적인 다이렉트 플라즈마 기반 방법들의 이용을 용이하게 한다는 것이다. 예를 들어, 질소는 탄소의 최소 손실로 (짧은 N2 플라즈마로의 노출에 의해) 혼입될 수도 있다. 메틸실란계 전구체들의 유사한 처리는 탄소의 질소로의 거의 완전한 대체를 야기한다. 대안적인 실시예들에 있어서, 원격 플라즈마가 본 발명의 다양한 실시예들에 따라 이용될 수도 있다.
다양한 실시예들에 있어서, 카보실란 전구체들은 성막된 막들에서 감소된 단편화가 존재하도록 선택된다. 휘발성 단편들로의 막의 단편화는 고밀도화를 방지하고, 유동성 어플리케이션들에서 수축 및 크래킹을 야기한다. 확장형 Si-C-Si 주쇄를 갖는 더 높은 카보실란은 단편화 대신 탈수소 고밀도화 반응들을 향하는 경향이 있을 경우에 특히 적절하다. 다른 실시예에 있어서, 카보실란은 각각 3개 또는 4개 실리콘 원자들 사이에 가교 CH2기 또는 단순 C 원자를 함유한다. 말단 메틸 치환기들만을 초기에 함유하는 것과 같은 그러한 가교 메틸렌기들이 없는 전구체들은 플라즈마 여기에 대한 재배열을 경험하여 메틸렌 가교형 카보실란을 형성할 수도 있고, 따라서, 이 경우, Si-CH3 치환기의 Si-C 결합의 실질적인 절단이 또한 존재할 수도 있지만, 또한 적절하다.
이에 따라, 2개의 실리콘 원자들 간의 가교 위치에서 탄소를 함유하는 카보실란 전구체들은 탄소의 효율적인 보유를 갖는 탄화물형 세라믹들에 통합될 수 있다. 한편, 탄소는 전구체가 가교 탄소 원자를 함유하지 않을 정도로 보유되지는 않는다. 예를 들어, 메틸실란에 기초한 전구체들은 탄소의 실질적인 손실과의 통합을 경험한다.
특정 실시예들에 있어서, 적어도 2개의 실리콘 원자들을 가교하는 적어도 하나의 탄소 원자를 함유하는 카보실란 전구체들은 SiC의 박막들을 생성하는데 이용된다. 일부 실시예들에 있어서, SiC의 이들 박막들은 그 후, 탄소 원자들의 일부를 SiC로부터 치환함으로써 SiCN으로 변환될 수 있다. 본 명세서에서 설명된 바와 같이 카보실란 전구체들은 실리콘 함유막의 박층을 성막하는데 이용된다. 어떠한 특정 이론에 의해 구속되길 원하지 않지만, 카보실란은 저 전력 에너지 소스로의 노출 이후 기판 표면에 중합된다고 사료된다. 카보실란 전구체는, 기판 표면 상에 그 전구체의 층을 형성하는 저 전력 에너지 소스에 노출된다. 일 실시예에 있어서, 카보실란 전구체를 저 전력 에너지 소스에 노출하는 것은 카보실란 전구체를 전자 빔에 노출하는 것을 포함한다. 다른 실시예에 있어서, 카보실란 전구체를 저 전력 에너지 소스에 노출하는 것은 카보실란 전구체를 저 전력 플라즈마에 노출하는 것을 포함한다. 특정 실시예에 있어서, 저 전력 플라즈마는 약 10W 내지 약 200W의 값을 가진다. 다른 실시예에 있어서, 그 전구체는 약 0.10초 내지 약 5.0초 동안 저 전력 플라즈마에 노출된다.
카보실란 전구체들은 실리콘-리치 SiC에 대한 효율적인 고밀도화/탈수소화를 경험하도록 설명되었다. 따라서, 다양한 실시예에 따르면, 기판 표면에서의 카보실란 전구체는 적어도 부분적으로 고밀도화/탈수소화된다. 일 실시예에 있어서, 고밀도화/탈수소화는 플라즈마-유도형이다. 헬륨, 아르곤 및/또는 수소 함유 플라즈마가 탈수소화를 위해 이용될 수도 있다. 특정 실시예들에 있어서, 탈수소화는 H2를 함유하는 플라즈마의 이용을 포함한다.
고밀도화/탈수소화에 부가하여, 질소가 질화에 의해 SiC층에 도입되어 SiCN을 형성할 수도 있다. 이는 카보실란 표면을 질소 소스에 노출함으로써 발생한다. 일 실시예에 있어서, 이는 암모니아를 플로우하는 것을 포함한다. 대안적인 실시예에 있어서, 질화는 질화 플라즈마로의 노출을 통해 발생한다. 더 특정한 실시예에 있어서, 이 질화 플라즈마는 N2를 포함한다. 또다른 대안적인 실시예에 있어서, 질화는 발생하지 않는다.
따라서, 본 발명의 일 양태는 기판 표면 상에 층을 형성하는 방법에 관한 것이고, 그 방법은 기판을 제공하는 단계, 적어도 2개의 실리콘 원자들을 가교하는 적어도 하나의 탄소 원자를 함유하는 카보실란 전구체에 기판 표면을 노출하는 단계, 기판 표면에 카보실란을 제공하기 위해 카보실란 전구체를 저 전력 에너지 소스에 노출하는 단계, 카보실란을 고밀도화하는 단계, 및 카보실란 표면을 질소 소스에 노출하는 단계를 포함한다. 그 후, 그 프로세스는 부가적인 층들을 부가하도록 반복될 수도 있다. 이 양태의 일 실시예에 있어서, 탈수소화 및 질화는 실질적으로 동시에 발생한다. 대조적으로, 다른 실시예에 있어서, 탈수소화 및 질화는 순차적으로 발생한다.
하나 또는 그 초과의 실시예들에 있어서, PE-ALD 프로세스들은 반응성 표면을 갖는 기판을, 식 SixCyHz에 의해 표현되는 증기상 카보실란 전구체를 포함하는 제 1 화학적 전구체를 포함하는 증기상의 화학적 전구체들 또는 반응물들에 순차적으로 노출하는 단계를 포함하고, 여기서, x, y 및 z 각각은 적어도 1이고 화합물은 적어도 2개의 실리콘 원자들을 가교하는 적어도 하나의 탄소를 함유한다. 특정 실시예에 있어서, 적어도 하나의 부가적인 실리콘 탄화물층은 수소 플라즈마, 질소 플라즈마 또는 비활성 가스 플라즈마와 같은 플라즈마인 반응물 가스로의 기판 표면 상의 실리콘 탄화물층의 순차적인 노출에 의해, 및 활성화된 실리콘 탄화물층을 증기상 카보실란 전구체에 순차적으로 노출함으로써 생성된다. 이들 프로세스들은 600℃ 미만의 온도에서, 예를 들어, 23℃와 200℃ 사이, 80°와 150℃ 사이, 또는 23℃와 100℃ 사이의 온도에서 수행될 수도 있다.
ALD는 순차적이고 자기-한정적 표면 반응들을 채용하여 옹스트롱 또는 단층 레벨로 제어된 정밀한 두께의 층들을 형성한다. 대부분의 ALD 프로세스들은, 바이너리 화합물막을 성막하는 바이너리 반응 시퀀스들에 기초한다. 2개의 표면 반응들 각각은 순차적으로 발생하고, 그 반응들은 자기-한정적이기 때문에, 박막이 원자 레벨 제어로 성막될 수 있다. 표면 반응들이 순차적이기 때문에, 2개의 가스상 반응물들은 접촉되지 않고, 입자들을 형성 및 성막할 수도 있는 가능한 가스상 반응들은 한정적이다. 표면 반응들의 자기-한정적 본성은 또한, 모든 반응 사이클 동안 반응이 완료되게 하여, 연속적이고 핀홀없는 막들을 발생시킨다.
따라서, 본 명세서에서 설명된 시퀀스들은, ALD-유사 결과들을 달성하지만 현재의 실리콘 전구체의 어플리케이션에 대해 자기-한정적이지 않다는 점에 있어서 종래의 PEALD 프로세스들이 아니다. 성막된 막들은 높은 등각성 레벨을 달성한다. 부가적으로, 자기-한정적 성막은, 최종 질소 플라즈마 활성화 시퀀스에서 발생된 표면 N-H 관능도를 갖는 그 반응을 발생시키는 활성화 치환기들(본질적으로 양호한 탈리기들)의 도입으로 달성될 수 있다.
어떠한 특정 이론에 의해 구속되길 원하지 않지만, 질소 소스로의 노출은 Si-H 결합들로의 질소 삽입을 유도하여 표면에 대한 N-H 관능도를 산출한다고 사료된다. 그 후, 이들 N-H기들은 후속 단계들에서 할로겐화 또는 시안화 전구체와 반응하여, 자기-한정적 반응을 달성할 수도 있다.
이들 성막 프로세스들은 상대적으로 낮은 RF 전력 조건들을 이용하여 그리고 이전에 이용가능한 것보다 더 낮은 온도에서 달성될 수 있다. 이전의 방법들에 있어서, 500℃ 초과의 더 높은 온도가 필요하였다. 특정 실시예들에 있어서, 성막 동안의 기판 온도는 약 200℃ 미만일 수 있다. 일부 실시예들에 있어서, 기판 온도는 100℃ 미만일 수도 있다.
카보실란은 선형, 분기형 또는 환형일 수도 있다. 특히 적절한 타입의 카보실란은, 메틸렌기 내의 탄소가 적어도 2개의 실리콘 원자들에 결합되도록 적어도 2개의 실리콘 원자들 사이에 가교 메틸렌기들을 함유하는 것이다. 추가의 실시예에 있어서, 메틸렌기는 2개의 실리콘 원자들을 가교한다. 2개의 실리콘 원자들 중 어느 하나, 또는 그 양자가 할로겐화되거나 유사 할로겐화될 수도 있거나, 그 중 어느 것도 할로겐화 또는 유사 할로겐화되지 않을 수도 있다. 확장형 Si-C-Si 주쇄를 갖는 더 높은 카보실란은 단편화 대신 탈수소 고밀도화 반응들을 향하는 경향이 있을 경우에 특히 적절하다. 다른 실시예에 있어서, 카보실란은 각각 3개 또는 4개 실리콘 원자들 사이에 가교 CH2기 또는 단순 C 원자를 함유한다. 말단 메틸 치환기들만을 초기에 함유하는 것과 같은 그러한 가교 메틸렌기들이 없는 전구체들은 플라즈마 여기에 대한 재배열을 경험하여 메틸렌 가교형 카보실란을 형성할 수도 있고, 따라서, 이 경우, Si-CH3 치환기의 Si-C 결합의 실질적인 절단이 또한 존재할 수도 있지만, 또한 적절하다.
1,3,5-트리실라펜탄과 같이 교번하는 Si-C-Si-C-Si 결합들의 더 확장된 주쇄를 함유한 폴리카보실란이 특히 바람직하다. 적절한 카보실란 전구체들의 예들은 1,3,5-트리실라펜탄, 1,3,5-트리실라시클로헥산, 1,3-디실라부탄, 1,3-디실라프로판 및 1,3-디실라시클로부탄을 포함하지만 이에 한정되지 않는다. 특정 실시예에 있어서, 카보실란 전구체는 1,3-디실라부탄이다. 다른 특정 실시예에 있어서, 카보실란 전구체는 1,3,5-트리실라펜탄이다. 원하는 레벨의 탄소가 요구되고 그 전구체가 말단 메틸 치환기들만을 함유하는 경우, 최종 막에서 요구된 Si:C 비율의 적어도 2배를 갖는 전구체들로 시작하는 것이 일반적으로 필요하다.
특정 실시예에 있어서, 기판을 증기상 1,3,5-트리실라시클로헥산에 순차적으로 노출하여 그 표면 상에 실리콘 탄화물층을 형성하는 단계, 실리콘 탄화물층을 플라즈마에 노출하여 실리콘 탄화물층을 활성화하는 단계, 및 활성화된 실리콘 탄화물층을 증기상 1,3,5-트리실라시클로헥산에 노출하여 부가적인 층을 형성함으로써 기판 표면 상에 부가적인 실리콘 탄화물층을 형성하는 단계에 의해, 실리콘 탄화물층이 기판 표면 상에 형성될 수도 있다. 미반응된 증기상 1,3,5-트리실라시클로헥산 및 과잉의 플라즈마는, 기판 표면 또는 층과의 반응 이후에 및 다음 화학적 전구체 또는 반응물 가스의 도입 이전에 퍼지될 수도 있다.
다른 특정 실시예에 있어서, 기판을 증기상 1,3,5-트리실라펜탄에 순차적으로 노출하여 그 표면 상에 실리콘 탄화물층을 형성하는 단계, 실리콘 탄화물층을 플라즈마에 노출하여 실리콘 탄화물층을 활성화하는 단계, 및 활성화된 실리콘 탄화물층을 증기상 1,3,5-트리실라펜탄에 노출하여 부가적인 층을 형성함으로써 기판 표면 상에 부가적인 실리콘 탄화물층을 형성하는 단계에 의해, 실리콘 탄화물층이 기판 표면 상에 형성될 수도 있다. 미반응된 증기상 1,3,5-트리실라펜탄 및 과잉의 플라즈마는, 기판 표면 또는 층과의 반응 이후에 및 다음 화학적 전구체 또는 반응물 가스의 도입 이전에 퍼지될 수도 있다.
부가적으로, 다른 활성화 방법들이 본 발명의 다양한 실시예들에 따라 이용될 수도 있다. 그러한 활성화 방법들은 자외선 방사, 전자 빔 및/또는 이온 빔을 포함하지만 이에 한정되지 않는다.
일부 경우들에 있어서, 그러한 저 전력 플라즈마 단계들을 이용하여 성막된 막들의 등각성은 후속 고밀도화 이후에도 "ALD-유사" 등각성을 제공할 수도 있도록 충분히 컨포멀할 수도 있다. 그러한 등각성을 강화하는 유용한 방법은 저 전력 플라즈마 단계에서 성막된 전구체의 제 1 단층의 비가역 부착을 촉진하지만 후속적으로 성막된 재료들이 가역적으로 결합되고 가스 상에 재진입하고 후속 퍼지 단계 동안 퍼지될 수도 있는 N-H 결합들의 형성을 발생시키는 것과 같은 활성화 시퀀스의 종단에서 플라즈마 활성화 단계를 채용하는 것이다. 이에 따라, 일 실시예에 있어서, 질소를 함유하는 플라즈마에 카보실란을 노출하는 것은 카보실란의 단층의 기판 표면으로의 비가역 부착을 촉진하는 N-H 결합의 형성을 발생시킨다. 전구체의 도입 직전이지만 플라즈마 고밀도화 이후에 적용되는 최종 표면 활성화는 질소 플라즈마를 포함한 단계일 수도 있지만, 그것은 또한 암모니아(NH3)의 플로우로의 표면의 단순한 노출과 같은 비-플라즈마 단계를 포함할 수도 있다.
일반적으로, Si, C, 및 H를 함유하는 "시드" 막들의 N함유 플라즈마들로의 노출은 FTIR에서 약 3200-3600cm-1 간의 특성 흡수도의 성장에 의해 검출가능할 경우에 N-H 관능도를 나타내는 막들을 발생시키는데 효과적이다. 통상의 조건들은 0.5Torr 내지 20Torr의 범위의 압력들, 및 4Torr의 총 압력 및 약 1Torr와 3Torr 사이의 질소의 부분 압력에서 2sec의 지속기간 동안 25 W과 500W 사이의 RF 전력 레벨들(13.56 MHz, 다이렉트 플라즈마), 예를 들어, 100W를 수반하며, 그 밸런스는 He 또는 Ar이다. 처리되는 막이 매우 작은 H를 함유하는 경우들에 있어서(예를 들어, 플라즈마 프로세스가 H를 제거하기 위해 이미 수행되었다면), 소량의 수소가 또한 플라즈마 혼합물에 부가되어 더 많은 N-H 결합의 발생을 촉진할 수도 있다.
막에 있어서의 탄소에 대한 실리콘의 비율은 플라즈마 전력, 노출 시간 및 온도에 의존하여 조정될 수도 있다. 예를 들어, C:Si의 비율은 후처리 플라즈마들을 이용하여 탄소를 질소 원자들로 대체함으로써 SiCN 조성에서 용이하게 감소될 수 있다. Si에 대한 C의 비율은 더 높은 초기 비율을 함유하는 전구체들을 활용함으로써 증가될 수도 있다. 일반적으로, 2개의 실리콘 원자들 간의 가교 위치에서 탄소를 함유하는 카보실란 전구체들은 탄소의 효율적인 보유를 갖는 탄화물형 세라믹들에 통합될 수 있다. 한편, 탄소는 전구체가 가교 탄소 원자를 함유하지 않을 정도로 보유되지는 않는다. 예를 들어, 메틸실란에 기초한 전구체들은 탄소의 실질적인 손실과의 통합을 경험한다.
본 발명의 다른 양태는 막 또는 층을 형성하는 프로세스의 일부로서 기판 표면의 플라즈마로의 노출에 관한 것이다. 결합형 SiH 함유 전구체를 갖는 표면은 고밀도화/탈수소화 플라즈마에 노출된다. 적절한 탈수소화 플라즈마는 H2, He 및 Ar를 포함하지만 이에 한정되지 않는다. 그 후, 표면이 질화 플라즈마에 노출된다. 적절한 질화 플라즈마는 N2 및 암모니아를 포함하지만 이에 한정되지 않는다. 플라즈마로의 노출은 실질적으로 동시에 또는 순차적으로 수행될 수도 있다. 실질적으로 동시는 기판 표면이, 한번에 하나의 플라즈마에 적은 노출 시간으로 양 플라즈마들에 동시에 노출됨을 의미한다. 순차적으로 수행될 경우, 탈수소화 플라즈마가 먼저 인가되고 그 후 질화 플라즈마가 인가될 수도 있다. 임의의 수의 시퀀스들이 이용될 수도 있다. 일 실시예에 있어서, 플라즈마 노출은 프로세스의 모든 단계에서 발생할 수도 있다. 다른 실시예에 있어서, 플라즈마 노출은 하나 걸러 하나의 시퀀스에서 발생할 수도 있다. 질화 플라즈마로의 순차적인 노출은 SiC막의 SiCN으로의 변환을 발생시킨다.
이에 따라, 본 발명의 제 2 양태에 있어서, 본 발명은 기판 표면 상에 층을 형성하는 방법에 관한 것이고, 그 방법은 기판을 제공하는 단계, 2개의 실리콘 원자들을 가교하는 적어도 하나의 메틸렌을 함유하는 카보실란 전구체에 기판 표면을 노출하는 단계, 기판 표면에 카보실란을 제공하기 위해 카보실란 전구체를 저 전력 플라즈마에 노출하는 단계, 카보실란을 고밀도화하는 단계, 및 카보실란 표면을 질소 소스에 노출하는 단계를 포함한다. 이 양태의 일 실시예에 있어서, 저 전력 플라즈마는 약 10W 내지 약 200W의 값을 가진다. 이 양태의 상이한 실시예에 있어서, 카보실란 전구체는 약 0.10초 내지 약 5.0초 동안 저 전력 플라즈마에 노출된다.
본 발명의 제 3 양태는 기판 표면 상에 층을 형성하는 방법에 관한 것이고, 그 방법은 기판을 제공하는 단계, 2개의 실리콘 원자들을 가교하는 적어도 하나의 메틸렌을 함유하는 카보실란 전구체에 기판 표면을 노출하는 단계, 기판 표면에 카보실란을 제공하기 위해 카보실란 전구체를 저 전력 플라즈마에 노출하는 단계, 카보실란을 고밀도화하는 단계로서, 카보실란을 고밀도화하는 단계는 H2, Ar 및 He 중 하나 또는 그 초과를 함유하는 플라즈마에 카보실란을 노출하는 단계를 포함하는, 상기 카보실란을 고밀도화하는 단계, 및 N2를 함유하는 플라즈마에 카보실란 표면을 노출하는 단계를 포함한다.
상기 설명된 SiCN 및 SiC막들의 저온 성막의 접근법들은 또한, 전자 산업 외부의 어플리케이션들을 위한 극히 박형의 결함없는 컨포멀 막들의 성막용으로 이용될 수도 있다. 그러한 어플리케이션들은 장벽 및 패시베이션층들의 준비용을 포함한다. 부가적으로, 저온 반응도는, 식물 및 동물 도출형 조직들 및 재료들을 포함하여 유기물들의 코팅에 그 프로세스들을 적용가능하게 할 것이다.
카보실란 전구체는 아르곤, 제논, 네온 또는 헬륨과 같은 비활성 가스와의 혼합물에 있어서 기판 표면에 도입될 수도 있다. 퍼지 가스는 또한 통상적으로 비활성 가스이다. 표면 또는 이전에 성막된 실리콘 탄화물층의 활성화를 위한 플라즈마 가스는 수소 추출에 적합한 라디칼들을 발생시키는데 효과적인 임의의 가스일 수도 있으며, 옵션적으로, 비활성 캐리어 가스와의 혼합물에 있어서 기판에 전달될 수도 있다. 적절한 플라즈마 가스들의 예들은 수소 원자들을 함유하는 가스들 및 CH3 치환기들을 함유하는 가스들을 포함한다.
성막 프로세스에 대한 다양한 가스들은 유입구를 통해, 가스 채널을 통해, 또는 성막 챔버와 유체 연통하는 중앙 채널에 연결되는 다양한 홀들 또는 배출구들로부터 기판을 포함하는 성막 챔버로 펄싱될 수도 있다. 상이한 가스들은 단일의 중앙 채널을 통해 순차적으로 펄싱될 수도 있거나, 성막 챔버는 별도의 채널들을 통해 가스들의 순차적 도입을 허용하기 위해 다중의 채널들로 구성될 수도 있다. 기판 표면의 초기 플라즈마 활성화, 증기상 카보실란 전구체, 및 플라즈마를 활성화하는 후속 실리콘 탄화물층의 도입은 이러한 방식으로, 성막 챔버에 각각 순차적으로 펄싱될 수도 있다. 반응 단계들 사이의 성막 챔버에 도입된 퍼지 가스들은 또한, 단일의 중앙 채널을 통해 또는 다중의 채널들 중 임의의 채널을 통해 도입될 수도 있다. 채널(들)은, 가스들이 성막 챔버에 진입하게 하는 샤워헤드와 유체 연통할 수도 있다.
일부 실시예들에 있어서, 실리콘 탄화물 재료들을 성막하거나 형성하기 위해 본 명세서에서 설명된 방법들에서 이용될 수도 있는 플라즈마 시스템 및 프로세싱 챔버들 또는 시스템들은, 캘리포니아주 산타클라라에 위치된 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 TXZ® CVD 챔버를 포함한다. 부가적인 플라즈마 시스템들 및 프로세싱 챔버들은 공동으로 양수된 미국특허 제5,846,332호, 제6,079,356호, 및 제6,106,625호에 설명되어 있다. 다른 실시예들에 있어서, 실리콘 탄화물 재료들을 성막하거나 형성하기 위해 본 명세서에서 설명된 방법들에서 이용될 수도 있는 PE-ALD 프로세싱 챔버 또는 시스템은 2009년 6월 30일자로 출원되고 미국특허출원공개 제20100003406호로서 공개되어 공동으로 양수된 미국출원 제12/494,901호에 설명되어 있다. 본 명세서에 설명된 일부 실시예들에서 이용되는 ALD 프로세싱 챔버는 다양한 리드 어셈블리들을 포함할 수도 있다. 다른 ALD 프로세싱 챔버들이 또한 본 명세서에서 설명된 실시예들 중 특정 실시예에서 이용될 수도 있고, 캘리포니아주 산타클라라에 위치된 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. ALD 프로세싱 챔버의 상세한 설명은 공동으로 양수된 미국특허 제6,878,206호, 제6,916,398호, 및 제7,780,785호에서 발견될 수도 있다. 다른 실시예에 있어서, ALD 모드뿐 아니라 종래의 CVD 모드 양자에서 동작하도록 구성된 챔버는 실리콘 탄화물 재료들을 성막하는데 이용될 수도 있고, 공동으로 양수된 미국특허 제7,204,886호에 설명되어 있다. 또다른 실시예에 있어서, 실리콘 탄화물층들의 기판 표면들 상의 성막을 위한 본 방법들에서 이용하기에 적합한 이중-채널 시스템들은 미국특허출원공개 제20070277734 A1호에 하기에 더 설명되는 바와 같이 개시된다.
ALD 프로세스에 있어서, 프로세싱 챔버 또는 성막 챔버는 일반적으로, 약 0.01Torr 내지 약 80Torr의 범위 내로 가압될 수도 있다. 상세하게, 성막 챔버의 압력은 약 0.1Torr 내지 약 10Torr, 및 더 상세하게는 약 0.5Torr 내지 약 5Torr일 수도 있다. 챔버 및/또는 기판은 또한, 약 600℃ 미만의 온도로, 예를 들어, 약 500℃ 미만 또는 약 200℃ 미만으로, 실온(약 23℃)과 약 200℃ 사이 또는 약 100℃의 온도로 가열될 수도 있다.
본 명세서에서 설명된 카보실란 전구체들을 채용한 PE-ALD 프로세스의 예시적인 실시예가 도 1에 도시된다. 도 1은 카보실란 전구체가 1,3,5-트리실라시클로헥산이고 기판이 전구체와의 반응을 위해 기판 상에 불포화를 생성하도록 처리되는 프로세스를 도시한 것이다. x, y 및 z 각각이 적어도 1이고 화합물이 적어도 2개의 실리콘 원자들을 가교하는 적어도 하나의 탄소를 함유하는, 식 SixCyHz에 의해 표현되는 임의의 카보실란 전구체가 도 1에 도시된 반응에서 치환될 수도 있고, 플라즈마 처리된 표면은, Si=Si 이중 결합들, 댄글링 Si 결합들, 댄글링 C 결합들, Si-H 결합들에 삽입된 질소, 및 Si-Si 결합들에 삽입된 질소를 포함하여 도시된 Si=C 이중 결합들 이외에 또는 그에 부가하여 활성 사이트들을 함유할 수도 있음을 이해해야 한다. 기판 표면 상의 그러한 모든 반응성 사이트들은 카보실란 전구체와 반응하여, 그 전구체를 기판 표면에 바인딩할 것이다. 유사하게, 일 예로서의 도 1은, 기판이 또한 실리콘 탄화물인 PE-ALD 반응을 도시한 것이다. 더욱이, 다른 실리콘 함유 기판 표면들이, 실리콘 또는 실리콘 산화물을 포함하여 전구체를 표면에 바인딩하기에 적절한 댄글링 결합들 및 불포화와 같은 반응성 사이트들을 생성하도록 처리될 수도 있음을 이해해야 한다.
도 1에 있어서, 예시적인 실리콘 탄화물 기판 표면은 수소 플라즈마 또는 비활성 가스 플라즈마와 같은 플라즈마로 처리되어, 수소 추출로 인한 표면에서의 왜곡들 또는 결함들을 생성한다. 이들 왜곡들 또는 결함들은 댄글링 Si 결합들 및 댄글링 C 결합들(도 1에 별표로 표시됨)과 같은 반응성 표면 사이트들을 포함할 수도 있다. 그 후, 인접한 댄글링 결합들은 Si=Si 또는 Si=C 이중 결합들과 같은 반응성 불포화 사이트들을 형성할 수도 있다. 댄글링 결합들 및 불포화 사이트들 양자는 증기상 카보실란 전구체와의 반응을 위한 활성 사이트들을 생성한다. 표면 활성화 단계의 완료 시, 활성화 플라즈마가 시스템으로부터 퍼지된다. 도 1에 도시된 바와 같이, 예시적인 Si=C 표면 결함은 그 후, 캐리어 가스와의 혼합물에 있어서 옵션적으로 존재할 수도 있는 증기상 1,3,5-트리실라시클로헥산에 노출된다. 전구체에서의 반응성 Si-H 결합들이 전구체를 기판 표면에 링크시키기 위해 불포화 표면기들에 걸쳐 부가되어, 기판 상에 제 1 실리콘 탄화물층을 형성한다. Si-H 결합들의 표면 결함들로의 부가는 그 더 낮은 활성화 에너지로 기인한 C-H 결합들의 부가에 비해 유리하다.
SiC에 대한 중간 표면 결합 카보실란계 단층들의 고밀도화를 획득하기 위해, 기판 표면은 수소 원자들을 제거하기에 효과적인 플라즈마로 처리될 수도 있다. 즉, 수소 가스 플라즈마, (He, Ar 등과 같은) 비활성 가스 플라즈마, 또는 이들의 혼합물들과 같은 플라즈마가 제 1 실리콘 탄화물층과 접촉하는 시스템에 도입되어, 제 1 실리콘 탄화물층에 있어서 수소 추출을 생성한다. 그러한 플라즈마에서 발생된 수소 원자들 및 라디칼 종 양자는, H2의 손실 및 Si 및 C의 가교를 발생시키는 막에 있어서 실리콘 또는 탄소에 결합된 수소 원자들과 결합함에 있어서 특히 효율적이고 선택적이다. 댄글링 Si 및/또는 C 결합들뿐 아니라 Si=Si 및/또는 Si=C 이중 결합들이 증기상 카보실란 전구체로의 제 2 노출을 위한 반응성 사이트들로서 형성될 수도 있다. 제 2 실리콘 탄화물층을 생성하기 위해, 활성화 플라즈마가 퍼지된다. 퍼지한 이후, 플라즈마 처리된 실리콘 탄화물 중간물이 부가적인 카보실란 전구체에 가스 상으로 재노출되어, 부가적인 흡착층을 형성할 수 있다. 전구체에서의 반응성 Si-H 결합들이 전구체를 활성화된 실리콘 탄화물층에 링크시키기 위해 활성화된 카보실란 전구체의 불포화기들에 걸쳐 부가되어, 제 2 실리콘 탄화물층을 형성한다. SiCN막이 요구되면, 가스 혼합물 혼입 질소(N2)를 이용한 부가적인 플라즈마 단계가 먼저 시퀀스에 도입될 수 있다. 플라즈마 활성화 및 실리콘 탄화물 가스 노출의 사이클들은 원하는 층 두께가 달성될 때까지 반복될 수도 있다.
수소 추출(활성화) 및 카보실란 전구체와의 반응의 추가 사이클들이 수행되어, 원하는 두께의 실리콘 탄화물층을 형성할 수 있다. 도 1은 1,3,5-트리실라시클로헥산으로 성막 사이클을 반복하는 것을 도시하지만, 상이한 카보실란 전구체가 하나 또는 그 초과의 후속 성막 사이클들에서 채용될 수도 있음이 이해될 것이다. 예를 들어, 특정 실시예에 있어서, 전구체는 1,3,5-트리실라펜탄을 포함할 수도 있다.
장치 및 방법들
본 발명의 다른 양태는 플라즈마 강화 원자층 성막을 위한 장치 및 방법들에 관한 것이다. 제 2 양태의 특정 실시예들에 있어서, 그 장치는 이중 채널들을 갖는 샤워헤드 또는 페이스플레이트를 활용하여, 원격으로 발생된 플라즈마를 제 1 채널 세트를 통해 전달하고, 전구체 및 다른 가스들을 제 2 채널 세트를 통해 전달한다. 그 장치 및 방법들이 설명된다.
본 발명의 또다른 양태는, 순환식 성막 또는 원자층 성막 프로세스 동안 기판으로의 플라즈마 전달과 기판 표면으로의 전구체들의 전달 간을 교번하는 것을 포함하는 프로세스 시퀀스에 관한 것이다. 전구체 펄스들과 플라즈마 간의 스위칭은 신속 스위칭 프로세스를 이용하여 수행된다. 하나 또는 그 초과의 실시예들에 있어서, ALD 프로세스는 기판 상에 금속, 금속 산화물, 질화물, 탄화물, 플루오르화물, 또는 다른 층 또는 막을 형성하는데 이용된다. 특정 실시예들에 있어서, 신속 스위칭 프로세스는 기판 상에 SiC층을 형성하는데 이용될 수 있으며, 이는 표면 불포화를 발생시키기 위해 수소 추출을 유도하는 플라즈마 활성화 단계로 시작함으로써 달성될 수 있다. 다음 전구체 사이클에 있어서 더 반응성의 Si-H 결합들이 전구체 노출 사이클 동안에 불포화 표면기들에 걸쳐 부가될 것이고, 그 후, 비활성 캐리어 가스로의 짧은 퍼지가 존재하며 프로세스는 플라즈마 활성화 단계로 다시 시작한다. 그 프로세스를 위해 선택된 플라즈마 가스는, 특히, 플라즈마가 페이스플레이트 뒤에서 원격으로 발생되는 경우에 있어서, H 추출에 적합한 라디칼들을 발생시키기에 효과적인 임의의 가스일 수 있다. 대안적으로, 플라즈마는 로컬 플라즈마로서 샤워헤드와 웨이퍼 사이에서 직접 발생될 수 있다. 양자의 경우들에 있어서 프로세스 전반에 걸쳐 전달된 가스 혼합물은, H 원자들 및 CH3 치환기들을 포함할 수 있는 H 추출에 효과적인 종을 제공할 가능성이 있는 가스와 함께 비활성 캐리어 가스의 혼합물일 수 있다.
따라서, 본 발명의 특정 실시예들은 일반적으로, 플라즈마 강화 원자층 성막 프로세스들을 제공한다. 일 실시예에 있어서, 실리콘 탄화물 성막은 원자층 성막(ALD) 프로세스를 이용하여 수행된다.
원자층 성막은 기판, 예를 들어 반도체 기판 상에 박막들을 형성하는데 이용되는 성막 기술이고, 회로 디바이스들의 제조 프로세스에 있어서 피처들을 형성하는데 이용될 수도 있다. 박막은 프로세스 챔버에 배치된 기판의 표면을, 각각이 일반적으로 제어된 막 두께를 제공하는 반응을 경험하는 반응물들 또는 화학적 전구체들의 교번하는 펄스들에 노출함으로써 층별로 성장된다. 각각의 반응물 펄스는 이전에 성막된 층들에 부가적인 원자층을 제공한다. 일 실시예에 있어서, 막 성장 사이클은 일반적으로 2개의 펄스들로 이루어지고, 각각의 펄스는 퍼지에 의해 분리된다. 프로세스 챔버는 반응물 또는 전구체 재료를 제거하기 위해 비활성 가스로 퍼지될 수 있다. 제 2 의 반응물 또는 전구체 재료가 반응기에 펄싱될 경우, 제 2 의 반응물 또는 전구체 재료는 웨이퍼 표면 상에서 전구체 재료와 반응한다. 반응기는 비활성 가스로 다시 퍼지된다. ALD 제조 프로세스에 있어서, 성막된 막의 두께는 사이클들의 수에 의해 제어된다.
원자층 성막은 또한, 기판 표면 상에 재료의 층을 성막하기 위해 2개 또는 그 이상의 반응성 화합물들의 순차적인 도입을 지칭하는 순환식 성막으로서 지칭될 수도 있다. 대안적으로, 2개 또는 그 이상의 반응성 화합물들이 프로세싱 챔버의 반응 존 또는 프로세스 영역으로 도입된다. 반응성 화합물들은 가스 상태, 플라즈마 상태, 증기 상태, 유체 상태 또는 기상성막 프로세스에 유용한 다른 물질 상태일 수도 있다. 일반적으로, 각각의 반응성 화합물은 각각의 화합물로 하여금 기판 표면에 부착, 흡착, 흡수, 및/또는 반응하게 하기 위해 시간 지연만큼 분리된다. 일 양태에 있어서, 제 1 전구체 또는 화합물 A는 반응 존으로 펄싱된 이후 제 1 시간 지연된다. 다음으로, 제 2 전구체 또는 화합물 B는 반응 존으로 펄싱된 이후 제 2 지연된다. 화합물 A 및 화합물 B는 성막된 재료를 형성하기 위해 반응한다. 각각의 시간 지연 동안, 퍼지 가스가 프로세싱 챔버에 도입되어, 반응 존을 퍼지하거나, 그렇지 않으면, 임의의 잔여 반응성 화합물 또는 부산물들을 반응 존으로부터 제거한다. 대안적으로, 퍼지 가스는 성막 프로세스 전반에 걸쳐 계속 플로우할 수도 있어서, 오직 퍼지 가스는 반응성 화합물들의 펄스들 간의 시간 지연 동안에만 플로우한다. 대안적으로, 반응성 화합물들은, 성막된 재료의 원하는 막 두께가 기판 표면 상에 형성될 때까지 펄싱된다. 어느 하나의 시나리오에 있어서, 화합물 A를 펄싱하는 것, 퍼지 가스, 화합물 B를 펄싱하는 것 및 퍼지 가스의 ALD 프로세스는 일 사이클이다. 본 명세서에서 사용되는 바와 같은 성막 가스 또는 프로세스 가스는 단일 가스, 다중 가스들, 플라즈마를 함유하는 가스, 가스(들) 및/또는 플라즈마(들)의 조합을 지칭한다. 성막 가스는 기상성막 프로세스를 위해 적어도 하나의 반응성 화합물을 함유할 수도 있다. 반응성 화합물들은 기상성막 프로세스 동안, 가스 상태, 플라즈마 상태, 증기 상태, 유체 상태일 수도 있다. 또한, 프로세스는 퍼지 가스 또는 캐리어 가스를 함유하고 반응성 화합물을 함유하지 않을 수도 있다.
본 명세서에서 사용되는 바와 같이, "기판 표면"은, 막 프로세싱이 제조 프로세스 동안에 수행되는 기판 상에 형성된 임의의 기판 또는 재료 표면을 지칭한다. 예를 들어, 프로세싱이 수행될 수 있는 기판 표면은, 어플리케이션에 의존하여, 실리콘, 실리콘 산화물, 스트레인드 실리콘, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 그리고, 금속들, 금속 질화물들, 금속 합금들, 및 다른 도전성 재료들과 같은 임의의 다른 재료들과 같은 재료들을 포함한다. 기판 표면 상의 장벽층들, 금속들 또는 금속 질화물들은 티탄, 티탄 질화물, 텅스텐 질화물, 탄탈 및 탄탈 질화물을 포함한다. 기판들은 200mm 또는 300mm 직경 웨이퍼들뿐 아니라 직방형 또는 정방형 판유리들과 같이 다양한 치수들을 가질 수도 있다. 본 발명의 실시예들이 유용할 수도 있는 기판들은 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘, 실리콘 게르마늄, 도핑되거나 도핑되지 않은 폴리실리콘, 도핑되거나 도핑되지 않은 실리콘 웨이퍼들, 및 패터닝되거나 패터닝되지 않은 웨이퍼들과 같은 반도체 웨이퍼들을 포함하지만 이에 한정되지 않는다. 기판들은 전처리 프로세스에 노출되어, 기판 표면을 연마, 에칭, 환원, 산화, 수산화, 어닐링 및/또는 베이킹할 수도 있다. 기판들은 유리 시트들, 세라믹 기판들 및 반도체 웨이퍼들을 한정없이 포함한다. 기판들은 전처리 프로세스에 노출되어, 기판 표면을 연마, 에칭, 환원, 산화, 수산화, 어닐링 및/또는 베이킹할 수도 있다. 기판 자체의 표면 상에 직접 막 프로세싱하는 것에 부가하여, 본 발명에 있어서, 개시된 막 프로세싱 단계들 중 임의의 단계가 또한, 하기에 더 상세히 개시되는 바와 같이 기판 상에 형성된 하위층에 대해 수행될 수도 있고, 용어 "기판 표면"은 문맥이 나타낼 경우에 그러한 하위층을 포함하도록 의도된다.
프로세싱 챔버는 기상성막 프로세스 동안 가스들 및/또는 플라즈마들의 시퀀스에 기판을 노출하도록 구성된다. 전구체들은 통상적으로 증기 또는 가스 형태이다. 반응물들은 캐리어 가스로 전달될 수도 있다. 캐리어 가스, 퍼지 가스, 성막 가스, 또는 다른 프로세스 가스는 질소, 수소, 아르곤, 네온, 헬륨, 또는 이들의 조합들을 함유할 수도 있다. 플라즈마들은 본 명세서에서 설명된 재료들의 성막, 형성, 어닐링, 처리 또는 다른 프로세싱에 유용할 수도 있다. 질소 플라즈마 또는 비활성 가스 플라즈마와 같이 본 명세서에서 설명된 다양한 플라즈마들은 플라즈마 반응물 가스로부터 점화되고/되거나 플라즈마 반응물 가스를 함유할 수도 있다. 플라즈마 반응물 가스는 질소, 수소, 아르곤, 네온, 헬륨, 또는 이들의 조합들을 함유할 수도 있다. 일부 예들에 있어서, 질소 플라즈마는 질소 및 수소를 함유한다.
프로세스에 대한 다양한 가스들은 다양한 홀들 또는 배출구들로부터 가스 채널을 통해 유입구로 및 중앙 채널로 펄싱될 수도 있다. 다른 실시예에 있어서, 기판 상의 막 또는 층은, 하나 또는 그 초과의 전구체들 및 플라즈마(예를 들어, 질소 플라즈마)의 순차적인 펄스들을 제공하는 다른 PE-ALD 프로세스 동안에 형성될 수도 있다. 이들 실시예들에 있어서, 시약들은 일반적으로, 프로세스 동안에 이온화된다. 플라즈마가 원격 플라즈마 발생기 시스템에 의해서와 같이 프로세싱 챔버로부터 외부에서 발생되는 PE-ALD 프로세스가 제공된다. PE-ALD 프로세스들 동안, 플라즈마는 마이크로파(MW) 주파수 발생기 또는 무선 주파수(RF) 발생기로부터 발생될 수도 있다.
일부 실시예들에 있어서, 재료들을 성막하거나 형성하기 위해 본 명세서에서 설명된 방법들 동안에 이용될 수도 있는 플라즈마 시스템 및 프로세싱 챔버들 또는 시스템들은, 캘리포니아주 산타클라라에 위치된 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 TXZ® CVD 챔버를 포함한다. 플라즈마 시스템들 및 프로세싱 챔버들의 추가의 개시는 공동으로 양수된 미국특허 제5,846,332호, 제6,079,356호, 및 제6,106,625호에 설명되어 있다. 다른 실시예들에 있어서, 재료들을 성막하거나 형성하기 위해 본 명세서에서 설명된 방법들 동안에 이용될 수도 있는 PE-ALD 프로세싱 챔버 또는 시스템은 2009년 6월 30일자로 출원되고 미국특허출원공개 제20100003406호로서 공개되어 공동으로 양수된 미국출원 제12/494,901호에 설명되어 있다. 본 명세서에 설명된 일부 실시예들에서 이용되는 ALD 프로세싱 챔버는 다양한 리드 어셈블리들을 포함할 수도 있다. 다른 ALD 프로세싱 챔버들이 또한 본 명세서에서 설명된 실시예들 중 일부 동안에 이용될 수도 있으며, 캘리포니아주 산타클라라에 위치된 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. ALD 프로세싱 챔버의 상세한 설명은 공동으로 양수된 미국특허 제6,878,206호, 제6,916,398호, 및 제7,780,785호에서 발견될 수도 있다. 다른 실시예에 있어서, ALD 모드뿐 아니라 종래의 CVD 모드 양자에서 동작하도록 구성된 챔버는 재료들을 성막하는데 이용될 수도 있고, 공동으로 양수된 미국특허 제7,204,886호에 설명되어 있다.
ALD 프로세스는, 프로세싱 챔버 또는 성막 챔버가 약 0.01Torr 내지 약 80Torr의 범위 내의 압력으로, 예를 들어, 약 0.1Torr 내지 약 10Torr, 더 상세하게는, 약 0.5 Torr 내지 약 5Torr로 가압될 수도 있다. 또한, 하나 또는 그 초과의 실시예들에 따르면, 챔버 또는 기판은 약 600℃ 미만의 온도로, 예를 들어, 약 200℃ 내지 약 400℃의 범위 내와 같이 약 400℃ 또는 그 미만으로, 및 다른 실시예들에 있어서는 약 300℃ 미만, 약 200℃ 미만, 또는 약 100℃ 미만, 예를 들어, 약 70℃와 90℃의 범위에서와 같이 약 50℃와 100℃의 범위로 가열될 수도 있다.
특정 실시예들에 있어서, 플라즈마는 이중 채널 샤워헤드 또는 페이스플레이트를 통해 전달되는 원격으로 발생된 플라즈마이다. 하나 또는 그 초과의 실시예들에 따르면, 원격으로 발생된 플라즈마는, 전구체를 전달하기 위한 제 2 채널 세트로부터는 분리된 제 1 채널 세트를 통해 전달된다. 특정 전구체들은 전구체의 열화를 방지하기 위해 플라즈마로부터 분리되어야 한다. 상기 언급된 바와 같이, 그 프로세스를 위해 선택된 플라즈마 가스는, 특히, 플라즈마가 페이스플레이트 뒤에서 원격으로 발생되는 경우에 있어서, H 추출에 적합한 라디칼들을 발생시키기에 효과적인 임의의 가스일 수 있다. 플라즈마를 위한 그러한 가스들의 적절한 예들은 질소, 수소, 아르곤, 헬륨, 제논, 및 네온을 포함하지만 이에 한정되지 않는다.
특정 실시예들에 있어서, 플라즈마는 이중 채널 샤워헤드 또는 페이스플레이트를 통해 전달되는 원격으로 발생된 플라즈마이다. 하나 또는 그 초과의 실시예들에 따르면, 원격으로 발생된 플라즈마는, 전구체를 전달하기 위한 제 2 채널 세트로부터는 분리된 제 1 채널 세트를 통해 전달된다. 특정 전구체들은 전구체의 열화를 방지하기 위해 플라즈마로부터 분리되어야 한다.
이제, 도 2a를 참조하면, 샤워헤드 시스템(700)의 단순화된 단면도가 도시된다. 샤워헤드(700)는 2개의 유입구 포트들(702 및 704)로 구성된다. 제 1 유입구 포트(702)는 샤워헤드의 중심과 동축이고, 샤워헤드의 중심을 따라 그 후 페이스플레이트(706)의 측방향으로 뒤로 적어도 제 1 전구체에 대한 플로우 경로를 정의한다. 그 전구체는 페이스플레이트에서의 선택된 개구들 뒤로 샤워헤드를 성막 챔버로 퇴장시킨다.
제 2 유입구 포트(704)는 플라즈마 가스를 제 1 포트(702) 주위로 및 가스박스(710)와 페이스플레이트(706) 사이의 영역(708)으로 플로우하도록 구성될 수도 있다. 그 후, 플라즈마 가스는 성막 영역(712)에 도달하기 전에 페이스플레이트(706)에서의 선택된 개구들을 통해 영역(708)으로부터 플로우할 수도 있다. 도 2a가 도시한 바와 같이, 페이스플레이트(706)는 2개의 개구 세트들을 가진다: 즉, 영역(708)과 성막 영역 간의 유체 연통을 제공하는 제 1 개구 세트(714), 및 제 1 유입구 포트(702), 페이스플레이트 갭(718) 및 성막 영역(712) 간의 유체 연통을 제공하는 제 2 개구 세트(716).
페이스플레이트(706)는, 성막 영역에 대해 샤워헤드를 떠날 때까지, 전구체 및 플라즈마 가스 또는 종을 독립적으로 유지하는 이중-채널 페이스플레이트일 수도 있다. 예를 들어, 전구체들은 개구들(716)을 통해 샤워헤드를 퇴장하기 전에 페이스플레이트 갭(718)에서의 개구들(714) 주위를 이동할 수도 있다. 원통형 포트와 같은 장벽들은 개구들(714)을 둘러싸서, 전구체들이 이들 개구들을 통해 퇴장하는 것을 방지할 수도 있다. 유사하게, 개구들(714)을 통해 이동하는 플라즈마 가스 또는 종은 페이스플레이트 갭(718)을 통해 플로우하지 못할 수 있고 제 2 개구들(716)을 성막 영역으로 유출하지 못할 수 있다.
하나 또는 그 초과의 실시예들에 있어서, 페이스플레이트(706) 및 페데스탈(724)은 전극들을 형성하여, 기판(722) 위의 성막 영역에서 용량성 커플링된 플라즈마(726)를 발생시킬 수도 있다.
시스템 (700) 은 또한 페이스플레이트 뒤의 영역(708)에서 제 2 플라즈마(728)를 발생시키도록 구성될 수도 있다. 도 1b가 도시한 바와 같이, 이 플라즈마(728)는, 플라즈마에 대한 전극들을 형성하는 가스박스(710)와 페이스플레이트(706) 사이에 RF 전계를 인가함으로써 발생될 수도 있다. 이 플라즈마는, 제 2 유입구 포트(704)로부터 영역(708)으로 플로우하는 플라즈마 가스로부터 제조될 수도 있다. 제 2 플라즈마(728)는 플라즈마 가스로부터 반응성 플라즈마 종을 발생하는데 이용될 수도 있다.
도 2b에 있어서, 페이스플레이트(706)는 성막 영역에 있어서 제 2 플라즈마(728) 및 제 1 플라즈마(726) 양자에 대한 전극으로서 기능할 수도 있다. 이러한 이중-구역 플라즈마 시스템은 페이스플레이트(706) 뒤에서 플라즈마 종을 발생하기 위해 동시적인 플라즈마들을 채용할 수도 있다.
도 3a 및 도 3c는 페이스플레이트(802)에서의 제 1 개구 세트(804) 및 제 2 개구 세트(806)에 대한 2개의 구성들을 도시하고, 이를 통해, 전구체들 및 플라즈마 종이 성막 영역에 도달하기 전에 독립적으로 플로우할 수도 있다. 도 3a는, 제 1 개구 세트(804)가 직선 도관을 통해 플라즈마 종을 통과시키지만 제 2 개구 세트(806)는 제 1 개구를 둘러싸는 동심의 환형 고리 개구를 통해 전구체를 통과시키는 동심-개구 설계에 대한 단면을 도시한 것이다. 플라즈마 가스 또는 종 및 전구체(들)가 페이스플레이트 뒤에서 서로 분리되고, 성막 영역에서의 개구들(804 및 806)로부터 나타날 경우에 먼저 혼합 및 반응한다.
도 3b는 페이스플레이트 표면에서 형성된 제 1 및 제 2 개구(804, 806)의 어레이를 나타내는 페이스플레이트(802)의 일부를 나타낸 것이다. 제 2 환형 개구(806)는, 제 1 개구(804)를 정의하는 튜브형 벽들과 최외곽 페이스플레이트층 간의 갭에 의해 형성된다. 도면에 도시된 실시예에 있어서, 환형 갭 개구들(806)은, 직경이 약 0.028''인 중심 개구들(804)의 벽들 주위로 약 0.003''이다. 물론, 제 1 및 제 2 개구들에 대한 다른 사이즈들이 또한 이용될 수도 있다. 플라즈마 종은 이들 환형 개구들(806)을 통과하고, 중심 개구들(804)로부터 나타나는 전구체를 둘러싼다.
도 3c는, 제 1 개구 세트(808)가 플라즈마 종에 대한 직선 도관을 여전히 생성하지만 병렬 인접 개구들의 제 2 세트(810)가 전구체에 대한 독립적인 플로우 채널을 제공하는 병렬-개구 설계에 대한 단면을 도시한 것이다. 개구들의 2개 세트들은 서로 분리되어, 플라즈마 종 및 전구체(들)는, 샤워헤드를 반응 영역으로 퇴장시킬 때까지 혼합 및 반응하지 않는다.
도 3d에 도시된 바와 같이, 개구들(810)을 퇴장하는 전구체는 샤워헤드의 에지 영역으로부터 중심으로 플로우할 수도 있다. 전구체 소스와 개구들(810) 사이에 형성된 채널은 영역(812)으로부터 개구들(808)을 통해 성막 영역으로 플로우하는 플라즈마 종과는 유체적으로 분리된다. 전구체는 샤워헤드의 외연에서 및/또는 그 외연 주위로 형성된 하나 또는 그 초과의 유체 채널들에 의해 제공될 수도 있다.
도 2a 및 도 2b 그리고 도 3a 내지 도 3d에 대해 상기 도시된 샤워헤드 및 페이스플레이트 구성들은, 프로세스 챔버, 플라즈마를 발생하기 위한 RF 발생기, ALD 프로세스들에서 이용될 다양한 가스들(예를 들어, SiO2 및 SiN 및 SiC)에 대한 가스 스틱들의 적절한 어레이를 포함한 가스 패널, 전구체들, 퍼지 및 플라즈마의 신속한 스위칭을 가능케 하기 위한 ALD 밸브/솔레노이드들, RF 매칭 및 프로그래머블 로직 제어기, 또는 플라즈마 가스가 플로우되고 플라즈마가 스트라이크되고(제어기와 연통하는 RF 발생기 및 매칭) 전구체가 순환 방식으로 플로우되어 순환식 성막/원자층 성막 프로세스를 제공하는 프로세스 시퀀스를 인에이블하기 위한 ALD 제어 방법을 구현하기 위한 다른 적절한 제어부를 포함하는 원자층 성막 시스템에서 활용될 수 있다.
도 4를 참조하여, 이제, 실리콘 탄화물을 형성하기 위한 원자층 성막 프로세스 사이클의 예시적인 실시예가 설명될 것이다. 상세한 실시예들에 있어서, 플라즈마 가스(예를 들어, Ar, H2 또는 다른 가스)가 계속 플로우하도록 허용된다. 퍼지 가스의 플로우의 레이트는 성막 프로세스의 과정에 걸쳐 변경될 수도 있고, 완전히 정지될 수 있다.
기판의 준비
옵션적인 프로세스 단계는, 기판의 표면 상에 활성 사이트들을 제공하기 위해 플라즈마 또는 다른 적절한 표면 처리로 처리되었던 기판의 준비를 포함한다. 도 4를 참조하면, 플라즈마는 시점A에서 점화되고(또는 성막 챔버로 도입됨), 시점B에서 턴오프된다(또는 플로우가 차단됨). 적절한 활성 사이트들의 예들은 -H 말단형 및 -OH 말단형 활성 사이트들을 포함하지만 이에 한정되지 않는다.
기판 표면으로의 전구체 가스의 전달
활성 사이트들을 갖는 기판은 실리콘 탄화물을 형성하기 위해 전구체 가스에 노출될 수 있다. 도 4를 계속 참조하면, 제 1 전구체 가스가 시점C에서 성막 챔버에 도입되고 시점D에서 차단될 수 있다. 일반적으로, 플라즈마와 전구체 사이에 시간 기간이 존재하여, 퍼지 가스로 하여금 성막 챔버로부터 임의의 미반응된 종 및 부산물들을 제거하게 한다. 갭의 시간 길이는 관련된 특정 반응들에 의존하여 변경될 수 있다. 특정 실시예들에 있어서, 전구체 가스는, 상기 설명된 바와 같이, 캐리어 가스(예를 들어, 질소 또는 아르곤)를 전구체의 앰플을 통해 통과시킴으로써 형성된 증기 또는 SiC(예를 들어, 1,3-디실라프로판, 1,3-디실라부탄, 1,3-디실라시클로부탄, 1,3,5-트리실라시클로헥산, 1,3,5-티실라헥산, 1,3,5-트리실라펜탄, 1,3,5,7-테트라실라헵탄, 및 2,4,6-트리실라헵탄)를 형성하기 위한 것이다. 그 앰플은 가열될 수도 있다. 전구체 가스는 약 100sccm 내지 약 2,000sccm의 범위 내의 임의의 적절한 유량으로, 예를 들어, 약 200sccm 내지 약 1,000sccm, 및 특정 실시예들에서는, 약 300sccm 내지 약 700sccm, 예를 들어, 약 500sccm으로 전달될 수 있다. 기판은 약 0.1초 내지 약 8초의 범위 내의 시간 기간 동안, 예를 들어, 약 1초 내지 약 5초, 및 특정 예에서는, 약 2초 내지 약 4초 동안 전구체 가스에 노출될 수도 있다. 전구체 가스의 플로우는, 일단 반응물 가스 종이 기판에 흡착되면 중지될 수 있다.
퍼지
기판 및 챔버는 반응물 가스의 플로우를 중지한 이후에 퍼지 단계에 노출될 수도 있다. 이러한 퍼지는 도 4의 시점D에서 시작하고, 기판이 플라즈마에 다시 종속될 수도 있는 시점E까지 연장한다. 퍼지 가스는 약 100sccm 내지 약 2,000sccm의 범위 내의 유량으로, 예를 들어, 약 200sccm 내지 약 1,000sccm, 및 특정 예에서는, 약 300sccm 내지 약 700sccm, 예를 들어, 약 500sccm으로 프로세싱 챔버로 가해질 수도 있다. 퍼지 단계는 프로세싱 챔버 내에서 임의의 과잉의 전구체, 부산물들 및 다른 오염물들을 제거한다. 퍼지 단계는 약 0.1초 내지 약 8초의 범위 내의 시간 기간 동안, 예를 들어, 약 1초 내지 약 5초, 및 특정 예에서는, 약 2초 내지 약 4초 동안에 수행될 수도 있다. 캐리어 가스, 퍼지 가스, 성막 가스, 또는 다른 프로세스 가스는 질소, 수소, 아르곤, 네온, 헬륨, 또는 이들의 조합들을 함유할 수도 있다. 일 예에 있어서, 캐리어 가스는 질소를 함유한다. 사이클이 도 4에 도시된 바와 같이 반복될 수 있다.
실시예들:
실시예 1
3개의 SiCN 막들이 표 1에 리스트된 조건들을 사용해서 1,3,5-트리실라펜탄을 이용하여 성막되었다. 막들(1, 2 및 3)이, 제 1 단계에서 사용된 매우 낮은 전력들(20W) 및 짧은 시간(0.25sec)으로, 다단계 PECVD 성막 및 처리-타입 시퀀스들을 이용하여 형성되었다. 이러한 매우 낮은 전력 및 짧은 노출 시간은 사이클 당 "시드"층의 3-4A를 형성하였다. 이러한 제 1 단계의 완료 시, 1,3,5-트리실라펜탄 전구체의 플로우가 턴오프되었다. 비활성 가스들의 플로우는, 전구체의 잔여 트레이스들이 프로세스 챔버로부터 퍼지된 때까지 계속되었다. 일단 퍼지가 완료되었으면, 가스 플로우들은 표 1에 표시된 바와 같은 제 1 플라즈마 처리 단계에 대해 및 다시 제 2 플라즈마 처리 단계에 대해 선택된 값들로 재조정되고 안정화되었다. 전체 시퀀스의 완료 이후, 전체 사이클이 원하는 막 두께가 도달된 때까지 반복되었고, 이 동안, 여기에서 보고된 측정값들은 적어도 100A 및 더 일반적으로는 200A 두께였다.
막들(1, 2, 및 3)이 플라즈마 고밀도화에 대해 상이하였고, 질화 단계들이 채용되었다. 막(2)은 막(1)과 동일한 방식으로 성막되었지만, 또한, He/Ar 플라즈마로의 노출을 피처링하였다. 막(3)은 막(2)과 동일한 방식으로 성막되었지만, 막들(1 및 2)에 대해 사용된 200W 대신 100W에서 질소 플라즈마를 피처링하였다. 표 1은 또한, 러더포드 후방산란을 이용하여 결정된 다양한 조건들에서 성막된 모두 3개의 막들의 원소 조성을 나타낸다. 이러한 특정 경우에 있어서, 막들에 있어서 뒤에 남겨진 잔여 수소가 존재할 가능성이 있었지만, 수소 함유량에 대한 막들의 분석은 수행되지 않았음을 유의해야 한다. 실시예 2에서 설명되는 전구체 HMDS로부터 도출된 막들의 데이터와의 비교를 위해 가장 적절한 것은, H 함유량에 독립적으로 계산될 수 있는 C:Si 및 N:Si 비율들이다. 1,3,5-트리실라펜탄이 질소를 함유하지 않기 때문에, 1,3,5-트리실라펜탄으로부터 도출된 막들에 혼입된 질소 모두는 플라즈마 처리 동안에 부가된 질소 가스의 존재에 기인할 수 있다. 특정 처리 조건들의 선택은 최종 막 조성을 조정하기 위한 어떤 수단을 제공한다.
표 1: 성막된 막들의 원소 함유량
벌크 막들
원소 막 1:
증착:
0.25sec/20 Watt dep
처리들:
100W에서의 1.5sec H2 플라즈마,
200W에서의 2.10sec N2 플라즈마

막 2
증착:
0.25sec/20 Watt dep
처리들:
100W에서의 1.5sec H2 플라즈마
150W에서의 2.5sec He/Ar
200W에서의 3.5sec N2 플라즈마
막 3
증착:
.25sec/20 Watt dep,
처리들:
100W에서의 1.5sec H2 플라즈마
150W에서의 2.5s He/Ar 플라즈마
100W에서의 3.2sec N2 플라즈마
Si 29 33 33
C 11 12 19
N 56 55 47
O 4 0 0
Ar 0.3 0.3 1
5min 에칭 시간에 기초하여 HF 및 에칭 레이터들을 희석하기 위해 5mim 노출에 의해 제거된 막의 두께를 근사화(평균화)
총 30 Ang
6 Ang/min
총 20 Ang
4 Ang/min
현저한 에칭 없음
< 1 Ang/min
에칭 거동은 비선형인 것으로 결정되었으며, 어떠한 특정 이론에 의해 구속되길 원하지 않지만, 박형의 산화된 표면층의 상대적으로 신속한 제거를 포함하도록 보이며, 그 이후, 에천트에 대한 후속적인 연장된 노출은 미미한 효과를 갖는다. 하지만, 결과들을 실시예 2의 결과들과 비교함에 있어서 일관성을 위해, 레이트들은 100:1 HF에 있어서 5min 에칭 시간에 기초하여 보고된다. 유사한 거동이 6:1 BOE (6부 농축 NH4F/1부 농축 HF)를 이용하여 관측되었다.
도 8a는 실시예 1의 SiCN막들의 푸리에 변환 적외선(FTIR) 스펙트럼의 그래픽 표현이다. 통상의 기선 프로세스 조건인 막(1)이 도 8c에 표현된다. 막(2)은 도 8b에 표현된다. 막(3)은 도 8a에 표현된다. 3개의 데이터세트들 각각은 정규화되었다. 약 3300cm-1에서의 피크는 N-H 결합에 대응한다. 약 2300cm-1에서의 피크는 주위 공기에 존재하는 CO2에 대응한다. 약 900cm-1에 중심을 둔 넓은 피크는 막(1)으로부터 관측된 시프트 및 SiCN에 대응한다. 막(1)으로부터 막(3)에서 관측된 시프트는 증가한 탄소 함유량에 기인하고, 이는 또한 습식 HF 에칭 케미스트리들에 대한 그 증가한 내성에 대응한다.
실시예 2(비교예)
부가적인 SiCN막들(4 내지 6)이, 식 [(CH3)3Si]2NH를 갖는 전구체 헥사메틸디실라잔(HMDS)을 이용하여 성막되었다. 이에 따라, HMDS는 적어도 2개의 실리콘 원자들을 가교하는 탄소 원자를 함유하지 않는다. HMDS는 3:1 탄소대 실리콘 비율을 가지며, 각각의 실리콘 원자는 3개의 메틸 치환기들 및 하나의 질소에 결합된다. 막들(1 내지 3)을 성막하는데 이용되는 유사한 일련의 순환식 성막들이 하기의 표 2에 리스트된 결과들을 갖는 막들(4, 5, 및 6)의 성막에 채용되었다. 각각의 경우에 있어서, "시드"층이 20W RF, 6 Torr에서 성막되어, 실시예 1에 있어서 1,3,5-트리실라펜탄에 대해 채용된 조건들과 유사한 Ar 캐리어 가스를 이용하여 압력 제어형 증기 인출 앰플로부터 HMDS를 전달하였다. 성막 레이트는, 시간/사이클에 대한 총 플라즈마 및 초기 단계 이후 챔버로부터 잔여 전구체를 제거하기 위한 긴 비활성 가스 퍼지에 의해 근사적으로 선형인 것으로 결정되었다. 막(4)은 오직 수소 플라즈마 처리 사이클을 이용하여 성막되었다. 막(5)은 H2 플라즈마 이후 N2 플라즈마로 성막되었다. 막(6)은 H2와 N2의 혼합물을 포함한 플라즈마를 이용하여 성막되었다.
표 2는 또한, 러더포드 후방산란뿐 아니라 100:1 습식 HF 에칭 레이트들에 의해 결정된 바와 같은 막들(4 내지 6)의 원소 함유량을 나타낸다. 막들(1 내지 3)에서와는 달리, 막들(4 내지 6)에 대한 러더포드 후방산란 분석이 막들에 있어서 수소 함유량의 결정을 포함하였음을 유의해야 한다. 이에 따라, 막들(1 내지 3)과 막들(4 내지 6) 간의 직접 비교들은 실리콘에 대한 탄소의 비율 또는 실리콘에 대한 질소의 비율로 한정된다.
표 2: 성막된 막들의 원소 함유량
처리
원소 막 4
300W에서의 10sec H2 플라즈마


막 5
300W에서의 1.10sec H2 플라즈마
100W에서의 2.2sec N2 플라즈마
막 6
200W에서의 7sec H2 + N2 플라즈마
Si 25.50% 26.50% 32.50%
C 34% 19% 0%
N 18.50% 38.50% 47.50%
O 0% 3% 9%
H 22% 13% 11%
100:1
DHF
에칭
레이트
< 1 Ang/min >20 Ang./min (5min.에서의 >100A 두께 막의 완전 손실 >20 Ang./min. (5min.에서의 >100A 두께 막의 완전 손실
도 5 내지 도 7은 각각, 막들(4 내지 6)의 푸리에 변환 적외선(FTIR) 스펙트럼의 그래픽 표현이다. 도 5에서의 결과들은 성막 이후 H2 플라즈마만의 이용을 나타낸다. 도 6에서의 결과들은 H2 플라즈마 이후 실시예 1에 적용된 것과 유사한 (시퀀스에 있어서의) N2 플라즈마 처리를 이용한 성막을 나타낸다. 도 7에서의 결과들은 H2와 N2의 혼합물을 포함한 플라즈마를 이용한 성막을 나타내고, 막으로부터 탄소의 완전한 제거를 발생시킨다.
1,3,5-트리실라펜탄과의 작업과 대조적으로, IR 스펙트럼에서 C-H 흡수를 감소시키고 약 800-1000cm-1의 SiCN 영역에서의 성장을 유도하는데 필요한 조건들이 탄소의 실질적인 제거를 발생하도록 발견되었다. 실제로, 어떠한 부가적인 처리없이, RBS에 의해 결정된 바와 같은 C:Si 비율은 3:1의 초기값으로부터 단지 1.3:1로 강하하였다. 막(4)이 100:1 HF에서 느리게 제거되는 동안, (막(5)에서 관측되고 실시예 1 막들에서 채용된 것과 유사한) 짧은 N2 플라즈마 단계를 포함한 부가적인 단계들 또는 H2 및 N2 플라즈마들을 (막(6)에서 관측되는 바와 같은) 단일 단계로 결합하였던 대안적인 프로세스의 적용은 현저히 더 높은 탄소 손실을 경험하였고 100:1 HF에 의해 에칭에 대한 낮은 내성을 나타내었다.
흥미롭게도, 막(5)을 제공하기 위해 막(4) 프로세스에 이용된 프로세스의 각각의 사이클에 부가된 N2 플라즈마 단계는 1.3:1로부터 0.72:1로 감소하는 C:Si 비율을 발생하였고, 그 결과는 여전히, 1,3,5-트리실라펜탄-도출형 막들(1-3)에 대해 측정된 0.38:1과 0.58:1 사이의 비율들보다 더 높다. 게다가, 1,3,5-트리실라펜탄-도출형 막들은 우수한 에칭 내성을 나타내었다.
어떠한 특정 이론에 의해 구속되길 원하지 않지만, 이들 결과들은 전구체들(및 그로부터 도출되는 저 전력 시드 막들)에 존재하는 가교 탄소 원자들이 말단 메틸기들의 형태로 원래 존재하는 탄소보다 내에칭성의 탄화물들로 더 효과적으로 보유되고 변환됨을 시사한다. 더욱이, 더 높은 RF 전력 레벨들 및 더 긴 H2 및/또는 비활성 가스 플라즈마 처리 시간들이 HMDS 도출형 시드들의 축합을 1,3,5-트리실라펜탄-도출형 막들의 특성들에 근사한 레벨로 촉진하는데 필요하였음을 유의해야 한다. 실시예 1의 모든 막들은 SiCN으로의 그 변환에 요구된 최종 질소 플라즈마 단계를 이용하여 준비되었으며, 그 이후, 습식 HF 에칭 프로세스들에 대한 상당히 높은(및 유용한) 저항을 여전히 나타내도록 도시되었다. 하지만, (전구체 HMDS로부터 도출된) 막(5)의 준비에 유사한 프로세스를 적용하는 것은, 최종 C:Si 비율이 1,3,5-트리실라펜탄-도출형 막들 중 임의의 막에서 측정된 것보다 더 높게(0.75) 유지되더라도, HF 에칭 내성의 그 손실을 발생시켰다. Si 원자들 간의 "가교" 메틸렌으로서 원래 존재한 탄소는, 오직 조성 분석만을 이용하여 추정될 수 있는 에칭 거동에 대한 훨씬 더 큰 영향을 미치는 형태로 변환한다고 결론내릴 수도 있다. 1,3,5-트리실라펜탄의 경우에 있어서, 질소 플라즈마 단계의 부가는 C:Si 비율(막(3)의 경우 전구체에서의 비율로부터 계산된 0.67:1의 값으로부터 0.53:1로 강하함)에 큰 영향을 미치지 않고도 질소를 효과적으로 혼입할 수 있다. HMDS 막(4)에 이용된 고밀도화 프로세스의 종단에서 유사한 질소 플라즈마 단계를 부가하는 것은 탄소 함유량에 대해 훨씬 더 현저한 영향을 발생시켜(에칭 내성의 심각한 열화와 함께 1.3이 .72로 강하함), 각 경우에 있어서의 보유된 탄소의 결합이 현저하게 상이함을 시사하였다.
실제로 (메틸 치환기들 중 하나를 할로겐화물 또는 시안화물로 대체함으로써) HMDS 분자로 활성 탈리기를 혼입함으로써 더 클래식한 자기-한정적 반응도를 갖는 프로세스를 달성하는 것이 가능할 수도 있지만, 그러한 전구체의 안정도는, 다소 방해되더라도, 이미 존재하는 잠재적으로 반응성의 N-H 결합에 의해 심각하게 손상될 수도 있다. 이러한 이유로, (1,3,5-트리실라펜탄과 같은) 반응성 Si-H 결합들 및 가교 탄소 양자를 갖는 전구체들은, 탄소가 (예를 들어, Si-H 결합들 또는 Si-Si 결합들로 삽입함으로써) 질소의 도입을 여전히 허용하는 동안에 효율적으로 보유되기 때문에 SiCN 전구체들로서 특히 잘 어울린다. 이는 전구체 자체에 초기에 존재하지 않은 반응성 관능도의 생성을 발생시키고, 이에 의해, 본 명세서에서 설명된 다양한 "활성화된" 유도체들을 채용하는 방식들의 이용을 가능케하며, 이들 대부분 또는 이들 모두는, HMDS로부터 도출된 재료를 갖는 경우인 것과 같이 분자에 이미 존재한 N-H 관능도로 실행가능한 것으로 기대되지 않는다.
따라서, 실시예 2의 막들은, 바람직한 에칭 특성들을 나타내는 조성들이 훨씬 더 길고 더 적극적인 H2/비활성 플라즈마계 고밀도화 단계들을 요구하였음을 나타내고, 그 이후, 막들은 탄소의 현저한 손실 및 에칭 내성없이 질소 플라즈마 활성화 단계의 이용을 허용하기에 여전히 불충분하게 안정하였다. 이는 본 발명의 다양한 실시예들에 따라 성막된 실시예 1 막들의 우월성을 나타낸다.
따라서, 메틸(-CH3)과 같은 비가교 탄소 치환기들을 갖는 더 일반적인 전구체들에 대한 1,3,5-트리실라펜탄과 같은 전구체들(Si 원자들 간의 가교 위치들에 탄소를 혼입함)의 명백한 이점이 존재하며, 이는 막들이 HF(100:1 H2O/농축 HF)와 같은 케미스트리들, 또는 SiO2를 신속하게 에칭하도록 설계된 버퍼링된 산화물 에칭과 같은 혼합물들(6:1의 농축 NH4F대 농축 HF에 대한 혼합물)에 대한 높은 습식 에칭 내성을 나타냄을 요구하는 어플리케이션을 타겟팅할 경우에 특히 명백하다.
"일 실시예", "특정 실시예들", "하나 또는 그 초과의 실시예들" 또는 "실시예"에 대한 본 명세서 전반에 걸친 참조는 그 실시예와 관련하여 설명된 특정한 피처, 구조, 재료, 또는 특성이 본 발명의 적어도 하나의 실시예에 포함됨을 의미한다. 따라서, 본 명세서 전반에 걸친 다양한 곳에서의 "하나 또는 그 초과의 실시예들에 있어서", "특정 실시예들에 있어서", "일 실시예에 있어서" 또는 "실시예에 있어서"와 같은 어구의 출현이 본 발명의 동일한 실시예를 반드시 언급하는 것은 아니다. 더욱이, 특정한 피처들, 구조들, 재료들, 또는 특성들은 하나 또는 그 초과의 실시예들에 있어서 임의의 적절한 방식으로 결합될 수도 있다.
본 명세서에서의 발명이 특정 실시예들을 참조하여 설명되었지만, 이들 실시예들은 본 발명의 원리들 및 어플리케이션들의 단지 예시일 뿐임을 이해해야 한다. 다양한 변형들 및 변경들이 본 발명의 사상 또는 범위로부터 일탈함없이 본 발명의 방법 및 장치에 대해 행해질 수 있음이 당업자에게 자명할 것이다. 따라서, 본 발명은, 첨부된 청구항들 및 그 균등물들의 범위 내에 있는 변형들 및 변경들을 포함함이 의도된다.

Claims (15)

  1. 기판 표면 상에 실리콘 탄화물막을 형성하는 방법으로서,
    반응성 표면을 갖는 기판을 증기상(vapor phase) 카보실란 전구체에 노출하여 상기 기판 표면 상에 실리콘 탄화물층을 형성하는 단계를 포함하고,
    상기 카보실란 전구체는 적어도 2개의 실리콘 원자들을 가교하는(bridging) 적어도 하나의 탄소 원자를 함유하는, 실리콘 탄화물막을 형성하는 방법.
  2. 제 1 항에 있어서,
    수소를 제거하는데 효과적인 플라즈마로 상기 실리콘 탄화물층을 처리하는 단계를 더 포함하는, 실리콘 탄화물막을 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 카보실란 전구체는 1,3-디실라프로판, 1,3-디실라부탄, 1,3-디실라시클로부탄, 1,3,5-트리실라시클로헥산, 1,3,5-티실라헥산, 1,3,5-트리실라펜탄, 1,3,5,7-테트라실라헵탄, 및 2,4,6-트리실라헵탄인, 실리콘 탄화물막을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 기판은 600℃ 미만의 온도에서 상기 증기상 카보실란 전구체에 노출되는, 실리콘 탄화물막을 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 기판 표면 상의 상기 실리콘 탄화물층을 플라즈마로 처리하여 상기 실리콘 탄화물층을 활성화하는 단계, 및
    상기 활성화된 실리콘 탄화물층을 제 2 증기상 카보실란 전구체에 노출하여 상기 기판 표면 상에 부가적인 실리콘 탄화물층을 형성하는 단계를 더 포함하는, 실리콘 탄화물막을 형성하는 방법.
  6. 제 5 항에 있어서,
    제 2 증기상 실리콘 탄화물은 상기 활성화된 실리콘 탄화물층에서의 실리콘 탄화물과 상이한, 실리콘 탄화물막을 형성하는 방법.
  7. 기판 표면 상에 층을 형성하는 방법으로서,
    적어도 2개의 실리콘 원자들을 가교하는 적어도 하나의 탄소 원자를 함유하는 카보실란 전구체에 상기 기판 표면을 노출하는 단계;
    상기 기판 표면에 카보실란을 제공하기 위해 상기 카보실란 전구체를 저 전력 에너지 소스에 노출하는 단계;
    상기 카보실란을 고밀도화하는(densifying) 단계; 및
    카보실란 표면을 질소 소스에 노출하는 단계를 포함하는, 층을 형성하는 방법.
  8. 제 7 항에 있어서,
    상기 카보실란을 고밀도화하는 단계는 He, Ar 및 H2 중 하나 또는 그 초과를 함유하는 플라즈마에 상기 기판 표면을 노출하는 단계를 포함하는, 층을 형성하는 방법.
  9. 제 7 항에 있어서,
    상기 카보실란 전구체는 적어도 2개의 실리콘 원자들을 가교하는 메틸렌기를 함유하는, 층을 형성하는 방법.
  10. 제 9 항에 있어서,
    상기 카보실란 전구체는 1,3-디실라프로판, 1,3-디실라부탄, 1,3-디실라시클로부탄, 1,3,5-트리실라시클로헥산, 1,3,5-티실라헥산, 1,3,5-트리실라펜탄, 1,3,5,7-테트라실라헵탄, 및 2,4,6-트리실라헵탄 중 하나 또는 그 초과인, 층을 형성하는 방법.
  11. 제 7 항에 있어서,
    상기 카보실란을 질소 소스에 노출하는 단계는 암모니아를 플로우(flow)하거나 또는 질소를 함유하는 플라즈마에 상기 카보실란을 노출하는 단계를 포함하는, 층을 형성하는 방법.
  12. 제 7 항에 있어서,
    상기 카보실란 전구체를 저 전력 에너지 소스에 노출하는 단계는 상기 카보실란 전구체를 저 전력 플라즈마, 자외선 방사, 전자 빔 또는 이온 빔에 노출하는 단계를 포함하는, 층을 형성하는 방법.
  13. 플라즈마 강화 원자층 성막(deposition)에 의해 기판 상에 층을 형성하기 위한 장치로서,
    성막 챔버;
    상기 기판을 유지하기 위한 상기 성막 챔버 내의 기판 스테이지;
    상기 성막 챔버에 커플링되고 반응성 플라즈마를 발생시키는데 이용되는 플라즈마 발생 시스템; 및
    상기 기판 스테이지 위에 위치된 이중-채널 샤워헤드를 포함하는 가스 분배 시스템을 포함하고,
    상기 샤워헤드는 플라즈마 종이 통과하여 상기 성막 챔버에 진입하는 제 1 개구 세트, 및 전구체가 통과하여 상기 성막 챔버에 진입하는 제 2 개구 세트를 갖는 페이스플레이트(faceplate)를 포함하고,
    상기 플라즈마 종 및 상기 전구체는 상기 성막 챔버에 진입할 때까지 혼합되지 않는, 층을 형성하기 위한 장치.
  14. 제 13 항에 있어서,
    상기 플라즈마는 상기 성막 챔버로부터 원격으로 발생되는, 층을 형성하기 위한 장치.
  15. 제 13 항에 있어서,
    상기 전구체는 1,3-디실라프로판, 1,3-디실라부탄, 1,3-디실라시클로부탄, 1,3,5-트리실라시클로헥산, 1,3,5-티실라헥산, 1,3,5-트리실라펜탄, 1,3,5,7-테트라실라헵탄, 및 2,4,6-트리실라헵탄 중 하나 또는 그 초과를 포함하는, 층을 형성하기 위한 장치.
KR1020137014088A 2010-11-03 2011-11-03 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들 KR20130135261A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US40973510P 2010-11-03 2010-11-03
US61/409,735 2010-11-03
US201161534122P 2011-09-13 2011-09-13
US61/534,122 2011-09-13
US13/288,157 2011-11-03
US13/288,157 US8440571B2 (en) 2010-11-03 2011-11-03 Methods for deposition of silicon carbide and silicon carbonitride films
PCT/US2011/059135 WO2012061593A2 (en) 2010-11-03 2011-11-03 Apparatus and methods for deposition of silicon carbide and silicon carbonitride films

Publications (1)

Publication Number Publication Date
KR20130135261A true KR20130135261A (ko) 2013-12-10

Family

ID=46025107

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137014088A KR20130135261A (ko) 2010-11-03 2011-11-03 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들

Country Status (4)

Country Link
US (1) US8440571B2 (ko)
KR (1) KR20130135261A (ko)
CN (1) CN103168344A (ko)
WO (1) WO2012061593A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9613798B2 (en) 2014-03-04 2017-04-04 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR20170131219A (ko) * 2016-05-19 2017-11-29 도쿄엘렉트론가부시키가이샤 산화막 제거 방법 및 제거 장치, 및 콘택 형성 방법 및 콘택 형성 시스템
KR102170451B1 (ko) * 2020-01-22 2020-10-28 (주)이큐테크플러스 프리커서와 반응가스를 함께 분사하는 라디컬 유닛 및 이를 포함하는 ald장치
KR20220027287A (ko) * 2015-02-06 2022-03-07 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법

Families Citing this family (432)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
TW201319299A (zh) * 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8987494B2 (en) 2012-04-11 2015-03-24 Gelest Technologies, Inc. Low molecular weight carbosilanes, precursors thereof, and methods of preparation
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6024484B2 (ja) * 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP5788448B2 (ja) * 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150140833A1 (en) * 2013-11-18 2015-05-21 Applied Materials, Inc. Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401450B2 (en) 2013-12-09 2016-07-26 Sunpower Corporation Solar cell emitter region fabrication using ion implantation
US9577134B2 (en) 2013-12-09 2017-02-21 Sunpower Corporation Solar cell emitter region fabrication using self-aligned implant and cap
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
FR3030297B1 (fr) * 2014-12-18 2016-12-23 Saint-Gobain Centre De Rech Et D'Etudes Europeen Filtres comprenant des membranes en sic incorporant de l'azote
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
SG10201600832VA (en) * 2015-02-06 2016-09-29 Novellus Systems Inc Conformal deposition of silicon carbide films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR20180045040A (ko) * 2015-09-19 2018-05-03 어플라이드 머티어리얼스, 인코포레이티드 하이드로실릴화 부동태화를 사용한 표면 선택적 원자 층 증착
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017095433A1 (en) * 2015-12-04 2017-06-08 Intel Corporation Liquid precursor based dielectrics with control of carbon, oxygen and silicon composition
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10699897B2 (en) * 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11017997B2 (en) * 2017-01-13 2021-05-25 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
EP3367425A1 (en) * 2017-02-28 2018-08-29 IMEC vzw A method for direct bonding of semiconductor substrates
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10395923B2 (en) * 2017-10-11 2019-08-27 Lawrence Livermore National Security, Llc Localized electron beam induced deposition of silicon carbide
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
WO2020066669A1 (ja) * 2018-09-27 2020-04-02 Jsr株式会社 半導体基板の処理方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
SG11202108364QA (en) * 2019-02-11 2021-08-30 Applied Materials Inc Film formation via pulsed rf plasma
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US20220275510A1 (en) * 2019-08-06 2022-09-01 Lam Research Corporation Thermal atomic layer deposition of silicon-containing films
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TWI823050B (zh) * 2020-02-19 2023-11-21 美商應用材料股份有限公司 無氫二氧化矽
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11605536B2 (en) * 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN116234940A (zh) 2020-09-30 2023-06-06 盖列斯特有限公司 碳化硅薄膜及其气相沉积方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20230014059A (ko) * 2021-07-20 2023-01-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 카바이드 층을 포함한 구조체를 형성하는 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5153295A (en) * 1990-07-20 1992-10-06 Rensselaer Polytechnic Institute Carbosilane polymer precursors to silicon carbide ceramics
KR960012710B1 (ko) * 1993-10-11 1996-09-24 한국화학연구소 단일 유기규소 화합물을 이용한 탄화규소 막의 제조
US6225238B1 (en) * 1999-06-07 2001-05-01 Allied Signal Inc Low dielectric constant polyorganosilicon coatings generated from polycarbosilanes
JP4049214B2 (ja) 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US6730802B2 (en) 2002-07-09 2004-05-04 Starfire Systems, Inc. Silicon carbide precursor
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
WO2008104059A1 (en) * 2007-02-27 2008-09-04 Sixtron Advanced Materials, Inc. Method for forming a film on a substrate
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9613798B2 (en) 2014-03-04 2017-04-04 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR20220027287A (ko) * 2015-02-06 2022-03-07 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
KR20170131219A (ko) * 2016-05-19 2017-11-29 도쿄엘렉트론가부시키가이샤 산화막 제거 방법 및 제거 장치, 및 콘택 형성 방법 및 콘택 형성 시스템
KR102170451B1 (ko) * 2020-01-22 2020-10-28 (주)이큐테크플러스 프리커서와 반응가스를 함께 분사하는 라디컬 유닛 및 이를 포함하는 ald장치
US11661656B2 (en) 2020-01-22 2023-05-30 Eq Tech Plus Co., Ltd. Thin film forming apparatus and radical unit for forming thin film

Also Published As

Publication number Publication date
CN103168344A (zh) 2013-06-19
US20120122302A1 (en) 2012-05-17
WO2012061593A2 (en) 2012-05-10
US8440571B2 (en) 2013-05-14
WO2012061593A3 (en) 2012-09-27

Similar Documents

Publication Publication Date Title
KR20130135261A (ko) 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
KR102478568B1 (ko) 질화규소 막을 증착시키는 방법
JP7135187B2 (ja) SiOCN薄膜の形成
US8575033B2 (en) Carbosilane precursors for low temperature film deposition
JP6813983B2 (ja) アルミニウム及び窒素を含む材料の選択的堆積
JP6929279B2 (ja) SiOおよびSiNを含む流動性膜を堆積させる方法
KR20210028093A (ko) 유전체 층을 포함하는 구조체 및 이를 형성하는 방법
US8821986B2 (en) Activated silicon precursors for low temperature deposition
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
TWI523103B (zh) 在高開口率圖案上形成具有Si-N鍵之共形膜的方法
TWI479044B (zh) 硼膜界面工程
US9040127B2 (en) Low temperature silicon carbide deposition process
CN107112278B (zh) 用于先进互连应用的超薄电介质扩散阻挡层与蚀刻终止层
TW201510268A (zh) 具有所欲成分及膜特性之矽碳化物類薄膜的取得方法
TW201214563A (en) Plasma-activated deposition of conformal films
KR20080050510A (ko) 배치 ald 반응기에 대한 처리 공정
KR20140071402A (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
JP7431245B2 (ja) 窒化ケイ素を堆積する方法
KR102246244B1 (ko) 전기적 성질 및 uv 적합성이 향상된 배리어 막
US10366879B2 (en) Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
TW202403076A (zh) 有機材料之選擇性沉積
TW201243089A (en) Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
TW201316406A (zh) 用於低溫膜沉積之碳矽烷前驅物

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid