JP7431245B2 - 窒化ケイ素を堆積する方法 - Google Patents

窒化ケイ素を堆積する方法 Download PDF

Info

Publication number
JP7431245B2
JP7431245B2 JP2021542125A JP2021542125A JP7431245B2 JP 7431245 B2 JP7431245 B2 JP 7431245B2 JP 2021542125 A JP2021542125 A JP 2021542125A JP 2021542125 A JP2021542125 A JP 2021542125A JP 7431245 B2 JP7431245 B2 JP 7431245B2
Authority
JP
Japan
Prior art keywords
silicon nitride
nitride material
plasma
silicon
flowable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021542125A
Other languages
English (en)
Other versions
JPWO2020154009A5 (ja
JP2022523019A (ja
Inventor
ラクマル シー. カルタラジ,
マーク ジェー. サルー,
プラケト プラカシュ ジャ,
チンメイ リャン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022523019A publication Critical patent/JP2022523019A/ja
Publication of JPWO2020154009A5 publication Critical patent/JPWO2020154009A5/ja
Application granted granted Critical
Publication of JP7431245B2 publication Critical patent/JP7431245B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Description

本実施形態は、概して、薄膜を堆積する方法に関し、より詳細には、気相堆積によって窒化ケイ素材料を堆積する方法に関する。
基板表面での薄膜の堆積は、半導体処理、拡散バリアコーティング、及び磁気読み取り/書き込みヘッド用の誘電体を含めた、さまざまな産業において重要なプロセスである。半導体産業では、特に、小型化は、高アスペクト構造にコンフォーマルコーティングを生成するために、薄膜堆積の高レベル制御の恩恵を受けている。マイクロ電子デバイスの小型化に起因して、これらのデバイスの特徴は狭くなり、結果的に、トレンチ内にボイド及び品質が不十分な膜なしに、膜を堆積することがますます困難になっている。相対制御及びコンフォーマル堆積による薄膜の堆積方法の1つは、化学気相堆積(CVD)である。CVDプロセスは1つ以上の前駆体に基板を曝露することを含み、これらが反応して基板上に膜を堆積する。流動性化学気相堆積(FCVD)は、特に間隙充填用途のための流動性膜の堆積を可能にするCVDの一種である。
窒化ケイ素を含む流動性膜は、間隙充填用途に利用される。現在、これらの流動性膜は通常、トリシリルアミン(TSA、(HSi)N)などの前駆体から生成される。TSAは、約0.33のN/S原子比を有する。この原子比は、通常、TSAから形成された、堆積された窒化ケイ素膜において保存される。しかしながら、堆積された窒化ケイ素膜では、より大きいN/S原子比を有することが望ましい。追加の後処理を使用して、窒化ケイ素膜の窒素含有量を増加させることができるが、製造プロセスにさらなる時間及びコストが追加される。また、後処理には、膜の侵入深さに制限があり(これは高アスペクト比のトレンチにとって重要である)、したがって、膜全体にわたる膜均一性の品質が低下する。
したがって、商業的に実現可能であり、両方が流動性を示し、比較的高いN/S原子比(例えば、0.33より大きい)を含む、化学前駆体を利用する堆積方法が必要とされている。
本明細書に記載され、論じられる実施形態は、気相堆積によって窒化ケイ素材料を堆積する方法を提供する。窒化ケイ素材料は、基板表面に形成されたトレンチに充填するなどの間隙充填用途で基板上に堆積される。1つ以上の実施形態では、窒化ケイ素膜を堆積する方法は、1つ以上のケイ素-窒素前駆体及び1つ以上のプラズマ励起した共反応物質を処理チャンバ内に導入すること、処理チャンバ内でプラズマを生成すること、並びにプラズマ中でケイ素-窒素前駆体及びプラズマ励起した共反応物質を反応させて処理チャンバ内の基板上に流動性窒化ケイ素材料を生成することを含む。該方法はまた、流動性窒化ケイ素材料を処理して基板上に固体窒化ケイ素材料を生成することも含む。ケイ素-窒素前駆体は、次の化学式:
Figure 0007431245000001
又はそれらの任意の組合せのうちの1つ以上を有することができ、式中、各Rは、H、アルキル、アリール、アミノ、シリル、イソシアネート、イソチオシアネート、それらの異性体、又はそれらの塩から独立して選択されるか、若しくは任意の2つのR基が結合して環式基を形成する。
幾つかの実施形態では、窒化ケイ素膜を堆積する方法は、基板を処理チャンバ内で1つ以上のケイ素-窒素前駆体、1つ以上のプラズマ励起した共反応物質、及びプラズマに曝露して、基板上に流動性窒化ケイ素材料を生成することを含む。該方法はまた、流動性窒化ケイ素材料を処理して基板上に固体窒化ケイ素材料を生成することも含む。ケイ素-窒素前駆体は、上に示される化学式の1つ以上を有しうる。
他の実施形態では、窒化ケイ素膜を堆積する方法は、1つ以上のケイ素-窒素前駆体及び1つ以上のプラズマ励起した共反応物質を処理チャンバ内に導入すること、並びにケイ素-窒素前駆体及びプラズマ励起した共反応物質をプラズマと反応させて処理チャンバ内の基板上に流動性窒化ケイ素材料を生成することを含む。該方法はまた、基板に形成されたトレンチを流動性窒化ケイ素材料で少なくとも部分的に満たすこと、及び流動性窒化ケイ素材料を処理してトレンチ内に固体窒化ケイ素材料を生成することも含む。ケイ素-窒素前駆体は、上に示される化学式の1つ以上を有しうるが、また、式中、各Rは、H、CH、C、C、C、NR’、SiH、N=C=S、N=C=O、又はそれらの異性体から独立して選択されるか、若しくは任意の2つのR基が結合して環式基を形成し;各R’は、H、CH、C、C、C、又はそれらの異性体から独立して選択され;xは、1、2、又は3の整数である。
本開示の上記の特徴を詳細に理解できるように、その一部が添付の図面に示されている実施形態を参照することにより、上に簡単に要約されている本開示のより詳細な説明を得ることができる。しかしながら、本開示は他の等しく有効な実施形態も許容しうることから、添付の図面が例示しているのはこの開示の典型的な実施形態のみであること、したがって、添付の図面は本開示の範囲を限定すると見なすべきではないことに留意されたい。
1つ以上の実施形態による、窒化ケイ素膜を堆積する方法のフローチャート
一実施形態の要素及び特徴は、さらなる記載がなくとも、他の実施形態に有益に組み込むことができることが企図されている。
本明細書に記載され、論じられる実施形態は、気相堆積によって窒化ケイ素材料を堆積する方法を提供する。窒化ケイ素材料は、基板表面に形成されたトレンチに充填するなどの間隙充填用途で基板上に堆積される。1つ以上の実施形態では、窒化ケイ素膜を堆積する方法は、1つ以上のケイ素-窒素前駆体及び1つ以上のプラズマ励起した共反応物質を処理チャンバ内に導入すること、処理チャンバ内でプラズマを生成すること、並びにプラズマ中でケイ素-窒素前駆体及びプラズマ励起した共反応物質を反応させて処理チャンバ内の基板上に流動性窒化ケイ素材料を生成することを含む。該方法はまた、流動性窒化ケイ素材料を処理して基板上に固体窒化ケイ素材料を生成することも含む。
高品質の流動性膜は、流動性化学気相堆積(FCVD)プロセスにおいて、本明細書に説明され、論じられている1つ以上のケイ素-窒素前駆体を使用して得ることができる。これらのケイ素-窒素前駆体は、プラズマから生成されたラジカルの形態で共反応物質とともに用いられる。膜は、希釈フッ化水素酸(DHF)における低い湿式エッチング速度(WER)、及び低い収縮速度という有利な効果を有する。結果は、ケイ素-窒素前駆体としてシラザン化合物を利用する実施形態にとって特に驚くべきものである。これらの膜の優れた特性に起因して、膜は、間隙充填用途に特に適している。特に、膜の流動性により、間隙の充填が可能になる。
1つ以上の実施形態では、ケイ素-窒素前駆体はCVDチャンバへと気化され、共反応物質(例えば、NHのみ又はNH/O)は、共反応物質としてプラズマ活性種を生成する遠隔プラズマ源(RPS)を介して、チャンバに送給される。他の実施形態では、プラズマは、インシトゥプラズマ、直接プラズマ、及び/又はマイクロ波プラズマによって点火、生成、又は他の方法で生成されうる。幾つかの例では、プラズマ励起した共反応物質は、RPSによって活性化され、次に処理チャンバに導入され、ケイ素-窒素前駆体と合わされて処理チャンバ内でプラズマを生成する。他の例では、プラズマ励起した共反応物質は、非活性形態で処理チャンバ内に導入され、次に、ケイ素-窒素前駆体と組み合わせて処理チャンバ内でプラズマを生成する前又は最中に、処理チャンバ内で活性化される。プラズマ励起した共反応物質、又は二次プロセスガスは、アルゴン、ヘリウム、窒素(N)、水素(H)、又はそれらの任意の組合せでありうるか、又はそれらを含むことができる。プラズマ励起した共反応物質の分子又はラジカルは、高いエネルギーを有しており、気相中でケイ素-窒素前駆体分子と反応して流動性の窒化ケイ素ポリマーを形成する。これらの流動性窒化ケイ素ポリマーは、基板上に堆積し、流動性窒化ケイ素ポリマーがトレンチを通って流れて間隙充填を生成するのに十分な流動性を有している。次に、流動性窒化ケイ素材料の膜は、さらなる処理に供されて、固体窒化ケイ素材料を生成する。追加の処理は、硬化(例えば、O及び/又はUV)、熱アニーリング(例えば、蒸気又はNH)、プラズマアニーリングプロセス、及び/又はUVアニーリングプロセスでありうるか、若しくはそれらを含むことができる。
幾つかの実施形態では、直接プラズマを使用して、流動性ポリマーを発生、形成、又は他の方法で生成する。次に、ケイ素-窒素前駆体は、CVDチャンバへと気化されてよく、共反応物質(例えば、N、H、Ar、He、NH、Oの任意の組合せ、又は単一の共反応物質)は、プラズマがオンになっている間、チャンバに送給される。幾つかの実施形態では、流動性窒化ケイ素材料は、直接プラズマから堆積され、それにより、気化したシリコン-窒素前駆体が処理チャンバ内に流れ込み、プラズマが共反応物質の有無にかかわらずオンになる。
1つ以上の実施形態では、窒化ケイ素膜を堆積する方法は、1つ以上のケイ素-窒素前駆体及び1つ以上のプラズマ励起した共反応物質を処理チャンバ内に導入すること、処理チャンバ内でプラズマを生成すること、並びにプラズマ中でケイ素-窒素前駆体及びプラズマ励起した共反応物質を反応させて処理チャンバ内の基板上に流動性窒化ケイ素材料を生成することを含む。該方法はまた、流動性窒化ケイ素材料を処理して基板上に固体窒化ケイ素材料を生成することも含む。幾つかの例では、基板表面は、プラズマ励起した共反応物質に曝露されて、流動性窒化ケイ素材料を含む中間膜をもたらす。その後、中間膜はUV硬化に曝露されて、硬化した中間膜をもたらすことができ、続いて、硬化された中間膜がアニールされて窒化ケイ素材料を含む固体膜をもたらすことができる。1つ以上の実施形態では、該方法はFCVDプロセスである。
ケイ素-窒素前駆体は、シラザン、又はケイ素及び窒素の供給源を含む他の種類の化合物でありうる。ケイ素-窒素前駆体は、基板表面に曝露するために、処理チャンバ内で気化される。1つ以上の実施形態では、ケイ素-窒素前駆体はシラザン前駆体であり、ケイ素及び窒素を含む膜を堆積又は他の方法で形成するために用いられる。
約0.33のN/S原子比を有する既知のケイ素前駆体トリシリルアミン(TSA、(HSi)N)とは異なり、本明細書に説明され、論じられるケイ素-窒素前駆体は、TSAよりもはるかに大きい比率で、ケイ素及び窒素の供給源を提供する。ケイ素-窒素前駆体は、0.5以上、例えば、0.5超、約0.6、約0.67、約0.75、約8、約9、又は約1から約1.2、約1.5、約2、約2.5、約3、約3.5、約4、約5.5、約5、約6、約8、又はそれより大きいN/Si原子比を有する。例えば、ケイ素-窒素前駆体は、0.5超から約8、0.5超から約6、0.5超から約5、0.5超から約4、0.5超から約3、0.5超から約2、0.5超から約1.5、0.5超から約1、約0.67から約8、約0.67から約6、約0.67から約5、約0.67から約4、約0.67から約3、約0.67から約2、約0.67から約1.5、約0.67から約1、約1から約8、約1から約6、約1から約5、約1から約4、約1から約3、約1から約2、約1から約1.5、約2から約8、約2から約6、約2から約5、約2から約4、又は約2から約3のN/Si原子比を有する。
ケイ素-窒素前駆体は、次の化学式:
Figure 0007431245000002
のうちの1つ以上、又はそれらの任意の組合せを有することができ、式中、各Rは、H、アルキル、アリール、アミノ、シリル、イソシアネート、イソチオシアネート、それらの異性体、又はそれらの塩から独立して選択されるか、若しくは任意の2つのR基が結合して環式基又は二環式基を形成する。例示的なアルキル基は、メチル(Me、CH)、エチル(Et、C)、プロピル(Pr、C)、ブチル(Bu、C)、ペンチル(C11)、ヘキシル、ヘプチル、オクチル、ノニル、又はそれより高位、若しくはそれらの異性体でありうるか、又はそれらを含むことができる。本明細書で用いられる場合、特に明記しない限り、プロピル基はノルマルプロピル(Pr)及び/又はイソプロピル(Pr)であってよく、ブチル基は、ノルマルブチル(Bu)、第二ブチル(Bu)、及び/又は第三ブチル(Bu)でありうる。
幾つかの実施形態では、各Rは、H、CH、C、C、C、NR’、SiH、N=C=S、N=C=O、又はそれらの異性体から独立して選択されるか、若しくは任意の2つのR基が結合して環式基を形成し、ここで、xは、1、2、又は3の整数である。RがNR’の場合、各R’は、H、CH、C、C、C、又はそれらの異性体から独立して選択することができる。幾つかの例では、各Rは、H、CH、C、C、C、NR’、又はそれらの異性体から独立して選択することができ、各R’は、H、CH、C、C、C、又はそれらの異性体から独立して選択される。
1つ以上の例では、ケイ素-窒素前駆体は次の化学式:
Figure 0007431245000003
を有していてよく、式中、各Rは、H、CH、C、CPr及び/又はPr)、CBu、Bu、及び/又はBu)、NR’、又はそれらの異性体から独立して選択され、各R’は、H、CH、C、C、C、又はそれらの異性体から独立して選択される。例えば、ケイ素-窒素前駆体は、次の化学式:
Figure 0007431245000004
のうちの1つ以上、又はそれらの任意の組合せを有することができる。
1つ以上の例では、ケイ素-窒素前駆体は、次の化学式:
Figure 0007431245000005
を有することができ、式中、各Rは、H、CH、C、C、C、又はそれらの異性体から独立して選択される。幾つかの例では、各Rは、独立して、CHPr、Pr、又はBuでありうる。
1つ以上の例では、ケイ素-窒素前駆体は、次の化学式:
Figure 0007431245000006
の1つ以上、又はそれらの任意の組合せを有することができる。各Rは、H、CH、C、C、C、フェニル(Ph、C)、アリール(Ar)、CH-Ph、CH-Ar、それらの置換体、又はそれらの異性体から独立して選択することができる。
幾つかの例では、ケイ素-窒素前駆体は、次の化学式:
Figure 0007431245000007
を有することができ、式中、各Rは、Pr、Bu、CH-Ph、CH-Ar、それらの置換体、又はそれらの異性体から独立して選択される。
他の例では、ケイ素-窒素前駆体は、次の化学式:
Figure 0007431245000008
を有することができ、式中、各Rは、CH、Ar(例えば、Ph)、それらの置換体、又はそれらの異性体から独立して選択される。
1つ以上の実施形態では、該方法はまた、基板に形成されたトレンチを流動性窒化ケイ素材料で少なくとも部分的に満たすこと、及び流動性窒化ケイ素材料を硬化してトレンチ内に固体窒化ケイ素材料を生成することも含む。他の実施形態では、該方法はまた、流動性窒化ケイ素材料を基板上又はトレンチ内に層として堆積すること、及び、その後、流動性窒化ケイ素材料を含む層を処理して固体窒化ケイ素材料を含む層を生成することも含む。流動性窒化ケイ素材料の層を堆積し、流動性窒化ケイ素材料の層を処理するプロセスを繰り返して、互いに連続して形成された固体窒化ケイ素材料の複数の層を生成することができ、これが窒化ケイ素膜全体を構成する。
図1は、1つ以上の実施形態による、窒化ケイ素膜を堆積する方法100のフローチャートを示している。
110では、1つ以上のケイ素-窒素前駆体及び1つ以上のプラズマ励起した共反応物質が処理チャンバ内に導入されるか、又は他の方法で入れられる。プラズマが、処理チャンバ内で発生、点火、活性化、形成、及び/又は他の方法で生成される。幾つかの例では、1つ以上のプラズマ励起した共反応物質は、RPSなどによって遠隔で活性化される。活性化されると、プラズマ励起した共反応物質は、処理チャンバ内に導入され、1つ以上のケイ素-窒素前駆体と合わされて処理チャンバ内でプラズマを生成する。他の例では、1つ以上のプラズマ励起した共反応物質は、非活性又はガス状の形態で処理チャンバ内に導入される。処理チャンバに入ると、プラズマ励起した共反応物質は、1つ以上のケイ素-窒素前駆体と合わされて処理チャンバ内でプラズマを生成する前及び/又は最中に、処理チャンバ内で活性である。
120では、ケイ素-窒素前駆体及びプラズマ励起した共反応物質は、プラズマ中で一緒に反応して、処理チャンバ内の基板上に堆積又は他の方法で配置された流動性窒化ケイ素材料を生成する。流動性窒化ケイ素材料は、基板又はその膜上に形成された1つ以上のトレンチを少なくとも部分的に又は完全にファイルする(filing)など、基板上に層として堆積又は他の方法で生成することができる。
130では、流動性窒化ケイ素材料は、1つ以上の処理プロセスで処理されて、基板上に固体窒化ケイ素材料を生成する。
流動性窒化ケイ素材料の各層及び/又は固体窒化ケイ素材料の各層は、約5Å、約8Å、約10Å、約12Å、約15Å、約18Å、約20Å、約22Å、又は約25Åから約28Å、約30Å、約35Å、約40Å、約45Å、約50Å、又は約60Åの厚さを独立して有しうる。例えば、流動性窒化ケイ素材料の各層及び/又は固体窒化ケイ素材料の各層は、約5Åから約50Å、約10Åから約50Å、約15Åから約50Å、約20Åから約50Å、約25Åから約50Å、約30Åから約50Å、約35Åから約50Å、約40Åから約50Å、約5Åから約40Å、約10Åから約40Å、約15Åから約40Å、約20Åから約40Å、約25Åから約40Å、約30Åから約40Å、約35Åから約40Å、約5Åから約30Å、約10Åから約30Å、約15Åから約30Å、約20Åから約30Å、又は約25Åから約30Åの厚さを独立して有しうる。
140では、全体的な窒化ケイ素膜など、固体窒化ケイ素材料の所望の厚さが達成されない場合には、達成される場合には(if achieved)所望の厚さまで、110、120、及び130が繰り返される。固体窒化ケイ素材料の所望の厚さが達成されると、該方法は完了するか、又は終了し、150で停止することができる。
1つ以上の実施形態では、基板上に流動性窒化ケイ素材料を生成又は堆積するプロセスサイクルは、流動性窒化ケイ素材料でトレンチを少なくとも部分的に満たし、流動性窒化ケイ素材料を硬化してトレンチ内に固体窒化ケイ素材料を生成することを、1回又は複数回、連続して繰り返すことができる。窒化ケイ素膜は、最終的に、互いに連続して形成された固体窒化ケイ素材料の複数の層を含む。固体窒化ケイ素材料の層の量は、1回など、プロセスサイクルが実行される回数、又は繰り返される回数を示す。
幾つかの実施形態では、プロセスサイクルは、間隙及び/又はトレンチを完全に又は部分的に満たすために1回実行することができ、あるいは、代替的に、プロセスサイクルは、少なくとも1回、2回、3回、4回、又は5回から6回、8回、10回、約12回、約15回、約18、約20回、又はそれより多くの回数繰り返される。例えば、プロセスサイクルは、少なくとも1回から約20回、2回から約20回、3回から約20回、4回から約20回、5回から約20回、6回から約20回、8回から約20回、10回から約20回、約15回から約20回、少なくとも1回から約10回、2回から約10回、3回から約10回、4回から約10回、5回から約10回、6回から約10回、8回から約10回、少なくとも1回から5回、2回から5回、3回から数回、又は4回から5回、繰り返される。
固体窒化ケイ素材料の1つ、2つ、又は複数の層を含む窒化ケイ素膜は、約5Å、約10Å、約15Å、約20Å、約25Å、約30Å、約50Å、約75Å、約80Å、又は約100Åから約120Å、約150Å、約175Å、約200Å、約250Å、約300Å、約400Å、約500Å、約600Å、約800Å、又はそれより厚い厚さを有しうる。例えば、固体窒化ケイ素材料の1つ以上の層を含む窒化ケイ素膜は、約10Åから約800Å、約10Åから約500Å、約10Åから約800Å、約10Åから約300Å、約10Åから約250Å、約10Åから約200Å、約10Åから約150Å、約10Åから約100Å、約10Åから約80Å、約10Åから約50Å、約50Åから約800Å、約50Åから約500Å、約50Åから約800Å、約50Åから約300Å、約50Åから約250Å、約50Åから約200Å、約50Åから約150Å、約50Åから約100Å、約50Åから約80Å、約100Åから約800Å、約100Åから約500Å、約100Åから約800Å、約100Åから約300Å、約100Åから約250Å、約100Åから約200Å、約100Åから約150Å、又は約100Åから約120Åの厚さを有しうる。
上で論じたように、ケイ素-窒素前駆体及び/又は基板表面は、1つ以上のプラズマ励起した共反応物質に曝露される。幾つかの実施形態では、共反応物質は、アンモニア(NH)、酸素(O)、若しくはアンモニアと酸素との組合せ又は混合物でありうるか、又はそれらを含むことができる。共反応物質はまた、窒素(N)、水素(H)、アルゴン、ヘリウム、又はそれらの任意の組合せのうちの1つ以上も含みうる。プラズマ励起した共反応物質はまた、用いられる共反応物質に応じて、窒素及び/又は酸素を膜に送給する。幾つかの例では、共反応物質はアンモニアと酸素の混合物を含み、他の例では、共反応物質はアンモニアのみを含む。
幾つかのプロセスでは、プラズマの使用によって、表面反応が好適且つ起こりうるようになる励起状態へと核種を促進するのに十分なエネルギーが供給される。共反応物質は、遠隔プラズマ又は直接プラズマを介して、気化されたケイ素-窒素前駆体を含むCVDチャンバに送給され、共反応物質としてプラズマ活性種を発生させ、流動性窒化ケイ素材料又はポリマーを生成する。プロセスへのプラズマの導入は、連続的であってもパルス状であってもよい。幾つかの実施形態では、前駆体(又は反応ガス)及びプラズマの連続パルスが、層の処理に用いられる。幾つかの実施形態では、試薬は、直接的に(例えば、処理領域内で)又は遠隔的に(例えば、処理領域外で)イオン化されうる。幾つかの実施形態では、イオン又は他のエネルギーを有する又は発光する核種が窒化ケイ素材料を含む堆積膜と直接接触しないように、遠隔イオン化は、堆積チャンバの上流で行うことができる。幾つかのプラズマ強化プロセスでは、プラズマは、遠隔プラズマジェネレータ又はRPSなどによって、処理チャンバの外部で生成される。プラズマは、当業者に知られている任意の適切なプラズマ発生プロセス又は技術を介して生成することができる。例えば、プラズマは、マイクロ波(MW)周波数発生装置又は高周波(RF)発生装置のうちの1つ以上によって生成されうる。プラズマの周波数は、用いられている特定の反応性種に応じて調整されうる。適切な周波数は、2MHz、13.56MHz、40MHz、60MHz、及び/又は100MHzを含むが、これらに限定されない。
幾つかの実施形態では、基板は、必要に応じて、連続的に同時に、又は実質的に同時に、ケイ素-窒素前駆体及びプラズマ励起した共反応物質に曝露されうる。本明細書で用いられる場合、「実質的に同時に」という用語は、ある構成成分の流れの大部分が別の構成成分の流れと重なることを意味するが、それらが同時に流れないこともありうる。代替的な実施形態では、基板表面を2つ以上の前駆体と接触させることは、連続的に、又は実質的に連続的に起こる。本明細書で用いられる場合、「実質的に連続的に」とは、一部の重複はありうるが、ある構成成分の流れの大部分が別の構成成分の流れとは同時に起こらないことを意味する。
本明細書全体で用いられる「基板」とは、その上で製造プロセス中に膜処理が行なわれる、基板上に形成された任意の基板表面又は材料表面のことを指す。例えば、その上で処理が行われうる基板表面は、用途に応じて、ケイ素、酸化ケイ素、ストレインドシリコン、シリコン・オン・インシュレータ(SOI)、炭素ドープされた酸化ケイ素、窒化ケイ素、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、例えば金属、金属窒化物、金属合金、及び他の導電体などの任意の他の材料を含む。基板には、半導体ウエハが含まれるが、これに限定されない。基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、及び/又はベイクするために、基板を前処理プロセスに曝してもよい。基板は、ノードデバイス構造(例えば、32nm、22nm、又は20nm以下)を含むことができ、トランジスタ分離、さまざまな一体型スペーサ及び犠牲スペーサ、並びに側壁スペーサダブルパターニング(SSDP)リソグラフィを含むことができる。1つ以上の実施形態では、基板は、少なくとも1つの間隙を含む。基板は、該基板上に形成されるデバイス構成要素(例えば、トランジスタ)の間隔及び構造のための複数の間隙を有することができる。間隙は、1:1よりも大幅に大きい(例えば、5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上の)高さの幅に対する(例えば、H/W)アスペクト比(AR)を規定する高さ及び幅を有しうる。幾つかの実施形態では、高いARは、約90nmから約22nm以下(例えば、約90nm、65nm、45nm、32nm、22nm、又は16nm)の範囲の小さい間隙幅に起因する。
基板自体の表面で直接膜処理することに加えて、本明細書に記載され、論じられる実施形態では、開示された膜処理工程のいずれかを、以下により詳細に開示されるように基板上に形成された下層に対して行うこともでき、「基板表面」という用語は、文脈が示すように、このような下層を含むことが意図されている。
上述の反応のいずれかの1つ以上の実施形態では、堆積反応の反応条件は、膜前駆体及び基板表面の特性に基づいて選択される。堆積は、大気圧で実施することができるが、減圧(大気圧未満)で実施することもできる。基板温度は、化学前駆体(例えば、1つ以上のケイ素-窒素前駆体及び/又は1つ以上のプラズマ励起した共反応物質)及び/又は流動性窒化ケイ素材料の表面反応又は処理に十分なエネルギーを供給するように維持される。特定の温度は、特定の基板、膜前駆体、及び圧力に依存する。特定の基板、化学前駆体、及び他の属性の特性は、当技術分野で知られている方法を使用して評価することができ、反応に適切な温度及び圧力の選択を可能にする。幾つかの実施形態では、処理チャンバ(例えば、気相堆積チャンバ)内の圧力は、6Torr未満、例えば、約5Torr、約4Torr、約3Torr、約2.6Torr、約2Torr、又は約1.6Torrである。1つ以上の実施形態では、堆積は、約200℃未満、例えば、約0℃、約25℃、約35℃、約50℃、又は約75℃から約100℃、約125℃、約150℃、約175℃、約190℃、又は約195℃の温度で行われる。
基板がケイ素-窒素前駆体及びプラズマ励起した共反応物質に曝露された後に堆積された膜は、流動性窒化ケイ素材料(「中間膜」とも呼ばれる)を含む。概して、堆積したままの膜は、ネットワークが少なく、Si--H、Si--OH、及びN--Hなどのダングリングボンドが多い、比較的低密度の膜である。結果として、流動性窒化ケイ素材料を含む中間膜のWERは、通常、比較的高い値を有する。低WER/高密度の膜を得るためには、中間膜は、高密度の膜を得るためにさらなる処理に供される。これらの処理中に、残りの反応性結合(例えば、SiH、NH)は、互いに反応するか、又は入ってくる分子(例えば、O、水、NH)と反応して、より多くのネットワークを有する膜を形成する。したがって、いずれかの酸素を除去して目的の膜を達成するために、中間膜は、追加の硬化及びアニーリングプロセスに供される。
1つ以上の実施形態では、硬化は、流動性窒化ケイ素材料を含む中間膜をオゾン及び/又は紫外線(UV)照射に曝露することを含む。さらなる実施形態では、中間膜は、オゾン及びUV硬化に曝露されて、固体窒化ケイ素材料を含む膜を得る。他の実施形態では、中間膜は、UV硬化にのみ曝露されて、固体窒化ケイ素材料を含む膜を得る。1つ以上の実施形態は、アニーリングプロセスも包含する。幾つかの実施形態では、アニーリングは蒸気アニーリングを含む。他の実施形態では、アニーリングはアンモニアアニーリングを含む。1つ以上の例では、流動性窒化ケイ素材料を含む中間膜は、UVで硬化され、続いてアンモニアアニーリングされて、固体窒化ケイ素材料を含む膜を発生させるか、又は他の方法で生成する。
1つ以上の実施形態によれば、基板は、固体又は流動性窒化ケイ素材料を含む1つ以上の膜を形成する前及び/又は形成後に処理に供される。この処理は、同じチャンバ内で、又は1つ以上の別々の処理チャンバ内で行うことができる。幾つかの実施形態では、基板は、さらなる処理のために、第1のチャンバから別個の第2のチャンバへと移される。基板は、第1のチャンバから別個の処理チャンバへと直接移動させることができ、あるいは、基板を第1のチャンバから1つ以上の移送チャンバへと移動させ、次いで、所望の別個の処理チャンバに移動させることができる。したがって、処理装置は、移送ステーションと連通している複数のチャンバを備えていてもよい。この種の装置は、「クラスタツール」又は「クラスタ化システム」などと呼ばれることがある。
概して、クラスタツールは、基板の中心検出と方向付け、ガス抜き、アニーリング、堆積、及び/又はエッチングを含むさまざまな機能を実行する複数のチャンバを備えたモジュラーシステムである。1つ以上の実施形態によれば、クラスタツールは、少なくとも第1のチャンバと中央移送チャンバを含む。中央移送チャンバは、複数の処理チャンバと複数のロードロックチャンバとの間で基板を往復搬送することができるロボットを格納することができる。移送チャンバは、通常、減圧条件で維持され、基板をあるチャンバから別のチャンバへ、及び/又はクラスタツールの前端に配置されたロードロックチャンバへと往復させる中間ステージを提供する。1つ以上の実施形態では、本明細書で説明され、論じられる方法の実行に用いることができるFCVDチャンバ又はシステムは、米国カリフォルニア州サンタクララ所在のApplied Materials,Inc.から市販されているProducer(登録商標)Eterna FCVD(登録商標)システム又はチャンバである。本明細書に記載され、論じられる実施形態に適合させることができる2つのクラスタツールは、米国カリフォルニア州サンタクララ所在のApplied Materials,Inc.から市販されているCentura(登録商標)チャンバ又はシステム及びEndura(登録商標)チャンバ又はシステムである。しかしながら、チャンバの厳密な配置及び組合せは、本明細書に記載されたプロセスの特定の工程を実行する目的で変更することができる。使用することができる他の処理チャンバには、限定はしないが、周期的層堆積(CLD)、原子層堆積(ALD)、プラズマ原子層堆積(PE-ALD)、化学気相堆積(CVD)、プラズマCVD(PE-CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及び他の基板処理が含まれる。クラスタツールのチャンバ内でプロセスを実行することにより、大気中の不純物による基板の表面汚染を、後続の膜の堆積前に、酸化することなく回避することができる。
1つ以上の実施形態によれば、基板は連続的減圧下又は「ロードロック」状態にあり、あるチャンバから次のチャンバへと移動する際に周囲空気に曝露されない。したがって、移送チャンバは減圧下にあり、減圧下で「ポンプダウン」される。処理チャンバ又は移送チャンバ内には、不活性ガスが存在しうる。幾つかの実施形態では、基板の表面上に層を形成した後、反応物の一部又は全部を除去するために、不活性ガスがパージガスとして使用される。1つ以上の実施形態によれば、パージガスが堆積チャンバの出口で注入され、それにより、堆積チャンバから移送チャンバ及び/又は追加の処理チャンバへの反応物質の移動が防止される。したがって、不活性ガスの流れがチャンバの出口にカーテンを形成する。
基板は、単一基板堆積チャンバ内で処理することができ、そこで、単一の基板がロード、処理、及びアンロードされた後、別の基板が処理される。基板は、複数の基板が個々にチャンバの第1の部分にロードされ、チャンバ内を移動し、チャンバの第2の部分からアンロードされるコンベアシステムのように、連続的な態様で処理することもできる。チャンバ及び関連するコンベヤシステムの形状は、直線経路又は曲線経路を形成することができる。加えて、処理チャンバはカルーセルであってもよく、そこで、複数の基板が、中心軸の周りを移動し、カルーセル経路全体を通じて堆積、エッチング、アニーリング、洗浄、又は他のプロセスにさらされる。
処理中、基板は加熱又は冷却されうる。このような加熱又は冷却は、限定はしないが、基板支持体の温度を変化させること、及び加熱又は冷却されたガスを基板表面に流すことを含む、任意の適切な手段によって達成することができる。幾つかの実施形態では、基板支持体は、伝導的に基板温度を変化させるように制御することができるヒータ/クーラを含む。1つ以上の実施形態では、基板温度を局所的に変化させるために、用いられるガス(反応性ガス又は不活性ガス)は加熱又は冷却される。幾つかの実施形態では、基板温度を対流によって変化させるために、ヒータ/クーラが、基板表面に隣接してチャンバ内に配置される。
基板はまた、処理中に静止していても回転していてもよい。回転する基板は、連続的に、又は非連続に段階的に回転させることができる。例えば、基板は、プロセス全体を通して回転させることができ、あるいは基板は、異なる反応性ガス又はパージガスへの曝露の合間に少しずつ回転させることができる。処理中に基板を回転させると(連続的又は段階的のいずれか)、例えば、ガス流の幾何学的形状の局所的なばらつきの影響を最小限に抑えることにより、より均一な堆積又はエッチングの実現に役立てることができる。
基板及びチャンバは、前駆体、共試薬、若しくは他のガス又は試薬の流れを停止した後に、パージ工程に曝露されうる。本明細書に記載される態様のいずれかの1つ以上の実施形態では、パージガスは、前駆体のいずれかが基板表面に流れた/曝露された後に流されうる。パージガスは、約10sccmから約2,000sccm、例えば約50sccmから約1,000sccm、特定の例では約100sccmから約500sccmの範囲内、例えば約200sccmの流量で処理チャンバに投与することができる。パージ工程は、処理チャンバ内の任意の過剰な前駆体、副生成物、及び他の汚染物質を除去する。パージ工程は、約0.1秒から約8秒、例えば約1秒から約5秒、特定の例では約4秒からの範囲内の時間、行われうる。キャリアガス、パージガス、堆積ガス、及び/又は他のプロセスガスは、窒素、水素、アルゴン、ネオン、ヘリウム、若しくはそれらの任意の組合せ又は混合物でありうるか、又はそれらを含むことができる。一例では、キャリアガスは窒素を含む。
本開示の実施形態は、次の1~20のいずれか1つ以上にさらに関係する:
1.窒化ケイ素膜を堆積する方法であって、ケイ素-窒素前駆体及びプラズマ励起した共反応物質を処理チャンバ内に導入すること;処理チャンバ内でプラズマを生成すること;プラズマ中でケイ素-窒素前駆体及びプラズマ励起した共反応物質を反応させて処理チャンバ内の基板上に流動性窒化ケイ素材料を生成すること;並びに、流動性窒化ケイ素材料を処理して基板上に固体窒化ケイ素材料を生成することを含み、ケイ素-窒素前駆体が、
Figure 0007431245000009
及びそれらの任意の組合せ、からなる群より選択され、式中、各Rは、H、アルキル、アリール、アミノ、シリル、イソシアネート、イソチオシアネート、それらの異性体、又はそれらの塩から独立して選択されるか、若しくは任意の2つのR基が結合して環式基を形成する、方法。
2.窒化ケイ素膜を堆積する方法であって、基板を処理チャンバ内でケイ素-窒素前駆体、プラズマ励起した共反応物質、及びプラズマに曝露して基板上に流動性窒化ケイ素材料を生成すること;並びに、流動性窒化ケイ素材料を処理して基板上に固体窒化ケイ素材料を生成することを含み、ケイ素-窒素前駆体が、
Figure 0007431245000010
及びそれらの任意の組合せ、からなる群より選択され、式中、各Rは、H、アルキル、アリール、アミノ、シリル、イソシアネート、イソチオシアネート、それらの異性体、又はそれらの塩から独立して選択されるか、若しくは任意の2つのR基が結合して環状基を形成する、方法。
3.窒化ケイ素膜を堆積する方法であって、ケイ素-窒素前駆体及びプラズマ励起した共反応物質を処理チャンバ内に導入すること;ケイ素-窒素前駆体及びプラズマ励起した共反応物質をプラズマと反応させて処理チャンバ内の基板上に流動性窒化ケイ素材料を生成すること;基板に形成されたトレンチを流動性窒化ケイ素材料で少なくとも部分的に満たすこと;及び流動性窒化ケイ素材料を処理してトレンチ内に固体窒化ケイ素材料を生成することを含み、ケイ素-窒素前駆体が、
Figure 0007431245000011
及びそれらの任意の組合せからなる群より選択され、式中、各Rは、H、CH、C、C、C、NR’、SiH、N=C=S、N=C=O、又はそれらの異性体から独立して選択されるか、若しくは任意の2つのR基が結合して環式基を形成し;各R’は、H、CH、C、C、C、又はそれらの異性体から独立して選択され;かつ、xは、1、2、又は3の整数である、方法。
4.各Rが、H、CH、C、C、C、NR’、SiH、N=C=S、N=C=O、又はそれらの異性体から独立して選択されるか、若しくは任意の2つのR基が結合して環式基を形成し;各R’が、H、CH、C、C、C、又はそれらの異性体から独立して選択され;かつ、xが、1、2、又は3の整数である、第1項から第3項のいずれか一項に記載の方法。
5.ケイ素-窒素前駆体が、
Figure 0007431245000012
であり、式中、各Rは、H、CH、C、C、C、NR’、又はそれらの異性体から独立して選択され;かつ、各R’は、H、CH、C、C、C、又はそれらの異性体から独立して選択される、第1項から第4項のいずれか一項に記載の方法。
6.ケイ素-窒素前駆体が、
Figure 0007431245000013
又はそれらの任意の組合せである、第1項から第5項のいずれか一項に記載の方法。
7.ケイ素-窒素前駆体が、
Figure 0007431245000014
であり、式中、各Rは、H、CH、C、C、C、NR’、又はそれらの異性体から独立して選択され;かつ、各R’は、H、CH、C、C、C、又はそれらの異性体から独立して選択される、第1項から第6項のいずれか一項に記載の方法。
8.各Rが、CHPr、Pr、又はBuから独立して選択される、第1項から第7項のいずれか一項に記載の方法。
9.ケイ素-窒素前駆体が、
Figure 0007431245000015
又はそれらの任意の組合せであり、式中、各Rは、H、CH、C、C、C、Ph、CH-Ph、又はそれらの異性体から独立して選択される、第1項から第8項のいずれか一項に記載の方法。
10.ケイ素-窒素前駆体が、
Figure 0007431245000016
であり、式中、各Rは、Pr、Bu、又はCH-Phから独立して選択される、第1項から第9項のいずれか一項に記載の方法。
11.ケイ素-窒素前駆体が、
Figure 0007431245000017
であり、式中、各Rは、CH又はPhから独立して選択される、第1項から第10項のいずれか一項に記載の方法。
12.プラズマ励起した共反応物質が、アンモニア、酸素(O)、又はアンモニアと酸素との組合せを含む、第1項から第11項のいずれか一項に記載の方法。
13.プラズマ励起した共反応物質が、アルゴン、ヘリウム、窒素(N)、水素(H)、又はそれらの任意の組合せをさらに含む、第1項から第12項のいずれか一項に記載の方法。
14.ケイ素-窒素前駆体が、約0.67から約5のN/Si原子比を有する、第1項から第13項のいずれか一項に記載の方法。
15.基板に形成されたトレンチを流動性窒化ケイ素材料で少なくとも部分的に満たすこと;及び、流動性窒化ケイ素材料を硬化してトレンチ内に固体窒化ケイ素材料を生成することをさらに含む、第1項から第14項のいずれか一項に記載の方法。
16.流動性窒化ケイ素材料を硬化してトレンチ内に固体窒化ケイ素材料を生成するときに、流動性窒化ケイ素材料が約10Åから約500Åの厚さを有する、第1項から第15項のいずれか一項に記載の方法。
17.トレンチを流動性窒化ケイ素材料料で少なくとも部分的に満たすこと、及び流動性窒化ケイ素材料を硬化してトレンチ内に固体窒化ケイ素材料を生成することを、少なくとも1回から約20回連続的に繰り返すことをさらに含む、第1項から第16項のいずれか一項に記載の方法。
18.窒化ケイ素膜が、互いに連続して形成された固体窒化ケイ素材料の複数の層を含み、窒化ケイ素膜が約50Åから約500Åの厚さを有する、第1項から第17項のいずれか一項に記載の方法。
19.流動性窒化ケイ素材料を基板上に層として堆積すること;流動性窒化ケイ素材料を含む層を処理して固体窒化ケイ素材料の層を生成すること;並びに、堆積及び処理して互いに連続して形成された固体窒化ケイ素材料の複数の層を含む窒化ケイ素膜を生成することを繰り返すことをさらに含む、第1項から第18項のいずれか一項に記載の方法。
20.流動性窒化ケイ素材料を処理して固体窒化ケイ素材料を生成することが、流動性窒化ケイ素材料を熱アニーリングプロセス、プラズマアニーリングプロセス、又はUVアニーリングプロセスに曝露することをさらに含む、第1項から第19項のいずれか一項に記載の方法。
上記は本開示の実施形態を対象としているが、他のさらなる実施形態は、その基本的な範囲から逸脱することなく考案することができ、その範囲は、以下の特許請求の範囲によって決定される。本明細書に記載されているすべての文献は、本文書と矛盾しない範囲で優先権書類及び/又は試験手順を含めて、参照することによって本書に組み込まれる。前述の概要及び特定の実施形態から明らかなように、本開示の形態が例示され、説明されているが、本開示の趣旨及び範囲から逸脱することなく、さまざまな修正を行うことができる。したがって、本開示がそれによって限定されることは意図していない。同様に、「含む(comprising)」という用語は、米国法の目的上、「含む(including)」という用語と同義であると見なされる。同様に、組成物、元素、又は元素の群に続いて「含む(comprising)」という移行句を伴っている場合は常に、「本質的にからなる」、「からなる」、組成物、元素、又は元素群の記載に続いて「から本質的になる(consisting essentially of)」、「からなる(consisting of)」、「からなる群より選択される(selected from the group of consisting of)」、又は「である(is)」という移行句を伴った、同じ組成物又は元素の群も想定されるものと理解されたい。
ある特定の実施形態及び特徴について、一組の数値の上限及び一組の数値の下限を使用して説明してきた。特に明記しない限り、任意の2つの値の組合せ、例えば、任意の下限値と任意の上限値との組合せ、任意の2つの下限値の組合せ、及び/又任意の2つの上限値の組合せを含む範囲が想定されるものと理解されたい。ある特定の下限値、上限値、及び範囲が、以下の1つ以上の請求項に記載されている。

Claims (20)

  1. 窒化ケイ素膜を堆積する方法であって、
    ケイ素-窒素前駆体及びプラズマ励起した共反応物質を処理チャンバ内に導入すること;
    処理チャンバ内でプラズマを生成すること;
    プラズマ中でケイ素-窒素前駆体及びプラズマ励起した共反応物質を反応させて処理チャンバ内の基板上に流動性窒化ケイ素材料を生成すること;並びに
    流動性窒化ケイ素材料を処理して基板上に固体窒化ケイ素材料を生成すること
    を含み、
    ケイ素-窒素前駆体が、
    Figure 0007431245000018
    及びそれらの任意の組合せからなる群より選択され、式中、
    各Rは、H、アルキル、アリール、アミノ、シリル、イソシアネート、イソチオシアネート、それらの異性体、又はそれらの塩から独立して選択されるか、若しくは任意の2つのR基が結合して環式基を形成する、
    方法。
  2. 各Rが、H、CH、C、C、C、NR’、SiH、N=C=S、N=C=O、又はそれらの異性体から独立して選択されるか、若しくは任意の2つのR基が結合して環式基を形成し;
    各R’が、H、CH、C、C、C、又はそれらの異性体から独立して選択され;かつ
    xが、1、2、又は3の整数である、
    請求項1に記載の方法。
  3. ケイ素-窒素前駆体が、
    Figure 0007431245000019
    であり、式中、
    各Rは、H、CH、C、C、C、NR’、又はそれらの異性体から独立して選択され;かつ
    各R’は、H、CH、C、C、C、又はそれらの異性体から独立して選択される、
    請求項1に記載の方法。
  4. ケイ素-窒素前駆体が、
    Figure 0007431245000020
    又はそれらの任意の組合せである、請求項3に記載の方法。
  5. ケイ素-窒素前駆体が、
    Figure 0007431245000021
    であり、式中、
    各Rは、H、CH、C、C、C、NR’、又はそれらの異性体から独立して選択され;かつ
    各R’は、H、CH、C、C、C、又はそれらの異性体から独立して選択される、
    請求項1に記載の方法。
  6. ケイ素-窒素前駆体が、
    Figure 0007431245000022
    又はそれらの任意の組合せであり、式中、
    各Rは、H、CH、C、C、C、Ph、CH-Ph、又はそれらの異性体から独立して選択される、
    請求項1に記載の方法。
  7. ケイ素-窒素前駆体が、
    Figure 0007431245000023
    であり、式中、
    各Rは、Pr、Bu、又はCH-Phから独立して選択される或いは
    Figure 0007431245000024
    であり、式中、
    各Rは、CH3又はPhから独立して選択される、
    請求項6に記載の方法。
  8. プラズマ励起した共反応物質が、アンモニア、酸素(O)、又はアンモニアと酸素との組合せを含、請求項1に記載の方法。
  9. プラズマ励起した共反応物質が、アルゴン、ヘリウム、窒素(N2)、水素(H2)、又はそれらの任意の組合せをさらに含む、請求項8に記載の方法。
  10. ケイ素-窒素前駆体が約0.67から約5のN/Si原子比を有する、請求項1に記載の方法。
  11. 基板に形成されたトレンチを流動性窒化ケイ素材料で少なくとも部分的に満たすこと;及び
    流動性窒化ケイ素材料を硬化してトレンチ内に固体窒化ケイ素材料を生成すること
    をさらに含む、請求項1に記載の方法。
  12. 流動性窒化ケイ素材料を硬化してトレンチ内に固体窒化ケイ素材料を生成するときに、流動性窒化ケイ素材料が約10Åから約500Åの厚さを有する、請求項11に記載の方法。
  13. トレンチを流動性窒化ケイ素材料で少なくとも部分的に満たすこと及び流動性窒化ケイ素材料を硬化してトレンチ内に固体窒化ケイ素材料を生成することを、少なくとも1回から約20回、連続的に繰り返すことをさらに含、請求項12に記載の方法。
  14. 窒化ケイ素膜が、互いに連続して形成された固体窒化ケイ素材料の複数の層を含み、かつ窒化ケイ素膜が約50Åから約500Åの厚さを有する、請求項13に記載の方法。
  15. 流動性窒化ケイ素材料を基板上に層として堆積すること;
    流動性窒化ケイ素材料を含む層を処理して固体窒化ケイ素材料の層を生成すること;及び
    前記堆積することと生成することを繰り返して、互いに連続して形成された固体窒化ケイ素材料の複数の層を含む窒化ケイ素膜を生成するこ
    をさらに含む、請求項1に記載の方法。
  16. 前記流動性窒化ケイ素材料を処理して固体窒化ケイ素材料を生成することがさらに、前記流動性窒化ケイ素材料を熱アニーリング、プラズマアニーリングプロセス、又はUVアニーリングプロセスに曝露することを含む、請求項1に記載の方法。
  17. ケイ素-窒素前駆体が、
    1より大きいN/Si原子比を有し、次の化学式:
    Figure 0007431245000025
    を有する環状アミノシラン、
    4以上のN/Si原子比を有し、次の化学式:
    Figure 0007431245000026
    を有するアミノシラン、及びそれらの任意の組合せから成る群から選択される、請求項1に記載の方法。
  18. ケイ素-窒素前駆体が環状アミノシランを含み、約1.2から約5のN/Si原子比を有する、請求項1に記載の方法。
  19. 窒化ケイ素膜を堆積する方法であって、
    基板を処理チャンバ内でケイ素-窒素前駆体、プラズマ励起した共反応物質、及びプラズマに曝露して基板上に流動性窒化ケイ素材料を生成すること;並びに
    流動性窒化ケイ素材料を処理して基板上に固体窒化ケイ素材料を生成すること
    を含み、
    ケイ素-窒素前駆体が、
    Figure 0007431245000027
    及びそれらの任意の組合せからなる群より選択され、式中、
    各Rは、H、アルキル、アリール、アミノ、シリル、イソシアネート、イソチオシアネート、それらの異性体、又はそれらの塩から独立して選択されるか、若しくは任意の2つのR基が結合して環式基を形成する、
    方法。
  20. 窒化ケイ素膜を堆積する方法であって、
    ケイ素-窒素前駆体及びプラズマ励起した共反応物質を処理チャンバ内に導入すること;
    ケイ素-窒素前駆体及びプラズマ励起した共反応物質をプラズマと反応させて処理チャンバ内の基板上に流動性窒化ケイ素材料を生成すること;
    基板に形成されたトレンチを流動性窒化ケイ素材料で少なくとも部分的に満たすこと;及び
    流動性窒化ケイ素材料を処理してトレンチ内に固体窒化ケイ素材料を生成すること
    を含み、
    ケイ素-窒素前駆体が、
    Figure 0007431245000028
    及びそれらの任意の組合せからなる群より選択され、式中、
    各Rが、H、CH、C、C、C、NR’、SiH、N=C=S、N=C=O、又はそれらの異性体から独立して選択されるか、若しくは任意の2つのR基が結合して環式基を形成し;
    各R’が、H、CH、C、C、C、又はそれらの異性体から独立して選択され;かつ
    xが、1、2、又は3の整数である、
    方法。
JP2021542125A 2019-01-24 2019-11-11 窒化ケイ素を堆積する方法 Active JP7431245B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962796277P 2019-01-24 2019-01-24
US62/796,277 2019-01-24
PCT/US2019/060757 WO2020154009A1 (en) 2019-01-24 2019-11-11 Methods for depositing silicon nitride

Publications (3)

Publication Number Publication Date
JP2022523019A JP2022523019A (ja) 2022-04-21
JPWO2020154009A5 JPWO2020154009A5 (ja) 2022-11-22
JP7431245B2 true JP7431245B2 (ja) 2024-02-14

Family

ID=71731570

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021542125A Active JP7431245B2 (ja) 2019-01-24 2019-11-11 窒化ケイ素を堆積する方法

Country Status (7)

Country Link
US (1) US11107674B2 (ja)
JP (1) JP7431245B2 (ja)
KR (1) KR20210109046A (ja)
CN (1) CN113330141B (ja)
SG (1) SG11202107377VA (ja)
TW (1) TW202028509A (ja)
WO (1) WO2020154009A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050163927A1 (en) 2004-01-23 2005-07-28 Mcswiney Michael L. Forming a silicon nitride film
JP2012504867A (ja) 2008-10-01 2012-02-23 アプライド マテリアルズ インコーポレイテッド 窒化ケイ素系膜又は炭化ケイ素系膜を形成する方法
JP2015015465A (ja) 2013-06-26 2015-01-22 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated アザ−ポリシラン前駆体、及びそれを含む膜の堆積方法
JP2018503259A (ja) 2015-01-07 2018-02-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質fcvd膜バックグラウンド用の先進的処理フロー
WO2018212999A1 (en) 2017-05-13 2018-11-22 Applied Materials, Inc. Cyclic flowable deposition and high-density plasma treatment proceses for high quality gap fill solutions

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
USRE45839E1 (en) 2006-04-03 2016-01-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Pentakis(dimethylamino) disilane precursor comprising compound and method for the preparation thereof
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
KR101659463B1 (ko) 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
KR101723546B1 (ko) * 2014-10-20 2017-04-05 주식회사 케이씨텍 박막 형성방법 및 원자층 증착장치
KR102245160B1 (ko) * 2015-07-31 2021-04-26 버슘머트리얼즈 유에스, 엘엘씨 실리콘 니트라이드 필름을 증착시키기 위한 조성물 및 방법
US20170117144A1 (en) 2015-10-22 2017-04-27 Applied Materials, Inc. Chemical Infiltration into Porous Dielectric Films
US20170114465A1 (en) 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
KR20210028742A (ko) * 2015-12-21 2021-03-12 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 막의 증착을 위한 조성물 및 이를 사용하는 방법
US9865456B1 (en) * 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
TWI722292B (zh) 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050163927A1 (en) 2004-01-23 2005-07-28 Mcswiney Michael L. Forming a silicon nitride film
JP2012504867A (ja) 2008-10-01 2012-02-23 アプライド マテリアルズ インコーポレイテッド 窒化ケイ素系膜又は炭化ケイ素系膜を形成する方法
JP2015015465A (ja) 2013-06-26 2015-01-22 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated アザ−ポリシラン前駆体、及びそれを含む膜の堆積方法
JP2018503259A (ja) 2015-01-07 2018-02-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質fcvd膜バックグラウンド用の先進的処理フロー
WO2018212999A1 (en) 2017-05-13 2018-11-22 Applied Materials, Inc. Cyclic flowable deposition and high-density plasma treatment proceses for high quality gap fill solutions

Also Published As

Publication number Publication date
WO2020154009A1 (en) 2020-07-30
KR20210109046A (ko) 2021-09-03
US11107674B2 (en) 2021-08-31
CN113330141A (zh) 2021-08-31
JP2022523019A (ja) 2022-04-21
SG11202107377VA (en) 2021-08-30
CN113330141B (zh) 2023-10-17
TW202028509A (zh) 2020-08-01
US20200243323A1 (en) 2020-07-30

Similar Documents

Publication Publication Date Title
TWI713608B (zh) 沉積包含SiO及SiN之可流動薄膜的方法
KR102588666B1 (ko) 기판 상의 구조물 형성 방법
JP6946320B2 (ja) スペーサ用の窒化ケイ素膜の選択的堆積
CN108140578B (zh) 通过表面毒化处理的由下而上的间隙填充
US6867152B1 (en) Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US9984868B2 (en) PEALD of films comprising silicon nitride
KR20190010736A (ko) 유동성 실리콘-함유 막들의 증착
JP2017531920A (ja) 高温酸化ケイ素原子層堆積技術
TW200422424A (en) Low temperature deposition of silicon oxides and oxynitrides
US7129189B1 (en) Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
JP7433437B2 (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
KR20220074838A (ko) C, O 및 N 조성이 조절된 SiCON을 증착시키는 방법
JP7431245B2 (ja) 窒化ケイ素を堆積する方法
US7294583B1 (en) Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
TWI722292B (zh) 氮含量高的氮化矽膜
TW201443274A (zh) 使用二矽氧烷先質之膜的沉積
TW202335080A (zh) 形成金屬氮化物膜之方法
TW202328486A (zh) 薄膜沉積方法及系統以及根據此方法形成的結構

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221111

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221111

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231109

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240109

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240201

R150 Certificate of patent or registration of utility model

Ref document number: 7431245

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150