JP2017531920A - 高温酸化ケイ素原子層堆積技術 - Google Patents

高温酸化ケイ素原子層堆積技術 Download PDF

Info

Publication number
JP2017531920A
JP2017531920A JP2017517714A JP2017517714A JP2017531920A JP 2017531920 A JP2017531920 A JP 2017531920A JP 2017517714 A JP2017517714 A JP 2017517714A JP 2017517714 A JP2017517714 A JP 2017517714A JP 2017531920 A JP2017531920 A JP 2017531920A
Authority
JP
Japan
Prior art keywords
wafer
silicon
substrate
precursor
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017517714A
Other languages
English (en)
Other versions
JP6661625B2 (ja
Inventor
ウェンポー イェン,
ウェンポー イェン,
ツォン トリン,
ツォン トリン,
ニン リー,
ニン リー,
ヴィクター グエン,
ヴィクター グエン,
ミハエラ バルシーヌ,
ミハエラ バルシーヌ,
リーチュン シア,
リーチュン シア,
マーク サリー,
マーク サリー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017531920A publication Critical patent/JP2017531920A/ja
Application granted granted Critical
Publication of JP6661625B2 publication Critical patent/JP6661625B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45232CMP chemical mechanical polishing of wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Abstract

ケイ素前駆体としてアミノシラン化合物を利用して、ウエハ表面上にSiO2膜を堆積するプロセスが説明される。【選択図】図3

Description

[0001]本開示の実施形態は、概して、原子層堆積(ALD)によってSiO膜を堆積することに関する。より具体的には、本開示は、ケイ素前駆体としてアミノシラン化合物を利用して、シリコンウエハ上に非常に安定したSiO膜を形成するプロセスに関する。
[0002]半導体デバイスのサイズが縮小するにつれて、プロセスの変動性に対する半導体業界の許容範囲も縮小し続けている。これらのより厳しいプロセス要件を満たすために、当業界は、より厳しいプロセスウィンドウの要件に合致する多数の新しいプロセスを開発してきたが、これらのプロセスは、完了までにより長い時間を要することが多い。例えば、65nm以下の寸法の高アスペクト比フィーチャの表面上に層を共形に形成するためには、ALDプロセスを用いる必要があり得る。ALDはCVDの変形であり、CVDに比べてステップカバレッジに優れていることが実証されている。ALDは、エレクトロルミネッセントディスプレーの製造に元々用いられた原子層エピタキシー法(ALE)に基づく。ALDでは、反応性前駆体分子の飽和した単層を基板表面上に堆積させるために、化学吸着が用いられる。
[0003]1つの単層よりも大きい膜層は、堆積チャンバ内への適切な反応性前駆体のパルシングを周期的に交互させることによって実現することができる。不活性ガスパージ及び/又は真空によって、反応性前駆体への堆積表面の各露出を空間的及び/又は一時的に分離することができる。表面をALD前駆体及び反応物に連続的に露出すると、新しい原子層を既に堆積された層に追加することができ、それにより、基板の表面上に均一の材料層が形成される。所定の厚さの材料層を形成するため、反応性前駆体と不活性パージガスの周期が反復される。
[0004]高品質で安定したSiOの誘電体層を形成することは、基板のケイ素を直接利用する熱反応、並びに、シラン又はジクロロシランなどの様々な前駆体、及び例えば、NO又はOなどの酸素源、或いはオルトケイ酸テトラエチル(TEOS)の反応を利用するCVD堆積が関わる。これらの熱的堆積及びCVD堆積では、既に処理されていたり、バック・エンド・オブ・ライン(BEOL)処理に進んだりした基板への堆積に必ずしも適切ではない高温が必要とされる傾向がある。厚みがより少ない膜もこのような方法では製造することが難しい。
[0005]さらに、高温(>650℃)堆積処理での温度で安定するALD前駆体に関連するケイ素は少ない。前駆体は、自己限定的な単層を形成する代わりに、高温で分解する傾向があり、結果として膜品質が乏しくなる。したがって、当該技術では、熱的又はCVD膜成長で通常利用される温度より低い温度で薄くて高品質で安定したSiOの誘電体層を形成するプロセス及び前駆体が必要とされるが、さらに優れた特性を有することが有利であろう。
[0006]本開示の1つ又は複数の実施形態は、膜を堆積する方法を対象とする。表面を有するウエハが反応チャンバの内部に位置決めされる。ウエハは、反応チャンバの内部で所定温度まで加熱される。ウエハの少なくとも一部が所定の時間、ケイ素前駆体に曝露され、ウエハ上にシリコン層が形成される。ケイ素前駆体は、一般式RSi:NYを有する化合物を含み、各Rは、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルコキシ基;並びにC〜C10アリール基から独立して選択され、各Yは、独立して、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルキルシリル基;並びに/或いはC〜C10アリール基である。ウエハ上のシリコン層と反応するようにウエハの表面の少なくとも一部が酸素プラズマ及び/又は酸素源ガスに曝露され、酸化ケイ素膜が形成される。
[0007]本開示の追加の実施形態は、SiO膜をウエハ上に堆積する方法を対象とする。ウエハの表面はケイ素前駆体に曝露され、ケイ素前駆体は、RSi:NYを含み、各Rは、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルコキシ基;並びに/或いはC〜C10アリール基から独立して選択され、各Yは、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルキルシリル基;並びに/或いはC〜C10アリール基から独立して選択され、ケイ素前駆体の少なくとも一部がウエハ表面上に吸着される。吸着されたケイ素前駆体がウエハ表面上で分解するようにウエハ表面が約450℃から約650℃の範囲内の温度まで加熱され、単層又は準単層のケイ素膜が形成される。単層又は準単層のケイ素膜及びウエハ表面が酸素源に曝露される。酸素源が単層又は準単層のケイ素膜と反応して、単層又は準単層のSiO膜が形成される。
[0008]本開示の追加の実施形態は、ALDによって、非常に安定したSiO膜をシリコンウエハ上に形成する方法を対象とする。少なくとも1つのシリコンウエハが、反応チャンバの内部のサセプタ内に配置される。少なくとも1つのシリコンウエハが、約450℃から約650℃の範囲内の温度まで加熱される。ケイ素前駆体の連続的な流れが、シャワーヘッドを通して反応チャンバ内に導入される。ケイ素前駆体は、RSi:NYを含み、各Rは、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルコキシ基;並びに/或いはC〜C10アリール基から独立して選択され、各Yは、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルキルシリル基;並びに/或いはC〜C10アリール基から独立して選択される。酸素プラズマ及び/又は酸素源ガスは、反応チャンバの少なくとも1つの処理領域内に供給される。少なくとも1つのシリコンウエハがシャワーヘッドの下方を通過するように、サセプタは回転する。ケイ素前駆体の少なくとも一部が、ケイ素ウエハの表面に吸着する。酸素プラズマ及び/又は酸素源ガスは、吸着されたケイ素前駆体と反応し、SiO膜が形成される。
[0009]本開示の上述の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な説明は、実施形態を参照することによって、得ることができる。そのうちの幾つかの実施形態は添付の図面で例示されている。しかしながら、本開示は他の等しく有効な実施形態も許容し得るため、添付の図面は、本開示の典型的な実施形態のみを示しており、したがって、本発明の範囲を限定すると見なすべきではないことに留意されたい。
SiOの堆積のための例示的なALD堆積サイクルを示す。 SiOの堆積のための例示的なALD堆積サイクルを示す。 SiOの堆積のための例示的なALD堆積サイクルを示す。 SiOの堆積のための例示的なALD堆積サイクルを示す。 SiOの堆積のための例示的なALD堆積サイクルを示す。 SiOの堆積のための例示的なALD堆積サイクルを示す。 SiOの堆積のための例示的なALD堆積サイクルを示す。 SiOの堆積のための例示的なALD堆積サイクルを示す。 共形SiO層のALD堆積処理の例示的な実施形態のフロー図を示す。 ALDによる共形SiO層の堆積の例示的な実施形態を示す。 本開示の1つ又は複数の実施形態に係る、ローティングステーションを有する4つのガス分配アセンブリユニットで構成された基板処理システムの概略平面図である。 3つのガス分配アセンブリユニットで構成された基板処理システムの概略平面図である。 円形ガス分配アセンブリの例示的な実施形態を示す。
[0016]本開示の幾つかの例示的な実施形態が説明される前に理解するべきことは、本開示が以下の説明で提示される構成又はプロセスステップの詳細に限定されないということである。本開示は、他の実施形態も可能であり、様々な方法で実施又は実行することができる。
[0017]本明細書全体を通じて「一実施形態」、「特定の実施形態」、「様々な実施形態」、「1つ又は複数の実施形態」、又は「ある実施形態」に対する言及は、実施形態と関連して説明された特定の特徴、構造、機能、又は特質が、本開示の少なくとも1つの実施形態に含まれ得ることを意味する。したがって、本明細書全体を通じて様々な箇所で「1つ又は複数の実施形態において」、「特定の実施形態において」、「一実施形態において」、又は「ある実施形態において」等の言い回しの表出は、必ずしも本開示の同じ実施形態を参照しているわけではない。さらに、説明される特定の特徴、構造、機能、材料、又は特質を、1つ又は複数の実施形態において、任意の適切な態様で組み合わせることができる。
[0018]本明細書で使用される「共形(conformal)」という用語は、膜の平均的な厚みに対して、1%未満の変形を有する厚みで露出面に付着して、その面を均一に覆う層のことを指す。例えば、1000Åの厚さの膜は、厚さにおいて10Å未満の変形を有することになる。この厚み及び変形は、凹部の端部、角部、側部、及び底部を含む。例えば、本開示の様々な実施形態において、ALDによって堆積された共形層は、複雑な表面上の本質的に均一な厚みの堆積領域の上にカバレッジをもたらすことになる。
[0019]本明細書で使用される「連続」という用語は、堆積層の下層の材料を露出させる間隙又はベアスポット(bare spot)がない状態で露出面全体を覆う層のことを指す。連続層は、膜の総表面積の約1%未満の表面積の間隙又はベアスポットを有し得る。
[0020]この明細書及び添付の特許請求の範囲において使用される「基板」及び「ウエハ」という用語は、交換可能に使用されており、両方とも、処理が作用する表面又は表面の一部を有する薄い材料片のことを指している。さらに、当業者であれば、基板に言及する場合、文脈によって明らかに他のことが示されていない限り、基板の一部のみに言及することもあり得ることを理解されよう。例えば、図4に関連して説明される空間的に分離されたALDでは、各前駆体が基板に供給されるが、任意の所与の時点での任意の個別の前駆体の流れは、基板の一部のみに供給され得る。さらに、基板上への堆積に言及する場合、裸基板と、上部に1つ又は複数の膜又はフィーチャが堆積又は形成された基板との両方を意味し得る。
[0021]1つ又は複数の実施形態では、処理チャンバ内の2つ以上の堆積領域又は処理領域にSi前駆体が供給され得る。1つ又は複数の実施形態では、酸素プラズマ及び/又は酸素源ガスは、Si前駆体堆積領域と異なる2つ以上の処理領域に供給され得る。様々な実施形態では、堆積領域が処理領域と空間的に交互する場合がある。したがって、ウエハは、連続的に、堆積領域、次いで処理領域を通過することができる。
[0022]本明細書で使用される「基板表面」とは、製造処理中に膜処理が実行される任意の基板の露出面又は基板上に形成された材料面のことを指す。例えば、処理が実行され得る基板表面は、用途に応じて、ケイ素、酸化ケイ素、ストレインドシリコン、シリコン・オン・インシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、炭化ケイ素、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに金属、金属窒化物、金属炭化物、金属合金、及びその他の導電材料などの任意の他の材料を含む。基板は、限定することなく、半導体ウエハ及び絶縁ウエハを含み、電子デバイス及び/又はオプトエレクトロニクスデバイスを製造するためにさらに処理される場合もあるし、処理されない場合もある。基板表面を洗浄、研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、及び/又はベークするために基板を前処理プロセスに曝してもよい。基板自体の表面上で直接膜処理することに加えて、本開示の実施形態では、開示された任意の膜処理ステップが、以下でより詳細に開示される基板上に形成された下層上で実行されてもよい。「基板表面」という用語は、文脈が示すように、このような1つ又は複数の下層(例えば、SOIウエハ上の薄い半導体層及び/又は絶縁層を通過するビア)を含むように予め決められている。
[0023]本開示の実施形態と共に使用する基板は、任意の適切な基板であってもよい。幾つかの実施形態では、基板は、剛性で、ディスクリートな、概して平面の基板である。この明細書及び添付の特許請求の範囲において使用される「ディスクリート(discrete)」という用語は、基板に対して言及するとき、基板が決まった寸法を有することを意味する。1つ又は複数の実施形態の基板は、200mm又は300mm直径のシリコン基板のような、半導体基板である。幾つかの実施形態では、基板は、ケイ素、シリコンゲルマニウム、ヒ化ガリウム、窒化ガリウム、ゲルマニウム、りん化ガリウム、りん化インジウム、サファイア、及び炭化ケイ素のうちの1つ又は複数である。
[0024]本開示の原則及び実施形態は、基板表面上で酸素と反応するアミノシラン前駆体を利用して、SiO膜を堆積することに関する。
[0025]様々な実施形態では、SiO堆積は、連続的な堆積のためにサセプタアセンブリ及び/又はウエハ表面の上方に配置され、サセプタアセンブリ及び/又はウエハ表面と位置合わせされたALD注入アセンブリを備えた基板処理システムの内部で実行され、それにより、スループットが最大化され、処理の効率性及び均一性が向上する。基板処理システムは、堆積前及び堆積後の基板処理のためにも構成且つ使用してもよい。
[0026]本開示の実施形態は、さらにALD処理においてSiO膜の品質及び均一性を改善する方法に関する。
[0027]本開示の実施形態は、サセプタアセンブリ/ウエハから垂直方向に離間されたシャワーヘッドをさらに備えている。
[0028]この明細書及び添付の特許請求の範囲で使用される、「反応性ガス」、「前駆体」、「反応物」等の用語は、交互に使用され、原子層堆積処理において反応性である核種を含むガスを意味する。例えば、第1の「反応性ガス」は、単純に基板の表面上に吸着され、第2の反応性ガスとのさらなる化学反応のために利用可能であってもよい。
[0029]SiO膜の堆積は、本開示の1つ又は複数の実施形態に従って、処理チャンバ内で実行され得る。処理チャンバは、概して、真空又は少なくとも低圧条件下で操作される密封可能な筐体である。本システムは、基板の上面にわたって1つ又は複数のガスを分配可能なガス分配アセンブリを含む。ガス分配アセンブリの出力面は、基板の上面に向いている。
[0030]ガス分配アセンブリは、1つ又は複数のガス流を基板に方向付ける複数のガスポートと、処理チャンバからガス流を送るための各ガスポート間に配置された複数の真空ポートとを含み得る。実施形態では、ガス分配アセンブリは、第1の前駆体注入器、第2の前駆体注入器、及び第1の前駆体注入器と第2の前駆体注入器との間のパージガス注入器を備えている。前駆体注入器は、複数のガスポートを通して、化合物Aの反応性前駆体の連続流(又はパルス流)を処理チャンバ内に注入する。前駆体注入器は、複数のガスポートを通して、化合物Bの反応性前駆体の連続流(又はパルス流)を処理チャンバ内に注入する。パージガス注入器は、複数のガスポートを通して、非反応性ガス又はパージガスの連続流(又はパルス流)を処理チャンバ内に注入する。パージガスは、処理チャンバから反応性材料及び反応性副生成物を除去する。パージガスは、典型的には、窒素、アルゴン、及びヘリウムといった不活性ガスである。ガスポートは、化合物Aの前駆体を化合物Bの前駆体から分離して、前駆体間の相互汚染を回避するように、他のガスポート間に配置される。
[0031]様々な実施形態では、ガスポートは、一定領域の上にガスを分散させるシャワーヘッドであってもよい。
[0032]本開示の態様は、膜を基板の表面上に堆積する方法に関し、基板は、所定温度まで加熱される。ウエハ又は基板表面の所定温度までの加熱は、反応チャンバの内部で行われ得る。ここで、ウエハは、適切な手段、例えば、熱ランプ又はサセプタの抵抗加熱によって加熱され得る。
[0033]1つ又は複数の前駆体ガスは、電気抵抗加熱要素を用いて加熱され得る。
[0034]ガス分配アセンブリは、ケイ素前駆体の連続的な流れを反応チャンバに供給することができ、ケイ素前駆体の流れは、少なくとも1つの堆積領域内に入り、所定の時間、加熱されたウエハの表面の少なくとも一部に接触(又は衝突)する。
[0035]基板表面は、反応チャンバの少なくとも1つの処理領域内で酸素プラズマ及び/又は酸素源ガスに曝露され得る。ケイ素前駆体が酸素プラズマ及び/又はオゾンを含む酸素源ガスに衝突した基板表面の少なくとも一部を曝露させ、酸素プラズマ及び/又はオゾンは、加熱されたウエハの表面上のケイ素前駆体の少なくとも一部と反応する。様々な実施形態では、オゾンは、10%から20%の範囲内の酸素源ガス、又は15%から18%の範囲内の酸素源ガス、或いは15%の酸素源ガス、或いは18%の酸素源ガスを含んでもよい。
[0036]別の態様では、前駆体を処理チャンバ内に注入する前に、遠隔プラズマ源(図示せず)を前駆体注入器に接続してもよい。遠隔プラズマ源の中の化合物に電場をかけることによって、活性酸素種のプラズマが生成され得る。所定の化合物の活性化が可能な任意の電源を使用してもよい。例えば、DC、高周波(RF)、及びマイクロ波(MW)をベースにした放電技法を用いた電源が使用してもよい。RF電源を使用する場合、容量結合又は誘導結合され得る。活性化は、熱に基づく技術、ガス分解技術(gas breakdown technique)、高エネルギー光源(例えば、UVエネルギー)、又はX線源への露出によっても引き起こされ得る。例示的な遠隔プラズマ源は、MKS Instruments, Inc.及びAdvanced Energy Industries, Inc.といったベンダーから入手可能である。
[0037]図1A‐図1Hは、SiOの堆積のための例示的なALD堆積サイクルを示す。
[0038]図1Aは、前駆体分子の流れに曝露され得る少なくとも1つの表面115を有するウエハ又は基板110を示す。様々な実施形態では、ケイ素前駆体分子は、ガス状であってもよく、ガス分配アセンブリによって基板110の曝露された表面115に向けて方向付けられる。
[0039]様々な実施形態では、ウエハ又は基板は、基板を支持し、且つ処理チャンバ内の処理位置間で基板を搬送するサセプタ内に配置され得る。サセプタは、基板を受け入れ、且つサセプタが動くにつれて基板の適切な位置を保つ凹部を有し得る。処理のために基板を所定温度まで加熱することができるようにサセプタを加熱してもよい。
[0040]1つ又は複数の実施形態では、基板は、半導体材料基板であってもよく、半導体材料は、ケイ素、ストレインドシリコン、シリコン・オン・インシュレータ(SOI)、ドープされたケイ素、炭化ケイ素、炭素がドープされたケイ素、窒化ケイ素、ゲルマニウム、又はヒ化ガリウムであってもよい。様々な実施形態では、基板はシリコンウエハである。
[0041]図1Bは、基板110の曝露された表面115に向けて方向づけられたガス状前駆体を示す。様々な実施形態では、特にガス分配アセンブリがサセプタ及び基板の上方に位置決めされ、前駆体が表面に向けて下方に方向付けられているとき、曝露された表面115を基板の上面と呼んでもよい。反対に、ガス分配アセンブリがサセプタの下方に位置決めされ、ガス流が上方に向けて方向付けられているとき、露出された表面は底面であり得る。
[0042]1つ又は複数の実施形態では、ウエハ表面が、シャワーヘッドの下方を通過することにより、所定の時間、ケイ素前駆体の曝露されるように、ウエハは、回転するサセプタによって支持されたシリコンウエハであり得る。様々な実施形態では、ケイ素前駆体をシャワーヘッドを通して通過させ、ウエハをシャワーヘッドの下方を通過させることにより、ウエハ表面がケイ素前駆体に曝露される。
[0043]1つ又は複数の実施形態では、ガス状前駆体130は、ガス分配アセンブリの個々のガスポートによって、基板110の表面115に向けて方向付けられ得る。様々な実施形態では、ガス状前駆体130は、連続的な流れとして又はパルス状で、反応チャンバに供給されるガス状ケイ素(Si)前駆体であり得る。Si前駆体の連続的な流れが、少なくとも1つの堆積領域内に入ってもよい。堆積領域は、ガス状前駆体130が、パージガスの1つ又は複数のカーテン並びに/或いは反応チャンバに導入される1つ又は複数のガスを排出する1つ又は複数の真空ポートによって閉じ込められ得る反応チャンバの一部である。様々な実施形態では、Si前駆体は、所定の時間、加熱されたウエハの表面の少なくとも一部に接触する。言い換えると、基板表面は、Si前駆体に露出され得る。
[0044]1つ又は複数の実施形態では、基板表面に吸着されるSi前駆体の量は、Si前駆体の分圧及び/又は基板表面がガス状Si前駆体に露出される時間量を調節することによって制御することができる。準単層のカバレッジをもたらすためにより低い分圧及び/又はより短い曝露時間を用いてもよく、或いは、飽和した(すなわち、単層)カバレッジをもたらすためにより高い分圧及び/又はより長い曝露時間を用いてもよい。当業者であれば、表面の100%カバレッジは達成されない場合があり、単層カバレッジは、立体障害、工程、又は表面部位への前駆体の結合を妨げ得るその他の物理的及び/又は化学的な表面態様に起因して、幾らかのオープンな結合部位を残し得る表面の飽和したカバレッジを含むように予め定められていることを理解されよう。
[0045]様々な実施形態では、前駆体130は、基板表面115に共形に吸着する。
[0046]1つ又は複数の実施形態では、Si前駆体は、基板の曝露された表面に吸着することができ、且つケイ素(Si)及び/又はSiOの層を表面115上に堆積するアミノシラン化合物であり得る。
[0047]1つ又は複数の実施形態では、アミノシラン化合物は、式RSi:NYを有し、各Rは、独立して、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルコキシ基;並びに/或いはC〜C10アリール基であってもよく、各Yは、独立して、水素;Cl、Br、及びIからなる群から選択されたハロゲン;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルキルシリル基;並びに/或いはC〜C10アリール基であってもよい。
[0048]1つ又は複数の実施形態では、アミノシラン化合物が、式RSi:L(式中、Lは、窒素がケイ素に結合した1級又は2級アミンである)を有するように、各Rは、メチル基(−CH)であってもよく、Yのうちの1つ又は2つは、直鎖又は分岐鎖C〜C10アルキル基であってもよい。
[0049]1つ又は複数の実施形態では、アミノシラン化合物は、N,N‐ジメチルトリメチルシリルアミン((ジメチルアミン)トリメチルシランとも呼ばれる)、N,N‐ジエチルトリメチルシリルアミン((ジエチルアミン)トリメチルシランとも呼ばれる)、N‐メチル‐1‐(トリメチルシリル)メタンアミン((メチルアミン)トリメチルシランとも呼ばれる)、及びN‐エチル‐1‐(トリメチルシリル)メタンアミン((メチルアミン)トリメチルシランとも呼ばれている)からなる群から選択されてもよい。様々な実施形態では、アミノシランは、例えば、N,N‐ジエチル‐1,1‐ジメチルシリルアミン、又はアリル(ジエチルアミノ)ジメチルシランであってもよい。
[0050]1つ又は複数の実施形態では、ケイ素前駆体は、式MeSi:Lを有する化合物であってもよく、式中、Meは、メチル基(−CH)であり、Lは、1級又は2級アミンであり、ウエハの所定温度は、約400℃から約700℃の範囲内にある。様々な実施形態では、ケイ素前駆体は、式MeSi:Lを有する化合物であってもよく、式中、Meは、メチル基(−CH)であり、Lは、1級又は2級アミンであり、ウエハの所定温度は、約450℃から約650℃の範囲内にある。
[0051]1つ又は複数の実施形態では、アミノシラン化合物が式RSi:L(式中、Lは、窒素がケイ素に結合した1級又は2級アミンである)を有するように、各Rは、Cl、Br、及びIからなる群から個別に選択されたハロゲンであってもよく、Yのうちの1つ又は2つは、直鎖又は分岐鎖C〜C10アルキル基であってもよい。
[0052]1つ又は複数の実施形態では、アミノシラン化合物は、N‐メチル‐N‐トリクロロシリルメタンアミン(N‐(トリクロロシリル)ジメチルアミンとも呼ばれている)からなる群から選択されてもよい。
[0053]1つ又は複数の実施形態では、ケイ素前駆体は、式XSi:Lを有する化合物であり、式中、Xは、Cl、Br、I、及びそれらの組み合わせからなる群から選択されたハロゲンであり、Lは、1級又は2級アミンであり、ウエハの所定温度は、約50℃から約700℃の範囲内にある。様々な実施形態では、ケイ素前駆体は、式XSi:Lを有する化合物であり、式中、Xは、Cl、Br、I、及びそれらの組み合わせからなる群から選択されたハロゲンであり、Lは、1級又は2級アミンであり、ウエハの所定温度は、約80℃から約450℃の範囲内にある。
[0054]様々な実施形態では、ケイ素前駆体は、蒸気としてケイ素前駆体の連続的な流れを反応チャンバに供給するように、約20℃から約200℃の範囲内の温度に加熱されてもよい。
[0055]図1Cは、基板110の曝露された表面115に吸着された前駆体の単層又は準単層120を示す。様々な実施形態では、前駆体130は、化学吸着又は物理吸着によって表面に吸着され得る。ALDでは、前駆体130は、自己制御的な態様で表面部位に結合し得る。表面部位のすべて又は少なくとも大部分が前駆体で占有されるように一旦表面が前駆体分子で飽和すると、追加の前駆体分子は表面に付着しない。
[0056]1つ又は複数の実施形態では、基板は、前駆体が反応するように所定温度まで加熱されてもよい。様々な実施形態では、基板は、約50℃から約1000℃、又は約80℃から約900℃、又は約200℃から約800℃、又約300℃から約750℃、又は約400℃から約700℃、又は約450℃から約650℃の範囲内の所定温度まで加熱されてもよい。実施形態では、基板は、約550℃の所定温度まで加熱されてもよい。
[0057]基板表面115に吸着しない余分なガス状前駆体は、真空及び/又は反応性ガスを用いたパージングで除去され得る。
[0058]図1Dは、SiOの層を形成するために反応物に曝露された前駆体の吸着層を示す。1つ又は複数の実施形態では、基板表面又は基板表面上の吸着されたSi前駆体130は、Siと反応し得る反応物に曝露され、SiO2の層が生成される。幾つかの実施形態では、反応物は、酸素源140であってもよい。1つ又は複数の実施形態では、酸素源140は、酸素プラズマ及び/又はオゾン含有ガスであってもよい。様々な実施形態では、オゾン含有酸素源ガスは、分子状酸素(O)及び分子状窒素(N)をさらに含んでもよい。様々な実施形態では、酸素プラズマは、Oガス、或いは、Oと、He、Ar、Ne、Kr、及びそれらの組み合わせから選択されたガスとの組み合わせから生成され得る。
[0059]1つ又は複数の実施形態では、酸素プラズマは遠隔プラズマとして生成されてもよく、プラズマ種は、基板表面に接触するように処理チャンバに運ばれる。
[0060]1つ又は複数の実施形態では、酸素源は、酸素プラズマ及び/又はオゾンを含む。様々な実施形態では、オゾンと堆積されたSiとの比率は、1対1、又は2対1、又は>2対1であり得る。1:1の比率とは、オゾンとケイ素前駆との曝露時間が均等であることを意味する。2:1の比率とは、オゾンへの曝露がケイ素前駆体の倍の時間であることを意味する。空間的ALDプロセスでは、2:1の比率とは、膜のオゾン処理がより長いため、堆積された膜がより酸化されることを意味する。
[0061]様々な実施形態では、酸素源140は、Si前駆体の準単層又は単層120で既に覆われた基板の表面115に接触する。基板110及び吸着されたSi前駆体130は、所定温度であり得る。様々な実施形態では、所定温度は、約400℃から約700℃の範囲内、又は約450℃から約650℃の範囲内、又は約80℃から約450℃の範囲内にあってもよい。
[0062]様々な実施形態では、酸素源140は、実質的にHOを含有せず、実質的にHOがないということは、酸素源にHOが意図的に添加されていないことを意味する。しかしながら、脱着に起因して、又は、酸素源を含む材料のマイナーな汚染物として、少量のHOは存在し得る。
[0063]図1Eは、基板110の表面115上の酸素源140と相互作用するSi前駆体の準単層又は単層120を示す。様々な実施形態では、酸素源140が、プラズマ又は酸素イオン及びラジカルの場合のように、吸着されたSi前駆体と直接反応してもよく、或いは、SiO膜層を生成するために、酸素源ガスが、吸着されたSi前駆体の準単層又は単層120に吸着して反応してもよい。
[0064]図1Fは、基板の表面から脱着される反応副生成物を示す。この副生成物は、真空によって処理チャンバから排出され得る。1つ又は複数の実施形態では、アミノシランの有機及び/又はハロゲン部分は、ケイ素から分離して、基板の表面115から脱着する揮発性反応副生成物145を形成し、SiO135の堆積層125が残る。
[0065]図1Gは、基板110の表面115上に今や堆積されたSiO層125への、別のサイクルのSi前駆体130の例示的な反復曝露を示す。SiO層125の曝露された表面を別の投与分のガス状Si前駆体130に曝露することにより、既に堆積されたSiO135上にSi前駆体130の単層又は準単層膜120を形成することができる。
[0066]図1Hは、堆積されたSiO単層125上への、ガス状Si前駆体130の単層膜120の例示的な吸着を示す。似たような態様で、吸着されたSi前駆体単層120は、別のサイクルの酸素源140に続けて曝露され得る。このシーケンスは、所定の厚さのSiO膜が基板110上に堆積されるまで繰り返され得る。
[0067]1つ又は複数の実施形態では、SiO膜は、デバイスフィーチャ上で共形に形成される。幾つかの実施形態では、フィーチャは、実質的に炭素又は窒素の汚染物を含まない。汚染物が実質的にないということは、フィーチャ内の炭素又は窒素が約2原子パーセント未満であることを示す。サンプルのSiO膜は、熱酸化及びALDによって成長した。以下の表1で示されているように、SiO膜に組み込まれた炭素(C)及び窒素(N)(原子パーセント)は、X線光電子分光分析法(XPS)によって検出されなかった。試験結果は、ALDによるSiO膜は、測定可能なC、Nの含有物がなく、実質的に純粋であることを示した。Siの熱酸化により成長したSiO膜は、ALDによって堆積されたSiOと似たような純度を示した。
Figure 2017531920
[0068]本開示の態様は、概して、連続的な、共形SiO層を基板上に堆積する方法に関し、この方法は、基板表面を続けて第1のSi前駆体に曝露し、基板表面に結合した第1のSi前駆体分子の単一層を生成することを含む。基板表面に結合した第1のSi前駆体分子は、第1の酸素源に曝露され、第1の酸素源からの酸素は、基板表面に結合した第1のSi前駆体分子と反応する。基板表面の、第1のSi前駆体分子及び第1の酸素源への連続的な曝露は、所定の厚さの連続的な共形SiO層が基板表面上に形成されるまで繰り返され得る。
[0069]図2は、ALDによる連続的な共形SiOの堆積のための処理の例示的な実施形態のフロー図を示す。
[0070]210では、基板は、ALD堆積処理に適した反応チャンバ内に配置され得る。チャンバは、真空ポンプによって密封且つ排気され得る内部空間と、1つ又は複数のウエハを保持するためのサセプタと、ガス状Si前駆体及び酸素源を反応チャンバ及び/又はウエハ表面に供給するガス分配アセンブリとを備え得る。様々な実施形態では、基板はシリコンウエハであってもよい。
[0071]220では、基板が所定温度まで加熱され得る。この所定温度で、SiO単層又は準単層を基板表面上に堆積するために、Si前駆体が基板表面に吸着され、酸素源と反応する。様々な実施形態では、基板は、限定しないが、熱ランプを含む任意の適切な熱源によって、且つ/又は基板を保持するサセプタからの通電加熱によって、所定温度まで加熱され得る。加熱は、適切に配置された熱電対及び/又はパイロメータによってモニタリングされ得る。この熱電対及び/又はパイロメータは、外部に配置されたり、チャンバの内部に配置されたり、且つ/又はチャンバコンポーネントと動作的に関連付けられてもよい。
[0072]230では、基板表面がガス状Si前駆体に曝露され得るように、Si前駆体は、反応チャンバ内に導入され得る。ガス状Si前駆体は、基板表面に接触することができ、一部が表面に吸着する。
[0073]1つ又は複数の実施形態では、Si前駆体は、標準的な環境室温及び圧力では液体であり得る。様々な実施形態では、液状のSi前駆体は、レセプタクル(例えば、アンプル)内に含まれてもよい。それにより、Si前駆体の揮発化及び蒸気圧力を増大させるためにSi前駆体が加熱され、反応チャンバ内に導入され得るガス状Si前駆体が生成される。前駆体を処理チャンバに運ぶためにキャリアガスをアンプルを通して流してもよい。
[0074]幾つかの実施形態では、Si前駆体は、標準的な環境室温及び圧力では個体である。固体前駆体は、前駆体を昇華させるために加熱され得るレセプタクル(例えば、アンプル)内に含まれ得る。前駆体を処理チャンバに運ぶためにキャリアガスをアンプルを通して流すことができる。
[0075]幾つかの実施形態では、反応チャンバをSi前駆体で充填することなく、ガス状Si前駆体の流れを、例えば、ALD注入器又はシャワーヘッドによって、基板表面に向けて方向付けることができる。様々な実施形態では、ガス状Si前駆体は、ガスカーテンによって同じ処理チャンバ内の隣接する処理領域から分離された処理領域内に流されてもよい。
[0076]1つ又は複数の実施形態では、ケイ素前駆体は、RSi:NYを含み、Rは、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルコキシ基;並びに/或いはC〜C10アリール基であり、Yは、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルキルシリル基;並びに/或いはC〜C10アリール基である。
[0077]1つ又は複数の実施形態では、Si前駆体は、酸素プラズマ及び/又はオゾン含有ガスと反応し得る。
[0078]240では、Si前駆体は、基板表面に吸着され得る。幾つかの実施形態では、吸着処理は、物理吸着作用であり得る。1つ又は複数の実施形態では、吸着処理は、化学吸着作用であり得る。様々な実施形態では、Si前駆体は、1つ又は複数の結合部位で、且つ/又は、例えば、双極子‐双極子相互作用を通して、基板表面と相互作用し得る。様々な実施形態では、吸着は、Si前駆体が基板表面上の部位に結合する化学吸着によってなされ得る。
[0079]1つ又は複数の実施形態では、Si前駆体の単層又は準単層が基板表面上に形成されるように、吸着は自己制御的である。様々な実施形態では、ガス状Si前駆体にさらに曝露されても、所定の反応温度範囲内で、吸着されたSi前駆体のより厚みのある層が生成されることはない。
[0080]250では、酸素源が反応チャンバ内に導入され得、それにより、基板表面及び/又は吸着されたSi前駆体の膜が酸素源に曝露され得る。様々な実施形態では、反応チャンバを酸素源で充填せずに、例えばALD注入器によって、酸素源を基板表面に向けて方向付けることができる。様々な実施形態では、反応チャンバを充填する前、且つ/或いは、1つ又は複数の注入供給チャネルの下にない基板の部分を曝露する前に、1つ又は複数の真空チャネルを通して酸素源を排気してもよい。
[0081]1つ又は複数の実施形態では、堆積された連続的で共形のSiO層を基板上に形成するため、Si前駆体は、約400℃から約700℃の範囲内の温度で酸素プラズマ及び/又はオゾン含有ガスと反応し得る。
[0082]260では、連続的な共形SiO層を基板表面上に堆積するためにSi前駆体は酸素源と反応し得る。堆積された金属層は、単層又は準単層の厚みであり得、SiO層内に組み込まれる炭素又は窒素が検出されない状態である。SiO層を基板表面上に堆積するために酸素源がSi前駆体と反応することにより、ALDによる曝露及び反応のサイクルが完成する。
[0083]様々な実施形態では、アミン化合物及び/又は1つ又は複数の有機化合物は、基板の反応温度で、基板表面及び/又は堆積されたSiO層から脱着し得る。脱着された化合物は、真空によって処理チャンバから排出され得る。
[0084]様々な実施形態では、SiOの実質的に均一な単層又は準単層がサイクル毎にすべての曝露された基板表面上に堆積されるように、基板表面上に形成されたSiO層は、基板表面内に形成された1つ又は複数の溝の側壁及び底壁、並びに基板表面内で形成された1つ又は複数のビアの側壁を含む様々な表面フィーチャに適合し得る。フィーチャが上面及び2つの側面を有するように、幾つかのフィーチャは基板表面から突出する。
[0085]1つ又は複数の実施形態では、基板表面は、約10:1から約100:1、又は約20:1から約100:1、又は約10:1から約50:1、又は約20:1から約50:1の範囲内のアスペクト比を有する1つ又は複数のフィーチャを含んでもよく、ケイ素前駆体は、1つ又は複数のフィーチャ上に共形層を形成する。様々な実施形態では、表面フィーチャは、電子デバイスの一部であり得る。
[0086]1つ又は複数の実施形態では、表面フィーチャは、約100nmから約3.5μm、又は約100nmから約700nm、又は約1μmから約3.5μmの範囲内の寸法を有し得る。様々な実施形態では、溝の深さは、約2μmから約3.5μmであってもよく、アスペクト比は、50:1であってもよい。
[0087]1つ又は複数の実施形態では、溝の幅は、約10nmから約30nmであってもよく、溝の深さは、100nmから約1000nmであってもよい。様々な実施形態では、溝の幅は、約50nmから約100nmであってもよく、溝の深さは、1μmから約3.5μmであってもよい。1つ又は複数の実施形態は、1つ又は複数のデバイスフィーチャは、約1μmから約3.5μmの範囲内の深さを有する溝であってもよい。1つ又は複数の実施形態では、1つ又は複数のデバイスフィーチャは、約100nmから約700nmの範囲内の深さを有する溝であってもよい。
[0088]270では、Si前駆体を導入し、基板表面を曝露することと、反応温度で追加のSiO層を基板表面上に形成するために酸素源を導入することとのサイクルは、所定の厚さの堆積されたSiO層を形成するために1回又は複数回繰り返してもよい。様々な実施形態では、曝露及び堆積のサイクルは、約5Åから約300Åの範囲内の厚さを有するSiO層を形成するために十分な回数繰り返されてもよい。様々な実施形態では、SiOは、約0.8から約1.5Å/秒の速度で堆積し得る。
[0089]280では、金属層及び/又は基板の堆積後処理が行われ得る。1つ又は複数の実施形態では、堆積後処理は、UV硬化、熱アニール、ポスト蒸気アニール(post steam anneal)、及び/又はプラズマ処理のうちの1つ又は複数を含み得る。様々な実施形態では、UV硬化は、広帯域(200nm−4000nm)光源を用いて、約1分から約6分の範囲内の時間実行することができる。UV硬化は、堆積されたSiO膜層の密度を高め、表2で示されたように熱的に成長した酸化ケイ素に対して、1%HFで湿式エッチング速度比(WERR)を約12.0から約6.4に減少させる。様々な実施形態では、UV硬化は、約350℃から約450℃、或いは約400℃の温度範囲内で実行され得る。
Figure 2017531920
[0090]様々な実施形態では、熱アニール(急速熱処理−RTP)は、約30秒から約150秒の範囲内の時間、又は約120秒間、基板の温度を越える温度で実行され得る。様々な実施形態では、RTPは、約1050℃で実行されてもよい。様々な実施形態では、RTPは、N及び/又はOの雰囲気内で実行されてもよく、RTPは、膜品質を改善し、湿式エッチング速度比を、例えば、NのRTPについて、9.9から5.1に減少させ、或いは、5%のOのRTPについて、9.8から6.5に減少させる。
[0091]様々な実施形態では、ポスト蒸気アニールは、約550℃の温度で約30分間、約10%から約50%の水濃度で実行され得る。ポスト蒸気アニールは、膜品質を改善し、約30分間、約550℃の温度での処理で、湿式エッチング速度比を例えば8.8から6.5に減少させる。
[0092]図3は、表面フィーチャ118上でSi前駆体と酸素源との間のALD反応によって堆積された共形SiO層125を示す。表面フィーチャ118は、溝、ビア、又はFINFETのような製造された電子構造体であってもよい。
[0093]図4は、複数のウエハが同じ処理の流れを経るように、複数のウエハを同時に処理するために使用される複数のガス注入器を備えた処理チャンバの一部を示す。例えば、図4に示すように、処理チャンバ100は、4つのガス注入アセンブリ30及び4つのウエハ60を有する。処理を開始する際に、ウエハ60を注入アセンブリ30間に配置することができる。カルーセルのサセプタ66を45度回転させることにより、結果として、各ウエハ60が、膜堆積のために注入アセンブリ30の方に移動する。これは、図4で示されている位置である。さらに45°回転させると、ウエハ60は注入アセンブリ30から離れる。空間的ALD注入器では、注入アセンブリに対してウエハが移動する間にウエハ上に膜が堆積される。幾つかの実施形態では、ウエハ60が注入アセンブリ30の下で停止しないようにサセプタ66が回転する。ウエハ60及びガス分配アセンブリ30の数は、同じであってもよく、異なってもよい。
[0094]1つ又は複数の実施形態では、システム100は、処理チャンバに接続されたポンピングシステムをさらに含む。ポンピングシステムは、概して、1つ又は複数の真空ポートを通してガス流を処理チャンバ外へ排気するように構成される。真空ポートは、各ガスポート間に配置され、それにより、ガス流が基板表面と反応した後にガス流が処理チャンバ外に排気され、且つ、前駆体間の相互汚染がさらに制限される。
[0095]この種の(すなわち、複数のガスが同時に別々に基板に向かって流れる)原子層堆積システムは、空間的ALDと呼ばれる。稼働中、基板60は、(例えばロボットによって)処理チャンバに送られ、処理チャンバに入る前又は入った後にサセプタ上に配置され得る。サセプタは、処理チャンバを通って移動し、ガス分配アセンブリ30の下方(又は上方)を通過する。図4で示された実施形態では、サセプタは、カルーセル型処理システムを通る円形経路を移動する。
[0096]複数のガス注入器を有する処理チャンバを使用して、複数のウエハを同時に処理することができるため、これらのウエハは、同じプロセスの流れを経験する。例えば、図4に示すように、処理チャンバ100は、4つのガス注入アセンブリ30及び4つのウエハ60を有する。処理を開始する際に、ウエハ60を注入アセンブリ30間に配置することができる。カルーセルのサセプタ66を45度回転させることにより、結果として、各ウエハ60が、膜堆積のために注入アセンブリ30の方に移動する。これは、図4で示されている位置である。さらに45°回転させると、ウエハ60は注入アセンブリ30から離れる。空間的ALD注入器では、注入アセンブリに対してウエハが移動する間にウエハ上に膜が堆積される。幾つかの実施形態では、ウエハ60が注入アセンブリ30の下で停止しないようにサセプタ66が回転する。ウエハ60及びガス分配アセンブリ30の数は、同じであってもよく、異なってもよい。幾つかの実施形態では、処理されるウエハの数は、存在するガス分配アセンブリと同じ数となる。1つ又は複数の実施形態では、処理されるウエハの数は、ガス分配アセンブリの数の整数倍となる。例えば、4つのガス分配アセンブリが存在する場合、処理されるウエハの数は4xとなる。ここでxは、1以上の整数値である。
[0097]図4に示す処理チャンバ100は、実行可能な一構成を表しているに過ぎず、本開示の範囲を限定していると見なすべきではない。ここで、処理チャンバ100は、複数のガス分配アセンブリ30を含む。図示した実施形態では、処理チャンバ100の周りで均等に離間された4つのガス分配アセンブリ30が存在する。図示の処理チャンバ100は八角形であるが、当業者であれば、これは1つの可能な形状であり、本開示の範囲を限定すると見なすべきではないことを理解されよう。
[0098]処理チャンバ100は、円形サセプタ66又はサセプタアセンブリとして示される基板支持装置を含む。基板支持装置又はサセプタ66は、複数の基板60をそれぞれのガス分配アセンブリ30の下方に移動させることが可能である。基板60をチャンバ100に対してローディング/アンローディングすることを可能にするため、ロードロック82が処理チャンバ100の側面に接続される場合がある。
[0099]処理チャンバ100は、複数のガス分配アセンブリ30のうちの任意のアセンブリの間或いは各々のアセンブリの間に配置された第1の処理ステーション80を複数又は1組含み得る。幾つかの実施形態では、それぞれの第1の処理ステーション80は、基板60に対して同一の処理をもたらす。
[00100]処理ステーションの数と、異なる種類の処理ステーションの数は、処理によって変化し得る。例えば、ガス分配アセンブリ30の間に配置される処理ステーションは、1つ、2つ、3つ、4つ、5つ、6つ、7つ、又はそれより多く存在し得る。各処理ステーションは他の全ての組の処理ステーションとは異なる処理を個別にもたらすことができる。或いは、同じ種類の処理と、異なる種類の処理とを混合してもよい。幾つかの実施形態では、個々の処理ステーションのうちの1つ又は複数は、その他の個々の処理ステーションのうちの1つ又は複数とは異なる処理をもたらす。
[00101]基板表面115が各ガスに曝露される範囲は、例えば、ガスポートから出てくる各ガスの流量と、基板60の移動速度とによって決定され得る。一実施形態では、吸着された前駆体を基板表面61から除去しないように各ガスの流量が制御される。さらに、各仕切り壁間の幅、処理チャンバ100上に配置されたガスポートの数、及び基板がガス分配アセンブリを通り過ぎる回数によって、基板表面61が様々なガスに曝露される程度が決定され得る。結果として、堆積された膜の量と質は、上述の要素を変化させることによって最適化することができる。
[00102]ガス分配アセンブリの下方に位置決めされた基板に向けてガスの流れを下向きに方向付けるガス分配アセンブリについての処理を説明してきたが、この向きは異なってもよいことを理解されよう。幾つかの実施形態では、ガス分配アセンブリは、ガスの流れを基板表面に向けて上向きに方向付ける。本明細書及び添付の特許請求の範囲において使用される「通り過ぎる」という表現は、基板の表面全体がガス分配プレートからの各ガス流に曝露されるように、基板を、ガス分配アセンブリの一方の側から他方の側に移動させることを意味する。追加の説明がない限り、「通り過ぎる」という表現は、ガス分配アセンブリ、ガス流、又は基板位置の任意の特定の配向を示唆しない。
[00103]幾つかの実施形態では、基板を運ぶためのサセプタは、基板にわたって均一温度を形成することに役立つキャリアであり、基板が円形経路で移動するように回転し得る。サセプタは基板を運ぶための上面を有する。処理のために基板を加熱することができるように、サセプタは、加熱されたサセプタであり得る。一例として、サセプタ66は、サセプタの下方に配置された、放射熱ランプ、加熱プレート、抵抗コイル、又はその他の加熱デバイスによって加熱され得る。
[00104]さらに別の実施形態では、サセプタの上面は、基板を受容する凹部を含む。サセプタは、サセプタ材が基板の下方にあるように、基板の厚みよりも概して厚みがある。幾つかの実施形態では、凹部は、基板が凹部内に配置されたときに基板の第1の表面がサセプタの上面と同じ高さになるか、又はほぼ同一平面上になるように、寸法形成される。言い換えると、幾つかの実施形態の凹部は、基板60が内部に配置されたとき、基板60の第1の表面がサセプタの上面より上に突出しないように寸法形成される。本明細書及び添付の特許請求の範囲で使用される「ほぼ同一平面上」という表現は、ウエハの上面とサセプタアセンブリの上面とが、±0.2mm内で同一平面上にあることを意味する。幾つかの実施形態では、上面は、±0.15mm、±0.10mm、又は±0.05mm内で同一平面上にある。
[00105]カルーセルの回転は、連続又は非連続であり得る。連続処理においては、ウエハがそれぞれの注入器に順に曝露されるように、ウエハは常に回転している。非連続処理においては、ウエハを注入器領域へと移動させてから停止させ、次いで、注入器間の領域84へと移動させてから停止させることができる。例えば、ウエハが注入器間領域から注入器にわたって移動し(又は注入器に隣接して停止し)、次の注入器間領域へ移動してそこで再び休止できるように、カルーセルは回転し得る。注入器間で休止することにより、各層堆積の間に追加の処理ステップ(例えば、プラズマへの曝露)のための時間をもたらすことができる。
[00106]幾つかの実施形態では、処理チャンバは、複数のガスカーテン40を備えている。各ガスカーテン40は、ガス分配アセンブリ30からの処理ガスが、ガス分配アセンブリ領域から移動したり、処理ステーション80からのガスが、処理ステーション領域から移動したりすることを防止又は最小化するバリアを生成する。ガスカーテン40は、個々の処理セクションを隣接するセクションから隔離し得る、ガス流と真空流との任意の適切な組み合わせを含み得る。幾つかの実施形態では、ガスカーテン40は、パージ(又は不活性)ガス流である。1つ又は複数の実施形態では、ガスカーテン40は、ガスを処理チャンバから除去する真空流である。幾つかの実施形態では、ガスカーテン40は、パージガス流と真空流との組み合せであり、したがって、順番に、パージガス流、真空流、及びパージガス流が存在する。1つ又は複数の実施形態では、ガスカーテン40は、真空流とパージガス流との組み合せであり、したがって、順番に、真空流、パージガス流、及び真空流が存在する。図4に示すガスカーテン40は、それぞれのガス分配アセンブリ30と処理ステーション80との間に配置されているが、これらのカーテンを処理経路に沿って任意の1つ又は複数のポイントに配置してもよいことを理解されたい。
[00107]図5に示す実施形態では、一組の第2の処理ステーション85が、第1の処理ステーション80とガス分配アセンブリ30との間に配置されており、したがって、処理チャンバ100を通って回転する基板60は、開始点に応じて、ガス分配アセンブリ30、第1の処理ステーション80、及び第2の処理ステーション85に出会い、その後、これらのいずれかに2度目に出会う。例えば、図5に示すように、基板が第1の処理ステーション80から動き出した場合、基板は、順番に、第1の処理ステーション80、ガス分配アセンブリ30、及び第2の処理ステーション85に出会い、その後、2番目の第1の処理ステーション85に出会う。様々な実施形態では、処理ステーション85は、本明細書に記載されているように、堆積後処理を実行するように適合され得る。
[00108]処理ステーションは、基板、基板上の膜、又はサセプタアセンブリに任意の適切な種類の処理をもたらすことができる。例えば、UVランプ、フラッシュランプ、プラズマ源、及びヒータである。ウエハは、次いで、ガス分配アセンブリ30を有する位置と、例えば、ウエハにプラズマを供給するシャワーヘッドを有する位置との間で移動される。プラズマステーションは、処理ステーション80と呼ばれる。1つ又は複数の実施例では、各堆積層の後に、プラズマ処理を用いて窒化ケイ素膜を形成することができる。ALD反応は、理論的には、表面が飽和している限り自己制御的であるので、堆積ガスへのさらなる曝露が膜の損傷を引き起こすことはない。
[00109]図6は、円形ガス分配アセンブリの例示的な実施形態を示す。
[00110]基板が処理チャンバを動き回る際、ポートに対向する基板の上面は、ガスポート425から来る反応性ガスA(Si前駆体)と、ガスポート435から来る反応性ガスB(酸素源)と共に、中間のガスポート445から来るパージガスとに繰り返し曝露される。パージガスの注入は、前駆体の混合を減少又は防止し、基板表面を次の前駆体に曝露する前に、前駆体への直前の曝露からの未反応材料の除去に役立つように設計されている。様々なガス流(例えば反応性ガス又はパージガス)へのそれぞれの曝露の後、ガス流は、ポンピングシステムによって真空ポート455を通して排気される。真空ポートを各ガスポートの両側に配置してもよいため、ガス流は、両側の真空ポート455を通して排気される。したがって、ガス流は、それぞれのガスポートから、基板60の第1の表面に向かって下向きに垂直に流れ、基板表面110を渡り、最終的に真空ポート455に向かって上向きに流れる。この様態では、各ガスは、基板表面110の全体にわたって均一に分配され得る。基板60は、様々なガス流に曝露されている間にも回転し得る。基板の回転は、形成された層にストリップが形成されることを防止するのに役立つ場合がある。基板の回転は、連続的又は不連続的な工程であってもよく、基板がガス分配アセンブリ30の下方を通過している間、又は、基板がガス分配アセンブリ30の前及び/又は後の領域にある時に、起こり得る。
[00111]サセプタアセンブリは、処理チャンバ内に配置され、少なくとも1つの基板を回転軸の周りでほぼ円形の経路で回転させる。本明細書及び添付の特許請求の範囲で使用される「ほぼ円形」という表現は、基板が完全な回転を完了する場合、経路が円形であることを予め定められていることを意味する。サセプタアセンブリは、内周縁及び外周縁によって画定された上面を有する。サセプタアセンブリの上面がガス分配アセンブリの前面に対向するように、サセプタアセンブリは、ガス分配アセンブリの下方に配置される。
[00112]幾つかの実施形態では、1つ又は複数の層がプラズマ強化原子層堆積(PEALD)プロセスの間に形成され得る。幾つかのプロセスでは、プラズマの使用により、核種を励起状態へと促進するのに十分なエネルギーが供給される。励起状態では、表面反応が順調に、且つ起こりやすくなる。プラズマをプロセス内に導入することは、連続的又はパルス状であってもよい。幾つかの実施形態では、前駆体(又は反応性ガス)とプラズマの連続パルスが、層の処理に使用される。幾つかの実施形態では、試薬は、局所的に(すなわち処理領域内で)又は遠隔的に(すなわち処理領域外で)イオン化され得る。幾つかの実施形態では、遠隔イオン化は、イオン或いは他のエネルギー核種又は発光核種が堆積膜と直接接触しないように、堆積チャンバの上流で起こり得る。幾つかのPEALDプロセスでは、プラズマは、例えば遠隔プラズマ生成システムによって、処理チャンバの外部で生成される。プラズマは、当業者には既知の、任意の適切なプラズマ生成プロセス又は技術を介して生成され得る。例えば、プラズマは、マイクロ波(MW)周波発生装置又は無線周波(RF)発生装置のうちの1つ又は複数によって生成され得る。プラズマの周波数は、使用される特定の反応性核種に応じて調整されてもよい。適切な周波数は、限定されないが、2MHz、13.56MHz、40MHz、60MHz、及び100MHzを含む。本明細書で開示されている堆積プロセスの間にプラズマが使用され得るが、プラズマが必要では場合があることに留意すべきである。実際、他の実施形態は、プラズマ源なしの非常に穏やかな条件下での堆積プロセスに関する。
[00113]1つ又は複数の実施形態では、基板は、層を形成する前及び/又は後に、処理の対象となる。この処理は、同一のチャンバ内で、又は、1つ又は複数の別の処理チャンバ内で実行され得る。幾つかの実施形態では、基板は、第1のチャンバから、さらなる処理のために別の第2のチャンバに移動される。基板を、第1のチャンバから別の処理チャンバへ直接移動させてもよく、又は、第1のチャンバから1つ又は複数の移送チャンバへ移動させ、それから、所望の別の処理チャンバへ移動させてもよい。したがって、処理装置は、移送ステーションと連通した複数のチャンバを備え得る。この種の装置は、「クラスタツール」又は「クラスタシステム」等と呼ばれ得る。
[00114]概して、クラスタツールは、複数のチャンバを備えたモジュールシステムであり、基板の中心検出及び配向、ガス抜き、アニール、堆積、並びに/或いはエッチングを含む様々な機能を実行する。1つ又は複数の実施形態では、クラスタツールは、少なくとも第1のチャンバ及び中央移送チャンバを含む。中央移送チャンバは、複数の処理チャンバ及び複数のロードロックチャンバの間で基板を往復搬送し得るロボットを収容することができる。移送チャンバは、典型的に、真空条件で維持され、且つ、基板を、あるチャンバから、別のチャンバ及び/又はクラスタツールの前端部に位置付けられたロードロックチャンバへ往復搬送するための中間段階を設ける。本開示に適合され得る2つの周知のクラスタツールは、Centura(登録商標)及びEndura(登録商標)であり、両方とも、カリフォルニア州サンタクララのApplied Materials, Inc.から入手可能である。しかし、本明細書で説明されているプロセスの特定のステップを実行するためにチャンバの正確な配設及び組み合せを変更してもよい。使用可能な他の処理チャンバは、限定されないが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及びその他の基板処理を含む。クラスタツール上でチャンバ内の処理を実施することにより、後続膜を堆積する前に、酸化を伴わずに、空気中の不純物による基板の表面汚染を回避することができる。
[00115]1つ又は複数の実施形態では、基板は、連続的に真空又は「ロードロック」条件下にあり、あるチャンバから次のチャンバへ移動するときに周囲空気に曝露されない。したがって、移送チャンバは、真空下にあり、真空圧力下で「ポンプダウン」される。処理チャンバ又は移送チャンバ内に不活性ガスが存在し得る。幾つかの実施形態では、基板の表面上に層を形成した後に、反応物の一部又は全部を除去するために、パージガスとして不活性ガスが使用される。1つ又は複数の実施形態によれば、パージガスが堆積チャンバの出口で注入され、それにより、反応物質が堆積チャンバから移送チャンバ及び/又は追加の処理チャンバに移動することが防止される。したがって、不活性ガスの流れがチャンバの出口でカーテンを形成する。
[00116]処理の間、基板を加熱又は冷却することができる。このような加熱又は冷却は、限定されないが、基板支持体(例えばサセプタ)の温度を変化させることと、加熱又は冷却されたガスを基板表面に流すこととを含む任意の適切な手段によって達成することができる。幾つかの実施形態では、基板支持体は、基板温度を導電的に変化させるよう制御することができるヒータ/クーラを含む。1つ又は複数の実施形態では、基板温度を局所的に変化させるため、使用するガス(反応性ガス又は不活性ガス)が加熱又は冷却される。幾つかの実施形態では、基板温度を対流によって変化させるため、ヒータ/クーラは、チャンバ内部で基板表面に隣接するように配置される。
[00117]さらに、処理の間、基板を静止又は回転させてもよい。回転基板は、連続的に又は不連続なステップで、回転し得る。例えば、処理全体を通して基板を回転させてもよく、又は、様々な反応性ガス又はパージガスへの曝露の合間に基板を少しずつ回転させてもよい。処理中に基板を(連続的に又は段階的に)回転させることは、例えば、ガス流形状における局所的可変性の影響を最小限に抑え、それにより、より均一な堆積又はエッチングの生成に役立ち得る。
[00118]以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及びさらなる実施形態を考案してもよい。本開示の範囲は、下記の特許請求の範囲によって決定される。

Claims (15)

  1. 膜を堆積する方法であって、
    表面を有するウエハを反応チャンバ内に位置決めすることと、
    前記ウエハを反応チャンバの内部で所定温度まで加熱することと、
    前記ウエハの少なくとも一部を所定の時間、ケイ素前駆体に曝露して、前記ウエハ上にシリコン層を形成することであって、前記ケイ素前駆体が、一般式RSi:NYを有する化合物を含み、各Rが、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルコキシ基;並びにC〜C10アリール基から独立して選択され、各Yが、独立して、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルキルシリル基;並びに/或いはC〜C10アリール基である、形成することと、
    前記ウエハ上の前記シリコン層と反応するように前記ウエハの少なくとも一部を酸素プラズマ及び/又は酸素源ガスに曝露して、酸化ケイ素膜を形成することと
    を含む方法。
  2. 前記ウエハの前記所定温度が約50℃から約1000℃の範囲内である、請求項1に記載の方法。
  3. 前記ケイ素前駆体が、式MeSi:Lを有する化合物であり、式中、Meはメチル基であり、Lは1級又は2級アミンであり、前記ウエハの前記所定温度が、約400℃から約700℃の範囲内である、請求項1に記載の方法。
  4. 前記ケイ素前駆体が、式XSi:Lを有する化合物であり、式中、Xは、Cl、Br、I、及びそれらの組み合わせからなる群から選択されたハロゲンであり、Lは1級又は2級アミンであり、前記ウエハの前記所定温度が、約400℃から約700℃の範囲内である、請求項3に記載の方法。
  5. 前記ケイ素前駆体を約20℃から約200℃の範囲内の温度に加熱して、前記ケイ素前駆体の連続的な流れを蒸気として前記反応チャンバに供給することをさらに含む、請求項4に記載の方法。
  6. 前記反応チャンバが複数の処理領域を備えており、前記複数の処理領域のそれぞれがガスカーテンによって隣接する処理領域から分離されており、前記ケイ素前駆体並びに前記酸素プラズマ及び/又は酸素源ガスが、別々の処理領域内に流される、請求項1から5のいずれか一項に記載の方法。
  7. 前記ウエハの表面が、約10:1から約100:1の範囲内のアスペクト比を有する1つ又は複数のデバイスフィーチャを含み、前記ケイ素前駆体が、前記1つ又は複数のデバイスフィーチャ上に共形層を形成する、請求項1から5のいずれか一項に記載の方法。
  8. SiO膜をウエハ上に堆積する方法であって、
    ウエハ表面をケイ素前駆体に曝露することであって、前記ケイ素前駆体が、RSi:NYを含み、各Rが、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルコキシ基;並びに/或いはC〜C10アリール基から独立して選択され、各Yが、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルキルシリル基;並びに/或いはC〜C10アリール基から独立して選択されており、前記ケイ素前駆体の少なくとも一部が前記ウエハ表面上に吸着される、曝露することと、
    吸着された前記ケイ素前駆体が前記ウエハ表面上で分解するように前記ウエハ表面を約450℃から約650℃の範囲内の温度に加熱し、単層又は準単層のケイ素膜を形成することと、
    前記単層又は準単層のケイ素膜及びウエハ表面を酸素源に曝露することであって、前記酸素源が前記単層又は準単層のケイ素膜と反応して、単層又は準単層のSiO膜を形成する、曝露することと
    を含む方法。
  9. 前記ウエハ表面をケイ素前駆体に曝露することが、前記ケイ素前駆体をシャワーヘッドを通して通過させることと、前記ウエハを前記シャワーヘッドの下方を通過させることとを含む、請求項8に記載の方法。
  10. 前記ウエハがシリコンウエハであり、前記ウエハ表面が、前記シャワーヘッドの下方を通過することによって、所定の時間、前記ケイ素前駆体に曝露されるように、前記シリコンウエハが回転するサセプタによって支持される、請求項9に記載の方法。
  11. 前記酸素源が、酸素プラズマ及び/又はオゾン含有ガスである、請求項8に記載の方法。
  12. 前記ウエハ表面が、約10:1から約100:1の範囲内のアスペクト比を有する1つ又は複数のデバイスフィーチャを含んでおり、前記ケイ素前駆体が、前記1つ又は複数のデバイスフィーチャ上に共形層を形成する、請求項8に記載の方法。
  13. 前記デバイスフィーチャ上に共形に形成された前記単層又は準単層のSiO膜が、炭素と窒素の汚染物を実質的に含まない、請求項8から12のいずれか一項に記載の方法。
  14. ALDによって、非常に安定したSiO膜をシリコンウエハ上に形成する方法であって、
    少なくとも1つのシリコンウエハを反応チャンバの内部のサセプタ内に配置することと、
    前記少なくとも1つのシリコンウエハを約450℃から約650℃の範囲内の温度まで加熱することと、
    シャワーヘッドを通してケイ素前駆体の連続的な流れを前記反応チャンバ内に導入することであって、前記ケイ素前駆体が、RSi:NYを含み、各Rが、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルコキシ基;並びに/或いはC〜C10アリール基から独立して選択され、各Yが、水素;Cl、Br、及びIからなる群から選択されたハロゲン化物;直鎖又は分岐鎖C〜C10アルキル基;直鎖又は分岐鎖C〜C10アルキルシリル基;並びに/或いはC〜C10アリール基から独立して選択される、導入することと、
    酸素プラズマ及び/又は酸素源ガスを前記反応チャンバの少なくとも1つの処理領域内に供給することと、
    前記少なくとも1つのシリコンウエハが前記シャワーヘッドの下方を通過するように前記サセプタを回転させることであって、前記ケイ素前駆体の少なくとも一部が前記シリコンウエハの表面上に吸着され、前記酸素プラズマ及び/又は酸素源ガスが、吸着された前記ケイ素前駆体と反応して、SiO膜を形成する、回転させることと
    を含む、方法。
  15. UV硬化、熱アニール、ポスト蒸気アニール、及び/又はプラズマ処理のうちの1つ又は複数を含む堆積後処理で前記SiO膜を続けて処理することをさらに含む、請求項14に記載の方法。
JP2017517714A 2014-10-03 2015-10-02 高温酸化ケイ素原子層堆積技術 Active JP6661625B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462059615P 2014-10-03 2014-10-03
US62/059,615 2014-10-03
US14/872,775 2015-10-01
US14/872,775 US9875888B2 (en) 2014-10-03 2015-10-01 High temperature silicon oxide atomic layer deposition technology
PCT/US2015/053763 WO2016054531A1 (en) 2014-10-03 2015-10-02 High temperature silicon oxide atomic layer deposition technology

Publications (2)

Publication Number Publication Date
JP2017531920A true JP2017531920A (ja) 2017-10-26
JP6661625B2 JP6661625B2 (ja) 2020-03-11

Family

ID=55631619

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017517714A Active JP6661625B2 (ja) 2014-10-03 2015-10-02 高温酸化ケイ素原子層堆積技術

Country Status (4)

Country Link
US (2) US9875888B2 (ja)
JP (1) JP6661625B2 (ja)
KR (1) KR102490992B1 (ja)
WO (1) WO2016054531A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017521865A (ja) * 2014-07-15 2017-08-03 ユ−ジーン テクノロジー カンパニー.リミテッド 高縦横比を有する凹部の上に絶縁膜を蒸着する方法
JP2018187789A (ja) * 2017-04-28 2018-11-29 キヤノン株式会社 積層体の製造方法および液体吐出ヘッドの製造方法
JP2022166141A (ja) * 2020-09-24 2022-11-01 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
WO2018052476A1 (en) 2016-09-14 2018-03-22 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
KR101896777B1 (ko) 2016-10-06 2018-09-07 현대자동차주식회사 내습성이 향상된 배리어 필름의 제조방법 및 이에 의해 제조된 배리어 필름
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
CN110612596B (zh) * 2017-04-13 2023-08-15 应用材料公司 用于沉积低介电常数膜的方法与设备
US10600648B2 (en) 2017-04-20 2020-03-24 Lam Research Corporation Silicon-based deposition for semiconductor processing
US10354923B2 (en) * 2017-05-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for atomic layer deposition of a dielectric over a substrate
US11990332B2 (en) * 2017-08-08 2024-05-21 Applied Materials, Inc. Methods and apparatus for deposition of low-k films
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10978302B2 (en) 2017-11-29 2021-04-13 Lam Research Corporation Method of improving deposition induced CD imbalance using spatially selective ashing of carbon based film
US10431695B2 (en) 2017-12-20 2019-10-01 Micron Technology, Inc. Transistors comprising at lease one of GaP, GaN, and GaAs
US10825816B2 (en) 2017-12-28 2020-11-03 Micron Technology, Inc. Recessed access devices and DRAM constructions
US10319586B1 (en) * 2018-01-02 2019-06-11 Micron Technology, Inc. Methods comprising an atomic layer deposition sequence
US10734527B2 (en) 2018-02-06 2020-08-04 Micron Technology, Inc. Transistors comprising a pair of source/drain regions having a channel there-between
CN110520964A (zh) * 2018-03-01 2019-11-29 朗姆研究公司 用于半导体处理的基于硅的沉积
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
KR20220166339A (ko) * 2020-04-10 2022-12-16 램 리써치 코포레이션 원자 층 증착 (atomic layer deposition) 동안의 손실 방지
US11658026B2 (en) 2020-10-23 2023-05-23 Applied Materials, Inc. Conformal silicon oxide film deposition
JP2022109090A (ja) * 2021-01-14 2022-07-27 東京エレクトロン株式会社 成膜方法及び成膜システム
TW202400826A (zh) * 2021-12-20 2024-01-01 美商蘭姆研究公司 使用胺基矽烷及氯矽烷前驅物的保形矽氧化物沉積
US20230416909A1 (en) * 2022-06-27 2023-12-28 Applied Materials, Inc. Method for formation of conformal ald sio2 films

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007247066A (ja) * 2006-03-15 2007-09-27 Asm Japan Kk 回転サセプタを備える半導体処理装置
JP2010103484A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP2010239102A (ja) * 2008-06-27 2010-10-21 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
WO2012090738A1 (ja) * 2010-12-27 2012-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2013100262A (ja) * 2011-09-27 2013-05-23 Air Products & Chemicals Inc ハロゲン化オルガノアミノシラン前駆体及びそれを含む膜の堆積方法
JP2013155173A (ja) * 2012-01-27 2013-08-15 Air Products & Chemicals Inc アルコキシアミノシラン化合物およびその用途
JP2013236073A (ja) * 2012-04-12 2013-11-21 Air Products & Chemicals Inc 酸化ケイ素薄膜の高温原子層堆積
WO2014015232A1 (en) * 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003166060A (ja) 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
US6809212B2 (en) 2002-06-12 2004-10-26 Praxair Technology, Inc. Method for producing organometallic compounds
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8889235B2 (en) 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
JP2013509414A (ja) 2009-10-28 2013-03-14 ダウ コーニング コーポレーション ポリシラン−ポリシラザン共重合体、並びに、それらの調製及び使用方法
US8242029B2 (en) 2009-11-23 2012-08-14 Asm International N.V. Method for forming a silicon dioxide/metal oxide-nanolaminate with a desired wet etch rate
US9685320B2 (en) * 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8460753B2 (en) 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
WO2014073892A1 (ko) 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘-함유 박막의 제조 방법
US8828866B1 (en) * 2013-06-26 2014-09-09 Applied Materials, Inc. Methods for depositing a tantalum silicon nitride film

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007247066A (ja) * 2006-03-15 2007-09-27 Asm Japan Kk 回転サセプタを備える半導体処理装置
JP2010239102A (ja) * 2008-06-27 2010-10-21 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP2010103484A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
WO2012090738A1 (ja) * 2010-12-27 2012-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2013100262A (ja) * 2011-09-27 2013-05-23 Air Products & Chemicals Inc ハロゲン化オルガノアミノシラン前駆体及びそれを含む膜の堆積方法
JP2013155173A (ja) * 2012-01-27 2013-08-15 Air Products & Chemicals Inc アルコキシアミノシラン化合物およびその用途
JP2013236073A (ja) * 2012-04-12 2013-11-21 Air Products & Chemicals Inc 酸化ケイ素薄膜の高温原子層堆積
WO2014015232A1 (en) * 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017521865A (ja) * 2014-07-15 2017-08-03 ユ−ジーン テクノロジー カンパニー.リミテッド 高縦横比を有する凹部の上に絶縁膜を蒸着する方法
JP2018187789A (ja) * 2017-04-28 2018-11-29 キヤノン株式会社 積層体の製造方法および液体吐出ヘッドの製造方法
JP2022166141A (ja) * 2020-09-24 2022-11-01 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7357733B2 (ja) 2020-09-24 2023-10-06 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
US10170298B2 (en) 2019-01-01
JP6661625B2 (ja) 2020-03-11
WO2016054531A1 (en) 2016-04-07
KR20170069239A (ko) 2017-06-20
KR102490992B1 (ko) 2023-01-19
US20160099143A1 (en) 2016-04-07
US9875888B2 (en) 2018-01-23
US20180076023A1 (en) 2018-03-15

Similar Documents

Publication Publication Date Title
US10170298B2 (en) High temperature silicon oxide atomic layer deposition technology
CN111247269B (zh) 介电膜的几何选择性沉积
KR102443554B1 (ko) 실리콘 옥사이드를 증착하기 위한 방법들
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
KR20210013633A (ko) 서브-포화된 원자층 증착 및 등각막 증착
US20170114465A1 (en) Methods Of Depositing Flowable Films Comprising SiO and SiN
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
JP2018533218A (ja) 表面毒化処理によるボトムアップ式間隙充填
JP2013521650A (ja) ラジカル成分cvdによる共形層
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
JP6968701B2 (ja) 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法
WO2013012536A2 (en) Surface treatment and deposition for reduced outgassing
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
KR102415780B1 (ko) Pe-ald에 의한 유기 공동-반응물과 함께 규소 전구체를 사용한 규소-기반 필름에의 탄소 및/또는 질소 합체
JP7433437B2 (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
KR20170125742A (ko) C, O 및 N 조성이 조절된 SiCON을 증착시키는 방법
US11107674B2 (en) Methods for depositing silicon nitride
TW201615879A (zh) 高溫二氧化矽原子層沉積技術
US11978625B2 (en) Methods of forming metal nitride films
CN118103548A (zh) 形成金属氮化物膜的方法
TW202403079A (zh) 含金屬膜的沉積及腔室清潔
TW202244313A (zh) 具有氣體分佈及個別泵送的批次固化腔室

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180828

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190806

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191106

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200114

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200212

R150 Certificate of patent or registration of utility model

Ref document number: 6661625

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250