JP2013236073A - 酸化ケイ素薄膜の高温原子層堆積 - Google Patents

酸化ケイ素薄膜の高温原子層堆積 Download PDF

Info

Publication number
JP2013236073A
JP2013236073A JP2013083698A JP2013083698A JP2013236073A JP 2013236073 A JP2013236073 A JP 2013236073A JP 2013083698 A JP2013083698 A JP 2013083698A JP 2013083698 A JP2013083698 A JP 2013083698A JP 2013236073 A JP2013236073 A JP 2013236073A
Authority
JP
Japan
Prior art keywords
group
bis
reactor
iso
tert
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013083698A
Other languages
English (en)
Inventor
Chandra Hairpin
チャンドラ ハリピン
Meiliang Wang
メイリャン ワン
Manchao Xiao
シャオ マンチャオ
Xinjian Lei
レイ シンジャン
Ronald Martin Pearlstein
マーティン パールステイン ロナルド
O'neal Leonard Marc
レオナルド オニール マーク
Bing Han
ビン ハン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2013236073A publication Critical patent/JP2013236073A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

【課題】500℃超の温度による酸化ケイ素の原子層堆積プロセス形成を開示する。
【解決手段】使用されるケイ素前駆体は、R12 mSi(NR34np(R1、R2及びR3は水素、直鎖又は分岐鎖のC1〜C10アルキル基又はC6〜C10アリール基、R4は直鎖又は分岐鎖のC1〜C10アルキル基、C6〜C10アリール基又はC3〜C10アルキルシリル基、R3とR4は連結するか又は連結せず、XはCl、Br又はI、mは0〜3、nは0〜2、pは0〜2、m+n+p=3)又はR12 mSi(OR3n(OR4qp(R1及びR2は水素、直鎖又は分岐鎖のC1〜C10アルキル基又はC6〜C10アリール基、R3及びR4は直鎖又は分岐鎖のC1〜C10アルキル基又はC6〜C10アリール基、R3とR4は連結するか又は連結せず、XはCl、Br又はI、mは0〜3、nは0〜2、qは0〜2、pは0〜2、m+n+q+p=3)の式を有する。
【選択図】図1

Description

[関連出願の相互参照]
この特許出願は、2012年4月12日付で出願された米国仮特許出願第61/623,217号の優先権の利益を主張する。
酸化ケイ素膜の形成のための組成物と方法を本明細書中に記載している。より詳しく述べると、約500℃以上の1又は複数の堆積温度における原子層堆積(ALD)プロセスを使用した酸化ケイ素膜の形成のための組成物と方法を本明細書中に記載している。
熱酸化は、半導体用途の二酸化ケイ素などの高純度且つ高度に共形の酸化ケイ素膜(SiO2)を堆積させるのに一般的に使用されるプロセスである。しかしながら、熱酸化プロセスは、非常に遅い堆積速度、例えば、700℃にて0.03Å/sであり、大量の製造プロセスでそれを非実用的なものにしている(例えば、Wolf, S., "Silicon Processing for the VLSI Era Vol. 1 - Process Technology", Lattice Press, CA, 1986を参照のこと)。
原子層堆積(ALD)及びプラズマ強化原子層堆積(PEALD)は、低温(<500℃)にて二酸化ケイ素(SiO2)の共形膜を堆積させるのに使用されるプロセスである。ALDプロセスとPEALDプロセスでは共に、前駆体と反応性気体(例えば、酸素又はオゾンなど)が、特定のサイクル数で別々に律動的に送られて、サイクルごとに二酸化ケイ素(SiO2)の単分子層を形成する。しかしながら、これらのプロセスを使用して低温で堆積する二酸化ケイ素(SiO2)は、半導体用途に有害であるレベルの不純物、例えば、炭素(C)、窒素(N)、又はその両方などを含むこともある。これを解消するためには、1つの解決法は、例えば、500℃以上に堆積温度を上げることである。しかしながら、これらのより高い温度では、半導体産業で用いられてきた従来の前駆体は、自己反応する傾向があって、熱分解し、そしてALD様式よりむしろCVD様式で堆積する。CVD様式の堆積は、特に半導体用途における高アスペクト比構造でALD堆積と比較して、共形性が低下する。加えて、CVD様式の堆積は、ALD様式の堆積よりも膜又は物質の厚さが制御しにくい。
特開2010−275602号公報及び特開2010−225663号公報には、300〜500℃の温度領域にて化学気相成長(CVD)プロセスによるSi含有薄膜を形成するための原料、例えば、酸化ケイ素などの使用が開示されている。原料は、以下の式で表された有機シリコン化合物である:(a)HSi(CH3)(R1)(NR23)(式中、R1は、NR45又は1C‐5Cアルキル基を表し;R2及びR4は、それぞれ1C‐5Cアルキル基又は水素原子を表し;そしてR3及びR5は、それぞれ1C‐5Cアルキル基を表す);あるいは、(b)HSi(CH3)(R1)(NR34)(式中、R1及びR3は、独立に1〜4個の炭素原子、又は1個の水素原子を持つアルキル基を表し;そしてR2及びR4は、独立に1〜4個の炭素原子を持つアルキル基を表す)。その有機シリコン化合物は、H‐Si結合を含んでいる。
米国特許第7,084,076号には、二酸化ケイ素を形成するための500℃未満のALD堆積のための触媒としてのピリジンと併せて使用される、例えば、ヘキサクロロジシロキサン(HCDSO)などのハロゲン化シロキサンが開示されている。
米国特許第6,992,019号には、関連するパージ方法及びシーケンスと一緒に、少なくとも2つのケイ素原子を持つケイ素化合物から成る第1の反応物成分を使用するか、又は触媒成分として第三級脂肪族アミンを使用するか、あるいは、その組み合わせてその両方を使用することによって、半導体基材上に優れた特性を有する二酸化ケイ素層を形成するための触媒補助原子層堆積(ALD)が開示されている。使用される前駆体は、ヘキサクロロジシランである。堆積温度は、25〜150℃である。
よって、熱に基づく堆積プロセスを置き換えるために、原子層堆積(ALD)プロセス又はALD型プロセス、例えば、これだけに限定されるものではないが、サイクリック化学気相成長プロセスを使用した高品質の、不純物の少ない、高度に共形的な酸化ケイ素膜を形成するためのプロセスを開発する必要がある。さらに、ALD又はALD型プロセスで、1又は複数の膜特性、例えば、純度及び/又は密度など、を改善するために、高温堆積(例えば、500℃の1又は複数の温度での堆積)を開発することが望まれる場合もある。
原子層堆積(ALD)又はALD型プロセスにおいて、高温にて、例えば、500℃以上の1又は複数の温度にて、酸化ケイ素材料又は膜の堆積のためのプロセスを、本明細書中に記載している。
1つの実施形態では、
a.基材を反応器内に用意する工程、
b.少なくとも1つのケイ素前駆体を前記反応器内に導入する工程、
c.前記反応器をパージガスでパージする工程、
d.酸素源を前記反応器内に導入する工程、及び
e.前記反応器をパージガスでパージする工程
を含み、所望の厚さの酸化ケイ素が堆積するまで工程bから工程eが繰り返され、500〜800℃の1又は複数の温度及び50ミリトール(mT)〜760トールの1又は複数の圧力で実施される、酸化ケイ素を堆積させる方法が提供される。
別の実施形態では、
a.基材を反応器内に用意する工程、
b.少なくとも1つのケイ素前駆体を前記反応器内に導入する工程、
c.前記反応器をパージガスでパージする工程、
d.酸素源を前記反応器内に導入する工程、
e.前記反応器をパージガスでパージする工程、
f.水蒸気又はヒドロキシル源を前記反応器内に導入する工程、及び
g.前記反応器をパージガスでパージする工程
を含み、所望の厚さの酸化ケイ素が堆積するまで工程bから工程gが繰り返され、500〜800℃の1又は複数の温度及び50ミリトール(mT)〜760トールの1又は複数の圧力で実施される、酸化ケイ素を堆積させる方法が提供される。この又は他の実施形態では、酸素源は、酸素、酸素プラズマ、水蒸気、水蒸気プラズマ、過酸化水素、酸化窒素、及びオゾンからなる群より選択される。
本明細書で記載される少なくとも1つのケイ素前駆体は、
I.R12 mSi(NR34np
(式中、R1、R2及びR3は、それぞれ独立に、水素、直鎖又は分岐鎖のC1〜C10アルキル基、及びC6〜C10アリール基から選択され、R4は、直鎖又は分岐鎖のC1〜C10アルキル基、C6〜C10アリール基、及びC3〜C10アルキルシリル基から選択され、ここで、R3とR4は環式環構造を形成するために連結するか又はR3とR4は環式環構造を形成するために連結せず、XはCl、Br及びIからなる群より選択されるハライドであり、mは0〜3であり、nは0〜2であり、pは0〜2であり、m+n+p=3である)並びに
II.R12 mSi(OR3n(OR4qp
(式中、R1及びR2は、それぞれ独立に、水素、直鎖又は分岐鎖のC1〜C10アルキル基、及びC6〜C10アリール基から選択され、R3及びR4は、それぞれ独立に、直鎖又は分岐鎖のC1〜C10アルキル基、及びC6〜C10アリール基から選択され、ここで、R3とR4は環式環構造を形成するために連結するか又はR3とR4は環式環構造を形成するために連結せず、XはCl、Br及びIからなる群より選択されるハライド原子であり、mは0〜3であり、nは0〜2であり、pは0〜2であり、m+n+p=3である)
からなる群より選択される。
先に記載した1又は複数の実施形態では、パージガスは、窒素、ヘリウム、及びアルゴンからなる群より選択される。
先に記載した1又は複数の実施形態では、酸素源は、酸素、酸素プラズマ、水蒸気、水蒸気プラズマ、過酸化水素、亜酸化窒素、及びオゾン、並びにそれらの組み合わせからなる群より選択される。
温度関数としてジメチルアミノトリメチルシラン(DMATMS)及びジエチルアミノトリメチルシラン(DEAMTS)の堆積速度を示し、そしてそれは、両前駆体が最高650℃のALDウィンドウを有することができることを示唆している。 2,6‐ジメチルピペリジノトリメチルシランのマススペクトルを提供する。 DMATMS対熱酸化物に関する650℃にて堆積させたSiO2膜の電流‐電界を提供する。
原子層堆積(ALD)又はALD型プロセス、例えば、これだけに限定されるものではないが、サイクリック化学気相成長プロセス(CCVD)などにおいて、500℃以上の1又は複数の温度を用いた、酸化ケイ素含有膜、例えば、オキシ窒化ケイ素膜、化学量論的又は非化学量論的酸化ケイ素膜、酸化ケイ素膜、又はその組み合わせなどの形成に関連する組成物及びプロセスを本明細書中に記載している。
先行技術における典型的なALDは、25〜500℃のプロセス温度にてSiO2を形成するために、酸素源、又は酸化剤、例えば、酸素、酸素プラズマ、水蒸気、水蒸気プラズマ、過酸化水素、又はオゾン源などをそのまま使用する。堆積工程は、
a.基材を反応器内に用意する工程、
b.ケイ素前駆体を前記反応器内に導入する工程、
c.前記反応器をパージガスでパージする工程、
d.酸素源を前記反応器内に導入する工程、及び
e.前記反応器をパージガスでパージする工程
を含む。従来技術の方法では、所望の膜厚が堆積するまで工程bから工程eが繰り返される。
500℃を超える高温プロセスは、膜の純度及び密度に関して良好な膜品質を得ることができる。ALDプロセスは、良好な膜ステップ被覆率を提供する。しかしながら、ALD又はPEALDで使用される典型的な有機ケイ素前駆体は、特定の温度範囲内のALD様式においてのみ膜に堆積する。温度がこの範囲より高いと、所望のALD様式よりむしろCVB様式に堆積プロセスを変更させる、気相反応又は連続した基材表面反応のどちらかを起こす前駆体の熱分解が起こる。
理論に縛られることなく、500℃超の1又は複数の温度におけるALD又はALD型の堆積プロセスに関して、本明細書で記載されるケイ素前駆体分子は、少なくとも1つの固着官能基を有していなければならず、そしてそれが、基材表面上の特定の反応点と反応して、シリコン種の単分子層を固着する。固着官能基は、ハライド(Cl、Br、I)基、アミノ基、又はアルコキシ群、好ましくは、例えば、ジメチルアミノ又はジエチルアミノ基などのアミノ基、から選択できる。ケイ素前駆体はまた、それが更なる表面反応を防ぐくらい化学的に安定であり、そしてそれが、自己制限的プロセスにつながる不動態の官能基も持たなければならない。不動態化官能基は、例えば、メチル、エチル、フェニル基、好ましくはメチル基などの様々なアルキル基から選択される。次いで、表面上に残った基は、酸化されて、Si‐O‐Si結合、並びにヒドロキシル基を形成することができる。加えて、例えば、H2O又は水プラズマなどのヒドロキシル源もまた、以下のスキーム1で明示されるように、次のALDサイクルのための反応点としてより多くのヒドロキシル基を形成するために反応器内に導入される。
1つの実施形態では、本明細書で記載される少なくとも1つのケイ素前駆体は、以下の式I
I.R12 mSi(NR34np
(式中、R1、R2及びR3は、それぞれ独立に、水素、直鎖又は分岐鎖のC1〜C10アルキル基、及びC6〜C10アリール基から選択され、R4は、直鎖又は分岐鎖のC1〜C10アルキル基、C6〜C10アリール基、及びC3〜C10アルキルシリル基から選択され、ここで、R3とR4は環式環構造を形成するために連結するか又はR3とR4は環式環構造を形成するために連結せず、XはCl、Br及びIからなる群より選択されるハライドであり、mは0〜3であり、nは0〜2であり、pは0〜2であり、m+n+p=3である)を有する化合物である。式Iを有する前駆体の例としては、これだけに限定されるものではないが、以下のものが挙げられる:ジエチルアミノトリメチルシラン、ジメチルアミノトリメチルシラン、エチルメチルアミノトリメチルシラン、ジエチルアミノトリエチルシラン、ジメチルアミノトリエチルシラン、エチルメチルアミノトリエチルシラン、t‐ブチルアミノトリエチルシラン、イソ‐プロピルアミノトリエチルシラン、ジイソプロピルアミノトリエチルシラン、ピロリジノトリエチルシラン、t‐ブチルアミノトリメチルシラン、イソ‐プロピルアミノトリメチルシラン、ジ‐イソプロピルアミノトリメチルシラン、ピロリジノトリメチルシラン、ジエチルアミノジメチルシラン、ジメチルアミノジメチルシラン、エチルメチルアミノジメチルシラン、t‐ブチルアミノジメチルシラン、イソ‐プロピルアミノジメチルシラン、ジイソプロピルアミノジメチルシラン、ピロリジノジメチルシラン、ジエチルアミノジエチルシラン、ジメチルアミノジエチルシラン、エチルメチルアミノジエチルシラン、t‐ブチルアミノジエチルシラン、イソ‐プロピルアミノジエチルシラン、ジ‐イソプロピルアミノジエチルシラン、ピロリジノジエチルシラン、ビス(ジエチルアミノ)ジメチルシラン、ビス(ジメチルアミノ)ジメチルシラン、ビス(エチルメチルアミノ)ジメチルシラン、ビス(ジ‐イソプロピルアミノ)ジメチルシラン、ビス(イソ‐プロピルアミノ)ジメチルシラン、ビス(tert‐ブチルアミノ)ジメチルシラン、ジピロリジノジメチルシラン、ビス(ジエチルアミノ)ジエチルシラン、ビス(ジメチルアミノ)ジエチルシラン、ビス(エチルメチルアミノ)ジエチルシラン、ビス(ジイソプロピルアミノ)ジエチルシラン、ビス(イソ‐プロピルアミノ)ジエチルシラン、ビス(tert‐ブチルアミノ)ジエチルシラン、ジピロリジノジエチルシラン、ビス(ジエチルアミノ)メチルビニルシラン、ビス(ジメチルアミノ)メチルビニルシラン、ビス(ジメチルアミノ)メチルビニルシラン、ビス(ジ‐イソプロピルアミノ)メチルビニルシラン、ビス(イソ‐プロピルアミノ)メチルビニルシラン、ビス(tert‐ブチルアミノ)メチルビニルシラン、ジピロリジノメチルビニルシラン、2,6‐ジメチルピペリジノメチルシラン、2,6‐ジメチルピペリジノジメチルシラン、2,6‐ジメチルピペリジノトリメチルシラン、トリス(ジメチルアミノ)フェニルシラン、トリス(ジメチルアミノ)メチルシラン、トリス(ジメチルアミノ)エチルシラン、及びトリス(ジメチルアミノ)クロロシラン。
グループIのケイ素前駆体(式IのR4が、C3〜C10アルキルシリル基である。)の更なる例としては、これだけに限定されるものではないが、以下のものが挙げられる:1,1,1,3,3,3‐ヘキサメチルジシラザン、1,1,1,3,3,3‐ヘキサエチルジシラザン、1,1,3,3‐テトラメチルジシラザン、1,1,3,3‐テトラエチルジシラザン、1,1,1,2,3,3,3‐ヘプタメチルジシラザン、1,1,1,3,3,3‐ヘキサエチル‐2‐メチルジシラザン、1,1,2,3,3‐ペンタメチルジシラザン、1,1,3,3‐テトラエチル‐2‐メチルジシラザン、1,1,1,3,3,3‐ヘキサメチル‐2‐エチルジシラザン、1,1,1,2,3,3,3‐ヘプタエチルジシラザン、1,1,3,3‐テトラメチル‐2‐エチルジシラザン、1,1,2,3,3‐ペンタエチルジシラザン、1,1,1,3,3,3‐ヘキサメチル‐2‐イソプロピルジシラザン、1,1,1,3,3,3‐ヘキサエチル‐2‐イソプロピルジシラザン、1,1,3,3‐テトラメチル‐2‐イソプロピルジシラザン、及び1,1,3,3‐テトラエチル‐2‐イソプロピルジシラザン。
さらなる実施形態では、本明細書で記載される少なくとも1つのケイ素前駆体は、以下の式II
II.R12 mSi(OR3n(OR4qp
(式中、R1及びR2は、それぞれ独立に、水素、直鎖又は分岐鎖のC1〜C10アルキル基、及びC6〜C10アリール基から選択され、R3及びR4は、それぞれ独立に、直鎖又は分岐鎖のC1〜C10アルキル基、及びC6〜C10アリール基から選択され、ここで、R3とR4は環式環構造を形成するために連結するか又はR3とR4は環式環構造を形成するために連結せず、XはCl、Br及びIからなる群より選択されるハライド原子であり、mは0〜3であり、nは0〜2であり、qは0〜2であり、pは0〜2であり、m+n+q+p=3である)を有する化合物である。このようなグループIIのケイ素前駆体の例としては、これだけに限定されるものではないが、以下のものが挙げられる:メトキシトリメチルシラン、エトキシトリメチルシラン、イソ‐プロポキシトリメチルシラン、tert‐ブトキシトリメチルシラン、tert‐ペントキシトリメチルシラン、フェノキシトリメチルシラン、アセトキシトリメチルシラン、メトキシトリエチルシラン、エトキシトリエチルシラン、イソ‐プロポキシトリエチルシラン、tert‐ブトキシトリエチルシラン、tert‐ペントキシトリエチルシラン、フェノキシトリエチルシラン、アセトキシトリエチルシラン、メトキシジメチルシラン、エトキシジメチルシラン、イソ‐プロポキシジメチルシラン、tert‐ブトキシジメチルシラン、tert‐ペントキシジメチルシラン、フェノキシジメチルシラン、アセトキシジメチルシラン、メトキシジメチルフェニルシラン、エトキシジメチルフェニルシラン、イソ‐プロポキシジメチルフェニルシラン、tert‐ブトキシジメチルフェニルシラン、tert‐ペントキシジメチルフェニルシラン、フェノキシジメチルフェニルシラン、アセトキシジメチルフェニルシラン、ジメトキシジメチルシラン、ジエトキシジメチルシラン、ジ‐イソプロポキシジメチルシラン、ジ‐t‐ブトキシジメチルシラン、ジアセトキシジメチルシラン、ジメトキシジエチルシラン、ジエトキシジエチルシラン、ジ‐イソプロポキシジエチルシラン、ジ‐t‐ブトキシジエチルシラン、ジアセトキシジエチルシラン、ジメトキシジ‐イソプロピルシラン、ジエトキシジ‐イソプロピルシラン、ジ‐イソプロポキシジ‐イソプロピルシラン、ジ‐t‐ブトキシジ‐イソプロピルシラン、ジアセトキシジ‐イソプロピルシラン、ジメトキシメチルビニルシラン、ジエトキシメチルビニルシラン、ジ‐イソプロポキシメチルビニルシラン、ジ‐t‐ブトキシメチルビニルシラン、ジアセトキシメチルビニルシラン、1,1,3,4‐テトラメチル‐1‐シラ‐2,5‐ジオキサシクロペンタン、及び1,1,3,3,4,4‐ヘキサメチル‐1‐シラ‐2,5‐ジオキサシクロペンタン。
先の式において、また明細書を通じて、用語「アルキル」は、1〜10、3〜10、又は1〜6個の炭素原子を有する直鎖又は分岐鎖の官能基を意味する。典型的な直鎖アルキル基としては、これだけに限定されるものではないが、メチル、エチル、プロピル、ブチル、ペンチル及びヘキシル基が挙げられる。特定の実施形態において、アルキル基は、自身に結合している1つ以上の官能基、例えば限定されないが、アルコキシ基、ジアルキルアミノ基又はこれらの組み合わせを有する場合がある。他の実施形態において、アルキル基は、自身に結合する1つ以上の官能基を有さない。アルキル基は、飽和している場合が有り、又は不飽和の場合がある。
先の式において、また明細書を通じて、用語「アリール」は、3〜10個の炭素原子、5〜10個の炭素原子、又は6〜10個の炭素原子を有する芳香族の環状官能基を意味する。典型的なアリール基としては、これだけに限定されるものではないが、フェニル、ベンジル、クロロベンジル、トリル、及びo−トリルが挙げられる。
先の式において、また明細書を通じて、用語「アルコキシ」は、酸素原子に結合しているアルキル基であって(例えばR−O)、1〜12個又は1〜6個の炭素原子を有することができるアルキル基を意味する。典型的なアルコキシ基としては、これだけに限定されるものではないが、メトキシ、エトキシ、プロポキシ、イソプロポキシ、ブトキシ、イソブトキシ、sec‐ブトキシ、tert‐ブトキシ、ペントキシ、tert‐ペントキシ、イソペントキシ、neo‐ペントキシ、ヘキソキシ、及び2‐エチルヘキソキシが挙げられる。先の式において、また明細書を通じて、用語「アミノ」は、窒素原子に結合しているアルキル又は芳香族基であって(例えばNR34と先に規定されている)、1〜12個又は1〜6個の炭素原子を有することができる。典型的なアミノ基としては、これだけに限定されるものではないが、ジメチルアミノ、ジエチルアミノ、tert‐ブチルアミノ、シクロヘキシルアミノ、ピペリジノ、アルキル置換されたピペリジノ(例えば、2,6‐ジメチルピペリジノ)、ピロリジノ、アルキル置換されたピロリジノ(例えば、2,5‐ジメチルピロリジノ)、ピロリル、アルキル置換されたピロリル、イミダゾリル、及びアルキル置換されたイミダゾリル基が挙げられる。
先の式において、また明細書を通じて、本明細書で用いられる場合、用語「不飽和」は、1以上の炭素二重結合又は三重結合を有する官能基、置換基、環又は架橋を意味する。不飽和環の例は、これだけに限定されるものではないが、芳香環、例えばフェニル環となることができる。用語「飽和」は、1以上の炭素二重結合又は三重結合を有さない官能基、置換基、環又は架橋を意味する。
先の式において、また明細書を通じて、用語「アルキルシリル」は、3〜10個の炭素原子を有する直鎖又は分岐鎖の官能基である。典型的なアルキルシリル基としては、これだけに限定されるものではないが、トリメチルシリル、トリエチルシリル、ジメチルシリル、ジエチルシリル、及びジメチルエチルシリルが挙げられる。
特定の実施形態において、式I又は式IIの置換基R3及びR4は、連結されて環構造を形成することができる。当業者が理解しているように、R3とR4が共に連結されて環を形成する場合には、R3は、R4に連結するための結合を備え、その逆もまた同様である。これらの実施形態において、環構造は、例えば、環式アルキル環などのように不飽和であっても、例えば、アリール環のように飽和されていてもよい。さらに、これらの実施形態において、環構造はまた、置換されても、未置換であってもよい。典型的な環式環基としては、これだけに限定されるものではないが、ピロリジノ、ピペリジノ、及び2,6‐ジメチルピペリジノ基が挙げられる。しかしながら、他の実施形態において、置換基R3とR4は連結されない。
特定の実施形態において、本明細書に記載した方法を用いて堆積させるケイ素膜を、酸素源、試薬又は酸素を含む前駆体を用いて、酸素の存在下で形成させる。酸素源は、少なくとも1つの酸素源の形態で反応器に導入させることができ、且つ/又は堆積プロセスで用いる他の前駆体に付随して存在させることができる。適切な酸素源ガスとしては、例えば水(H2O)(例えば、脱イオン水、精製水、及び/又は蒸留水)、酸素(O2)、過酸化物(O3)、酸素プラズマ、オゾン(O3)、N2O、NO2、一酸化炭素(CO)、二酸化炭素(CO2)及びこれらの組み合わせを挙げることができる。特定の実施形態において、酸素源は、約1〜約2000立方センチメートル(sccm)又は約1〜約1000sccmの流量で反応器に導入する酸素源を含む。酸素源を、約0.1秒〜約100秒の時間で導入することができる。1つの特定の実施形態において、酸素源は、10℃以上の温度を持つ水を含む。膜をALDプロセス又はサイクリックCVDプロセスによって堆積させる実施形態において、前駆体パルスは、0.01秒超であるパルス時間を有することができ、且つ酸素源が、0.01秒未満であるパルス時間を有することができ、さらに水のパルス時間が、0.01秒未満であるパルス時間を有することができる。さらなる他の1つの実施形態において、パルスとパルスの間のパージ時間は、0秒程度まで小さくすることができ、又はその間にパージをしないで連続的なパルスにすることができる。酸素源又は試薬を、分子の総量について、ケイ素前駆体に対する比を1:1より小さくして与えて、それにより少なくとも一定量の炭素を、堆積させる誘電体膜に保持させる。
特定の実施形態において、酸化ケイ素膜は、ケイ素及び窒素をさらに含む。これらの実施形態において、本明細書に記載した方法を用いて堆積させる膜を、窒素含有源の存在下で形成させる。窒素含有源は、少なくとも1つの窒素含有源の形態で反応器に導入させることができ、且つ/又は堆積プロセスで用いる他の前駆体に付随して存在させることができる。適切な窒素含有源としては、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素/水素、アンモニアプラズマ、窒素プラズマ、窒素/水素プラズマ及びこれらの混合物が挙げられる。特定の実施形態において、窒素含有源は、約1〜約2000sccm又は約1〜約1000sccmの流量で反応器に導入するアンモニアプラズマ、又は水素/窒素プラズマ源ガスを含む。窒素含有源を、約0.1秒〜約100秒の時間で導入することができる。膜をALDプロセス又はサイクリックCVDプロセスによって堆積させる実施形態において、前駆体パルスは、0.01秒超であるパルス時間を有することができ、且つ窒素含有源が、0.01秒未満であるパルス時間を有することができ、さらに水のパルス時間が、0.01秒未満であるパルス時間を有することができる。さらなる他の1つの実施形態において、パルスとパルスとの間のパージ時間は、0秒程度まで小さくすることができ、又は間にパージをしないで連続的なパルスにすることができる。
本明細書で開示した堆積方法は、1種以上のパージガスを伴う場合がある。未反応の反応物及び/又は反応副生成物をパージするために用いるパージガスは、不活性ガスであり、これは前駆体と反応しない。典型的なパージガスとしては、これだけに限定されるものではないが、アルゴン(Ar)、窒素(N2)、ヘリウム(He)、ネオン、水素(H2)及びこれらの混合物が挙げられる。特定の実施形態において、パージガス、例えばArを、約0.1秒〜1000秒の間に、約10〜約2000sccmの流量で反応器に供給することができ、それにより反応器に残留している場合がある未反応の材料及びあらゆる副生成物を、パージすることができる。
前駆体、酸素源、窒素含有源及び/又は他の前駆体、他の物質源ガス及び/又は試薬を供給する各工程を、それらを供給する時間を変えることによって実行し、得られる誘電体膜の化学量論的な組成を変えることができる。
エネルギーを、ケイ素前駆体、酸素含有源、又はこれらの組み合わせの少なくとも1つに適用して、反応を誘導し、そして誘電体膜又はケイ素含有コーティングを基材に形成させる。そのようなエネルギーは、これだけに限定されるものではないが、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子線、光子、リモートプラズマ法及びこれらの組み合わせによって与えることができる。特定の実施形態において、二次高周波(secondary RF frequency)源を用いて、プラズマ特性を基材表面で変えることができる。堆積にプラズマを伴う実施形態において、プラズマ生成プロセスは、プラズマを反応器で直接的に生成させる直接プラズマ生成プロセス、あるいはプラズマを反応器の外部で生成させて反応器に供給するリモートプラズマ生成プロセスを、含むことができる。
少なくとも1つのケイ素前駆体を、反応チャンバー、例えば、サイクリックCVD反応器又はALD反応器に、様々な方法で提供することができる。1つの実施形態において、液体提供システムを用いることができる。別の実施形態において、液体提供プロセスとフラッシュ気化プロセスが組み合わされたユニット、例えばMSP Corporation of Shoreview, MNによって製造されたターボ気化器を用いて、低揮発度物質を容量分析的に供給することを可能とする。これは、前駆体の熱的分解のない状態で再現性のある輸送及び堆積をもたらすことができる。液体供給配合物中において、本明細書に記載された前駆体は、そのままの液体形態で提供することができ、あるいは、この前駆体を含む溶媒配合物中又は組成物中で使用することができる。それゆえ、特定の実施形態において、その前駆体配合物は、基材上に膜を形成する特定の最終用途において所望であり且つ有利となるような、適切な特性を有する溶媒成分を含むことができる。
本明細書に記載した式I又はIIを有するケイ素前駆体の少なくとも1つ及び溶媒を含む組成物中で、式I又はIIを有するケイ素前駆体の少なくとも1つを用いる実施形態に関して、選択する溶媒又は溶媒混合物は、そのケイ素前駆体と反応しない。その組成物中の重量%による溶媒の量は、0.5〜99.5wt%又は10〜75wt%である。この実施形態又は他の実施形態において、溶媒は、式I又はIIのケイ素前駆体の少なくとも1つの沸点(b.p.)に近いb.p.を有し、又は溶媒のb.p.と式I又はIIのケイ素前駆体の少なくとも1つのb.p.との差は、40℃以下、30℃以下、20℃以下、又は10℃以下である。あるいは、その沸点の差は、次を任意の端点とする範囲になる:0℃、10℃、20℃、30℃又は40℃。b.p.差の適切な範囲の例としては、これだけに限定されるものではないが、0〜40℃、20〜30℃、又は10〜30℃である。組成物中の適切な溶媒の例としては、これだけに限定されるものではないが、エーテル(例えば、1,4−ジオキサン、ジブチルエーテル)、第三級アミン(例えば、ピリジン、1−メチルピペリジン、1−エチルピペリジン、N,N’−ジメチルピペラジン、N,N,N’,N’−テトラメチルエチレンジアミン)、ニトリル(例えば、ベンゾニトリル)、アルキル(例えば、オクタン、ノナン、ドデカン、エチルシクロヘキサン)、芳香族炭化水素(例えば、トルエン、メシチレン)、第三級アミノエーテル(例えば、ビス(2−ジメチルアミノエチル)エーテル)又はこれらの混合物が挙げられる。
上述したように、式I又はIIのケイ素前駆体の少なくとも1つの純度は、信頼性のある半導体の製造を可能とするのに十分に高い。特定の実施形態において、本明細書に記載した式I又はIIを有するケイ素前駆体の少なくとも1つは、次の不純物の1種以上を2重量%以下、1重量%以下、又は0.5重量%以下で有する:遊離アミン、遊離ハライド又はハロゲンイオン、及び比較的高い分子量の物質。本明細書に記載したケイ素前駆体の比較的高い純度は、次の1以上のプロセスを通じて得ることができる:精製、吸着及び/又は蒸留。
本明細書に記載した方法の1つの実施形態において、サイクリック堆積プロセス、例えばALD型、ALD又はPEALDを用いることができ、ここでは、堆積は、式I又はIIを有するケイ素前駆体の少なくとも1つ、及び酸素含有源を用いて実施される。ALD型プロセスは、サイクリックCVDと規定されるが、それでも、高い共形性の酸化ケイ素膜を提供する。
特定の実施形態において、前駆体容器から反応チャンバーに連結するガスラインを、プロセスの必要性に応じて、1以上の温度に加熱し、少なくとも1つの、式I又はIIのケイ素前駆体の容器を、バブリングのために1以上の温度で維持する。他の実施形態において、式I又はIIのケイ素前駆体の少なくとも1つを含有する溶液を、直接液体注入のために1以上の温度で維持した気化器に注入する。
アルゴン及び/又は他のガスの流れを、キャリアガスとして用いて、前駆体パルスの間の反応チャンバーへの少なくとも1つの、式I又はIIのケイ素前駆体の蒸気の提供を促進することができる。特定の実施形態において、反応チャンバーのプロセス圧力は、約1トールである。
典型的なALD又はALD型プロセス、例えばCCVDプロセスなどでは、基材、例えば酸化ケイ素基材を、反応チャンバー内のヒーター台で加熱し、これを始めにケイ素前駆体にさらして、錯体を基材の表面に化学的に吸着させる。
パージガス、例えばアルゴンは、未吸着の余分な錯体をプロセスチャンバーからパージする。十分なパージの後で、酸素源を、反応チャンバーに導入して、吸着した表面と反応させた後で、他の1つのパージガスによって、チャンバーから反応副生成物を除去することができる。このプロセスサイクルを、所望の膜厚さを得るように繰り返すことができる。場合によっては、ポンピングでパージガスを不活性ガスに置き替えられるが、未反応ケイ素前駆体を取り除くのに、両方とも利用することができる。
この実施形態又は他の実施形態において、本明細書で記載される方法の工程を、様々な順番で実行しても、順次的に実行しても、又は同時に(例えば、他の1つの工程の少なくとも一部の間に)実行してもよく、そしてこれらのあらゆる組み合わせで実行することができると理解される。前駆体及び酸素源ガスを提供するそれぞれの工程を、それらを供給するための時間の持続時間を変えることによって実行して、得られる誘電性膜の化学量論的組成を変えることができる。
基材上に酸化ケイ素膜を堆積させるための本明細書で記載される方法の1つの特定の実施形態は、
a.基材を反応器内に用意する工程、
b.式I、II又はその両方を有する本明細書で記載される少なくとも1つのケイ素前駆体を前記反応器内に導入する工程、
c.前記反応器をパージガスでパージする工程、
d.酸素源を前記反応器内に導入する工程、及び
e.前記反応器をパージガスでパージする工程
を含み、所望の厚さの酸化ケイ素膜が堆積するまで工程bから工程eが繰り返される。
本明細書で記載される方法及び組成物の1つの特定の実施形態では、ケイ素前駆体は、以下の式I
I.R12 mSi(NR34np
(式中、R1はメチル(Me)基であり、R2はMe基であり、m=2、n=1、p=0、R3は、水素、直鎖又は分岐鎖のC1〜C10アルキル基、及びC6〜C10アリール基から選択され、R4は、直鎖又は分岐鎖のC1〜C10アルキル基、C6〜C10アリール基、C3〜C10アルキルシリル基から選択され、ここで、R3とR4は環式環構造を形成するために連結するか又はR3とR4は環式環構造を形成するために連結しない)を有する化合物である。以下の表1は、ハライド原子、アミン基、又はアルコキシ基から選択される固着官能基を持ち、且つ、好ましくはメチル又はMe基であるアルキル基から選択される不動態化機能を有する典型的なケイ素前駆体と持つ構造物を示す。理論に縛られるものではないが、Si‐Me基が、500℃超の温度にて安定しているので、更なる表面反応を予防する不動態化官能基を提供し、そして自己制限的ALP又はALD型プロセスにつながる。
本明細書で記載される方法のもう1つの実施形態では、酸化工程後のヒドロキシル又はOH源、例えば、H2O蒸気などを導入する。この実施形態における目標は、表面上に固着して単分子層を形成する、ケイ素前駆体の固着官能基又は反応点を再投入することである。その堆積工程は、
a.基材を反応器内に用意する工程、
b.上記の1つのケイ素前駆体を前記反応器内に導入する工程、
c.前記反応器をパージガスでパージする工程、
d.酸化剤を前記反応器内に導入する工程、
e.前記反応器をパージガスでパージする工程、
f.水蒸気又はヒドロキシル源を前記反応器内に導入する工程、及び
g.前記反応器をパージガスでパージする工程
を含み、所望の厚さが堆積するまで工程bから工程gが繰り返される。
本明細書で記載される方法の代替の実施形態では、堆積工程は、
a.基材を反応器内に用意する工程、
b.上記の1つのケイ素前駆体を前記反応器内に導入する工程、
c.前記反応器をパージガスでパージする工程、
d.酸素源を前記反応器内に導入する工程、
e.前記反応器をパージガスでパージする工程、
f.水蒸気又はOH源を前記反応器内に導入する工程、及び
g.前記反応器をパージガスでパージする工程
を含み、所望の厚さが堆積するまで工程bから工程gが繰り返される。
さらに別の実施形態は、不動態化官能基又は不動態化基、例えば、メチルなどを取り除くために過酸化水素又は酸素プラズマを利用する。その堆積工程は、以下のとおり、
a.基材を反応器内に用意する工程、
b.上記の1つのケイ素前駆体を前記反応器内に導入する工程、
c.前記反応器をパージガスでパージする工程、
d.オゾン、過酸化水素又は酸素プラズマを前記反応器内に導入する工程、及び
e.前記反応器をパージガスでパージする工程
を含み、所望の厚さが堆積するまで工程bから工程eが繰り返される。
本明細書で記載される方法のプロセス温度は、500℃〜1000℃;500℃〜750℃;600℃〜750℃;又は600℃〜800℃の1又は複数の温度である。
堆積圧力範囲は、50ミリトール(mT)〜760トール又は500mT〜100トールの1又は複数の圧力である。パージガスは、例えば、窒素、ヘリウム又はアルゴンなどの不活性ガスから選択できる。酸化剤は、酸素、過酸化物、オゾン又はプラズマプロセスからの分子酸素から選択される。
[実施例1:ジメチルアミノトリメチルシランを用いた酸化ケイ素膜の原子層堆積]
酸化ケイ素膜の原子層堆積を、次の前駆体を用いて行った:ジメチルアミノトリメチルシラン(DMATMS)。堆積を、実験室スケールのALDプロセスツールで行った。ケイ素前駆体を、蒸気ドローによってチャンバーに供給した。全てのガス(例えば、パージガス、反応ガス又は前駆体及び酸素源)を、堆積ゾーンに入る前に100℃に余熱した。ガス及び前駆体の流量を、高速作動するALDダイアフラムバルブで制御した。堆積に用いた基材は、12インチの長さのシリコンストリップであった。基材の温度を確認するために、熱電対をサンプルホルダーに取り付けた。酸素源ガスとしてオゾンを用いて、堆積を行った。堆積パラメーターを表7に与える。
所望の厚さに達するまで、工程2〜6を繰り返す。膜の厚みと屈折率を、膜からの反射データをあらかじめ設定しておいた物理モデルに当てはめることによって、FihnTek 2000SE偏光解析器(例えば、ローレンツ振動子モデル)を使用して計測した。ウェットエッチ速度を、脱イオン水中の49%フッ化水素(HF)酸の1%溶液を使用することで実施した。熱酸化物ウェハーを、溶液濃度を確認するための各バッチの基準として使用した。H2O溶液中の1%HFに関する典型的な熱酸化物ウェハーのウェットエッチ速度は、0.5Å/sである。エッチング前後のそれぞれの膜の厚みを、ウェットエッチ速度について計算するために使用した。膜中の炭素濃度と窒素濃度を、Dynamic Secondary Ions Mass Spectrometry(SIMS)技術を用いて分析した。不均質性(%)を、以下の方程式を使用して、6点の測定から計算した:不均質性(%)=((最大−最小)/(2*平均))。膜密度を、X線反射測定(XRR)を用いて特徴づけした。表IIには、500〜650℃のウェハー温度にて、一定投与(8秒)のDMATMS前駆体を用いて堆積させたSiO2膜の特性をまとめる。
DMATMSから堆積した酸化ケイ素の膜密度は、2.08〜2.23g/ccに及んだ。
図3は、650℃にてDMATMSを用いて堆積させた熱酸化物とSiO2とのリーク電流と絶縁破壊の比較を表しており、DMATMSを使用した酸化ケイ素が、熱酸化物に匹敵する電気的性質を有することを実証している。1〜5MV/cm(典型的な動作電圧)でのリーク電流は、熱酸化物の典型的なデバイス動作電圧の大きさの1桁分以内である。
ALD様式の堆積を確認するために、複数回の前駆体投与をオゾン導入前に使用して、堆積が自己制限的であることを保証する。堆積工程を、以下で表III内に挙げる。
工程2aと2bを繰り返して、ケイ素前駆体の複数回投与を導入した。堆積速度と不均質性の両方を、表IVで報告する。
堆積速度は、650℃におけるALD様式の堆積を確認する前駆体投与の増加に伴って自己制限的挙動と飽和状態を示している。
[実施例2:ジエチルアミノトリメチルシランを用いた酸化ケイ素膜の原子層堆積]
酸化ケイ素膜の原子層堆積は、実施例1の表Iに挙げたジエチルアミノトリメチルシラン(DEATMS)使用工程である。500〜650℃にて一定の前駆体投与(8秒)でDEATMSを用いて堆積させたSiO2膜の堆積速度と膜不均質性を、表IVに示した。
ALD様式の堆積を確認するために、複数回の前駆体投与を、オゾン堆積工程前に使用して、堆積が自己制限的であることを保証する。堆積工程を以下の表Vに挙げる。
工程2aと2bを繰り返して、ケイ素前駆体の複数回投与をシミュレートする。堆積速度と不均質性の両方を、表VIで報告する。
堆積速度は、650℃におけるALD様式の堆積を確認する前駆体投与の増加に伴って自己制限的挙動と飽和状態を示している。
[実施例3:DMATMSを用いたパターンケイ素基材上の酸化ケイ素膜の原子層堆積]
SiO2膜を、DMATMSを用いてパターンシリコンウェハー上に堆積させた。堆積プロセスを、650℃にて8秒の、酸素源ガスとしてのオゾンと前駆体の二連パルスを使用して実施した。基材上に堆積した膜を、電界放射型走査電子顕微鏡(FESEM)Hitachi S‐4800SEMを使用して計測した。サンプルを、断面ホルダーに乗せ、そして2kVの加速電圧で稼働したSEMを使用して調べられた。サンプル断面のSiO2厚の測定を、溝の上部、側壁、及び底部で行なった。SiO2膜のSEM断面の精査で、素晴らしい段差被覆率(>96%)を示したので、そのプロセスが確かにALDプロセスであると確認した。
[実施例4:ジエチルアミノトリエチルシリラン(Diethylamiaotriethylsaylane)(DEATES)を用いた酸化ケイ素膜の原子層堆積]
酸化ケイ素膜の堆積を、ケイ素前駆体であるジエチルアミノトリエチルシラン(DEATES)とオゾンを使用して行なった。使用した堆積工程は、実施例1の表Iに挙げてある。表VIIには、500〜650℃のウェハー温度にてDEATESを使用して堆積させたSiO2膜の堆積速度と不均質性をまとめてある。
表VIIを参照すると、堆積速度及び不均質性は、600℃において増加し(600℃において一部のCVD反応で示された)、そして650℃におけるCVD反応で更に増加した。
[実施例5:メトキシトリメチルシリラン(Methoxytrimethylsilylane)を用いた酸化ケイ素膜の原子層堆積]
酸化ケイ素膜の原子層堆積を、ケイ素前駆体であるメトキシトリメチルシリランを使用して行なった。堆積は、実施例1の表Iで列挙したプロセス工程を用いて650℃にてオゾンを使用することで実施した。基材温度を650℃に設定した。堆積速度は、約0.3Å/サイクルであった。
[実施例6:クロロトリメチルシリラン(Chlorotrimethylsilylane)を用いた酸化ケイ素膜の原子層堆積]
酸化ケイ素膜の原子層堆積を、ケイ素前駆体であるクロロトリメチルシリランを使用して行なった。堆積を、酸素源ガスとしてオゾンを使用して実施し、堆積プロセスパラメーターは、実施例1の表Iと同じである。基材温度を650℃に設定した。堆積速度は0.5Å/サイクルであった。
[実施例7:ヘキサメチルジシラザンを用いた酸化ケイ素膜の原子層堆積]
酸化ケイ素膜の原子層堆積を、ケイ素前駆体であるヘキサメチルジシランを使用して行なった。堆積を、実施例1の表Iに挙げたプロセス工程を用いて、650℃にてオゾンを使用して実施した。堆積速度は、約1.3Å/サイクルであった。
[実施例8:ビス(ジメチルアミノ)ジメチルシランを使用した酸化ケイ素膜のALD堆積]
ビスジメチルアミノジメチルシラン(BDMADMS)を、ケイ素前駆体として使用した。BDMADMSは、R12 mSi(NR34n(式中、R1、R2、R3、R4は、メチルであり、n=2、そしてm=1。)の一般構造を有する。
堆積を、実験室スケールのALDプロセスツールにより実施した。堆積を、酸素源ガスとしてのオゾンと、表Iのものと同じ堆積プロセスパラメーターを使用して実施した。
500〜650℃にて一定の前駆体投与(8秒)でBDMADMSを使用して堆積させた酸化ケイ素膜の堆積速度と膜不均質性を、表VIIIにまとめる:
二連前駆体パルスプロセスを使用して、ALD様式をさらに検証した。表IXには、一連の8秒パルスと二連の8秒パルスを用いた膜の堆積速度と不均質性に示す。
表IXに示すとおり、二連前駆体パルスを使用したとき、堆積速度が有意に増加し、そして均質性は低下し、そしてそれは、いくらのCVD様式の堆積を意味した。
[実施例9:2,6‐ジメチルピペリジノトリメチルシランの合成]
添加漏斗、冷却管、及び機械式スターラーを備えた1000ml容の三つ口丸底フラスコの中に、113g(1.0mol)の2,6‐ジメチルピペリジン及び500mlのヘキサンを加えた。撹拌しながら、50.5g(0.5mol)のクロロトリメチルシランを、添加漏斗を通して滴下して加えた。添加が完了した後、反応混合物を6時間還流した。室温まで冷まし、混合物は濾過した。固体をヘキサンで洗浄し、そして、そのヘキサン溶液を濾液と合わせた。溶媒であるヘキサンを蒸留によって取り除いた。分別蒸留によって134gの2,6‐ジメチルピペリジノトリメチルシランを得た。収率は75%であった。図2に提供したマススペクトルで、それが185(M)、170(M‐15)にフラグメントを有するジメチルピペリジノトリメチルシランであることを確認した。

Claims (24)

  1. a.基材を反応器内に用意する工程、
    b.少なくとも1つのケイ素前駆体を前記反応器内に導入する工程、
    c.前記反応器をパージガスでパージする工程、
    d.酸素源を前記反応器内に導入する工程、及び
    e.前記反応器をパージガスでパージする工程
    を含み、所望の厚さの酸化ケイ素が堆積するまで工程bから工程eが繰り返され、
    500〜800℃の1又は複数の温度及び50ミリトール(mT)〜760トールの1又は複数の圧力で実施される、基材上に酸化ケイ素膜を堆積させる方法。
  2. 前記少なくとも1つのケイ素前駆体が、
    I.R12 mSi(NR34np
    (式中、R1、R2及びR3は、それぞれ独立に、水素、直鎖又は分岐鎖のC1〜C10アルキル基、及びC6〜C10アリール基から選択され、R4は、直鎖又は分岐鎖のC1〜C10アルキル基、C6〜C10アリール基、及びC3〜C10アルキルシリル基から選択され、ここで、R3とR4は環式環構造を形成するために連結するか又はR3とR4は環式環構造を形成するために連結せず、XはCl、Br及びIからなる群より選択されるハライドであり、mは0〜3であり、nは0〜2であり、pは0〜2であり、m+n+p=3である)並びに
    II.R12 mSi(OR3n(OR4qp
    (式中、R1及びR2は、それぞれ独立に、水素、直鎖又は分岐鎖のC1〜C10アルキル基、及びC6〜C10アリール基から選択され、R3及びR4は、それぞれ独立に、直鎖又は分岐鎖のC1〜C10アルキル基、及びC6〜C10アリール基から選択され、ここで、R3とR4は環式環構造を形成するために連結するか又はR3とR4は環式環構造を形成するために連結せず、XはCl、Br及びIからなる群より選択されるハライド原子であり、mは0〜3であり、nは0〜2であり、qは0〜2であり、pは0〜2であり、m+n+q+p=3である)
    からなる群より選択される式を有する、請求項1に記載の方法。
  3. 前記少なくとも1つのケイ素前駆体が、ジエチルアミノトリエチルシラン、ジメチルアミノトリエチルシラン、エチルメチルアミノトリエチルシラン、t‐ブチルアミノトリエチルシラン、イソ‐プロピルアミノトリエチルシラン、ジイソプロピルアミノトリエチルシラン、ピロリジノトリエチルシラン、ジエチルアミノトリメチルシラン、ジメチルアミノトリメチルシラン、エチルメチルアミノトリメチルシラン、t‐ブチルアミノトリメチルシラン、イソ‐プロピルアミノトリメチルシラン、ジ‐イソプロピルアミノトリメチルシラン、ピロリジノトリメチルシラン、ジエチルアミノジメチルシラン、ジメチルアミノジメチルシラン、エチルメチルアミノジメチルシラン、t‐ブチルアミノジメチルシラン、イソ‐プロピルアミノジメチルシラン、ジイソプロピルアミノジメチルシラン、ピロリジノジメチルシラン、ジエチルアミノジエチルシラン、ジメチルアミノジエチルシラン、エチルメチルアミノジエチルシラン、t‐ブチルアミノジエチルシラン、イソ‐プロピルアミノジエチルシラン、ジ‐イソプロピルアミノジエチルシラン、ピロリジノジエチルシラン、ビス(ジエチルアミノ)ジメチルシラン、ビス(ジメチルアミノ)ジメチルシラン、ビス(エチルメチルアミノ)ジメチルシラン、ビス(ジ‐イソプロピルアミノ)ジメチルシラン、ビス(イソ‐プロピルアミノ)ジメチルシラン、ビス(tert‐ブチルアミノ)ジメチルシラン、ジピロリジノジメチルシラン、ビス(ジエチルアミノ)ジエチルシラン、ビス(ジメチルアミノ)ジエチルシラン、ビス(エチルメチルアミノ)ジエチルシラン、ビス(ジイソプロピルアミノ)ジエチルシラン、ビス(イソ‐プロピルアミノ)ジエチルシラン、ビス(tert‐ブチルアミノ)ジエチルシラン、ジピロリジノジエチルシラン、ビス(ジエチルアミノ)メチルビニルシラン、ビス(ジメチルアミノ)メチルビニルシラン、ビス(エチルメチルアミノ)メチルビニルシラン、ビス(ジ‐イソプロピルアミノ)メチルビニルシラン、ビス(イソ‐プロピルアミノ)メチルビニルシラン、ビス(tert‐ブチルアミノ)メチルビニルシラン、ジピロリジノメチルビニルシラン、2,6‐ジメチルピペリジノメチルシラン、2,6‐ジメチルピペリジノジメチルシラン、2,6‐ジメチルピペリジノトリメチルシラン、トリス(ジメチルアミノ)フェニルシラン、トリス(ジメチルアミノ)メチルシラン、トリス(ジメチルアミノ)エチルシラン、トリス(ジメチルアミノ)クロロシラン、及びそれらの混合物からなる群より選択される、請求項1に記載の方法。
  4. 前記少なくとも1つのケイ素前駆体が、メトキシトリメチルシラン、エトキシトリメチルシラン、イソ‐プロポキシトリメチルシラン、tert‐ブトキシトリメチルシラン、tert‐ペントキシトリメチルシラン、フェノキシトリメチルシラン、アセトキシトリメチルシラン、メトキシトリエチルシラン、エトキシトリエチルシラン、イソ‐プロポキシトリエチルシラン、tert‐ブトキシトリエチルシラン、tert‐ペントキシトリエチルシラン、フェノキシトリエチルシラン、アセトキシトリエチルシラン、メトキシジメチルシラン、エトキシジメチルシラン、イソ‐プロポキシジメチルシラン、tert‐ブトキシジメチルシラン、tert‐ペントキシジメチルシラン、フェノキシジメチルシラン、アセトキシジメチルシラン、メトキシジメチルフェニルシラン、エトキシジメチルフェニルシラン、イソ‐プロポキシジメチルフェニルシラン、tert‐ブトキシジメチルフェニルシラン、tert‐ペントキシジメチルフェニルシラン、フェノキシジメチルフェニルシラン、アセトキシジメチルフェニルシラン、ジメトキシジメチルシラン、ジエトキシジメチルシラン、ジ‐イソプロポキシジメチルシラン、ジ‐t‐ブトキシジメチルシラン、ジアセトキシジメチルシラン、ジメトキシジエチルシラン、ジエトキシジエチルシラン、ジ‐イソプロポキシジエチルシラン、ジ‐t‐ブトキシジエチルシラン、ジアセトキシジエチルシラン、ジメトキシジ‐イソプロピルシラン、ジエトキシジ‐イソプロピルシラン、ジ‐イソプロポキシジ‐イソプロピルシラン、ジ‐t‐ブトキシジ‐イソプロピルシラン、ジアセトキシジ‐イソプロピルシラン、ジメトキシメチルビニルシラン、ジエトキシメチルビニルシラン、ジ‐イソプロポキシメチルビニルシラン、ジ‐t‐ブトキシメチルビニルシラン、ジアセトキシメチルビニルシラン、1,1,3,4‐テトラメチル‐1‐シラ‐2,5‐ジオキサシクロペンタン、1,1,3,3,4,4‐ヘキサメチル‐1‐シラ‐2,5‐ジオキサシクロペンタン、及びそれらの混合物からなる群より選択される、請求項1に記載の方法。
  5. 前記少なくとも1つのケイ素前駆体が、1,1,1,3,3,3‐ヘキサメチルジシラザン、1,1,1,3,3,3‐ヘキサエチルジシラザン、1,1,3,3‐テトラメチルジシラザン、1,1,3,3‐テトラエチルジシラザン、1,1,1,2,3,3,3‐ヘプタメチルジシラザン、1,1,1,3,3,3‐ヘキサエチル‐2‐メチルジシラザン、1,1,2,3,3‐ペンタメチルジシラザン、1,1,3,3‐テトラエチル‐2‐メチルジシラザン、1,1,1,3,3,3‐ヘキサメチル‐2‐エチルジシラザン、1,1,1,2,3,3,3‐ヘプタエチルジシラザン、1,1,3,3‐テトラメチル‐2‐エチルジシラザン、1,1,2,3,3‐ペンタエチルジシラザン、1,1,1,3,3,3‐ヘキサメチル‐2‐イソプロピルジシラザン、1,1,1,3,3,3‐ヘキサエチル‐2‐イソプロピルジシラザン、1,1,3,3‐テトラメチル‐2‐イソプロピルジシラザン、1,1,3,3‐テトラエチル‐2‐イソプロピルジシラザン、及びそれらの混合物からなる群より選択される、請求項1に記載の方法。
  6. 前記パージガスが、窒素、ヘリウム、及びアルゴンからなる群より選択される、請求項1に記載の方法。
  7. 前記酸素源が、酸素、過酸化物、酸素プラズマ、水蒸気、水蒸気プラズマ、過酸化水素、及びオゾン源からなる群より選択される、請求項1に記載の方法。
  8. f.水蒸気又はヒドロキシル源を前記反応器内に導入する工程、及び
    g.前記反応器をパージガスでパージする工程
    を工程eの後にさらに含む、請求項1に記載の方法。
  9. a.基材を反応器内に用意する工程、
    b.少なくとも1つのケイ素前駆体を前記反応器内に導入する工程、
    c.前記反応器をパージガスでパージする工程、
    d.酸素源を前記反応器内に導入する工程、
    e.前記反応器をパージガスでパージする工程、
    f.水蒸気又はOH源を前記反応器内に導入する工程、及び
    g.前記反応器をパージガスでパージする工程
    を含み、所望の厚さが堆積するまで工程bから工程gが繰り返され、
    プロセス温度が500〜800℃であり、圧力が50ミリトール(mT)〜760トールである、酸化ケイ素を堆積させる方法。
  10. 前記少なくとも1つのケイ素前駆体が、
    I.R12 mSi(NR34np
    (式中、R1、R2及びR3は、それぞれ独立に、水素、直鎖又は分岐鎖のC1〜C10アルキル基、及びC6〜C10アリール基から選択され、R4は、直鎖又は分岐鎖のC1〜C10アルキル基、C6〜C10アリール基、及びC3〜C10アルキルシリル基から選択され、ここで、R3とR4は環式環構造を形成するために連結するか又はR3とR4は環式環構造を形成するために連結せず、XはCl、Br及びIからなる群より選択されるハライドであり、mは0〜3であり、nは0〜2であり、pは0〜2であり、m+n+p=3である)並びに
    II.R12 mSi(OR3n(OR4qp
    (式中、R1及びR2は、それぞれ独立に、水素、直鎖又は分岐鎖のC1〜C10アルキル基、及びC6〜C10アリール基から選択され、R3及びR4は、それぞれ独立に、直鎖又は分岐鎖のC1〜C10アルキル基、及びC6〜C10アリール基から選択され、ここで、R3とR4は環式環構造を形成するために連結するか又はR3とR4は環式環構造を形成するために連結せず、XはCl、Br及びIからなる群より選択されるハライド原子であり、mは0〜3であり、nは0〜2であり、qは0〜2であり、pは0〜2であり、m+n+q+p=3である)
    からなる群より選択される式を有する、請求項9に記載の方法。
  11. 前記少なくとも1つのケイ素前駆体が、ジエチルアミノトリエチルシラン、ジメチルアミノトリエチルシラン、エチルメチルアミノトリエチルシラン、t‐ブチルアミノトリエチルシラン、イソ‐プロピルアミノトリエチルシラン、ジイソプロピルアミノトリエチルシラン、ピロリジノトリエチルシラン、ジエチルアミノトリメチルシラン、ジメチルアミノトリメチルシラン、エチルメチルアミノトリメチルシラン、t‐ブチルアミノトリメチルシラン、イソ‐プロピルアミノトリメチルシラン、ジ‐イソプロピルアミノトリメチルシラン、ピロリジノトリメチルシラン、ジエチルアミノジメチルシラン、ジメチルアミノジメチルシラン、エチルメチルアミノジメチルシラン、t‐ブチルアミノジメチルシラン、イソ‐プロピルアミノジメチルシラン、ジイソプロピルアミノジメチルシラン、ピロリジノジメチルシラン、ジエチルアミノジエチルシラン、ジメチルアミノジエチルシラン、エチルメチルアミノジエチルシラン、t‐ブチルアミノジエチルシラン、イソ‐プロピルアミノジエチルシラン、ジ‐イソプロピルアミノジエチルシラン、ピロリジノジエチルシラン、ビス(ジエチルアミノ)ジメチルシラン、ビス(ジメチルアミノ)ジメチルシラン、ビス(エチルメチルアミノ)ジメチルシラン、ビス(ジ‐イソプロピルアミノ)ジメチルシラン、ビス(イソ‐プロピルアミノ)ジメチルシラン、ビス(tert‐ブチルアミノ)ジメチルシラン、ジピロリジノジメチルシラン、ビス(ジエチルアミノ)ジエチルシラン、ビス(ジメチルアミノ)ジエチルシラン、ビス(エチルメチルアミノ)ジエチルシラン、ビス(ジイソプロピルアミノ)ジエチルシラン、ビス(イソ‐プロピルアミノ)ジエチルシラン、ビス(tert‐ブチルアミノ)ジエチルシラン、ジピロリジノジエチルシラン、ビス(ジエチルアミノ)メチルビニルシラン、ビス(ジメチルアミノ)メチルビニルシラン、ビス(エチルメチルアミノ)メチルビニルシラン、ビス(ジ‐イソプロピルアミノ)メチルビニルシラン、ビス(イソ‐プロピルアミノ)メチルビニルシラン、ビス(tert‐ブチルアミノ)メチルビニルシラン、ジピロリジノメチルビニルシラン、2,6‐ジメチルピペリジノメチルシラン、2,6‐ジメチルピペリジノジメチルシラン、2,6‐ジメチルピペリジノトリメチルシラン、トリス(ジメチルアミノ)フェニルシラン、トリス(ジメチルアミノ)メチルシラン、トリス(ジメチルアミノ)エチルシラン、トリス(ジメチルアミノ)クロロシラン、及びそれらの混合物からなる群より選択される、請求項9に記載の方法。
  12. 前記少なくとも1つのケイ素前駆体が、メトキシトリメチルシラン、エトキシトリメチルシラン、イソ‐プロポキシトリメチルシラン、tert‐ブトキシトリメチルシラン、tert‐ペントキシトリメチルシラン、フェノキシトリメチルシラン、アセトキシトリメチルシラン、メトキシトリエチルシラン、エトキシトリエチルシラン、イソ‐プロポキシトリエチルシラン、tert‐ブトキシトリエチルシラン、tert‐ペントキシトリエチルシラン、フェノキシトリエチルシラン、アセトキシトリエチルシラン、メトキシジメチルシラン、エトキシジメチルシラン、イソ‐プロポキシジメチルシラン、tert‐ブトキシジメチルシラン、tert‐ペントキシジメチルシラン、フェノキシジメチルシラン、アセトキシジメチルシラン、メトキシジメチルフェニルシラン、エトキシジメチルフェニルシラン、イソ‐プロポキシジメチルフェニルシラン、tert‐ブトキシジメチルフェニルシラン、tert‐ペントキシジメチルフェニルシラン、フェノキシジメチルフェニルシラン、アセトキシジメチルフェニルシラン、ジメトキシジメチルシラン、ジエトキシジメチルシラン、ジ‐イソプロポキシジメチルシラン、ジ‐t‐ブトキシジメチルシラン、ジアセトキシジメチルシラン、ジメトキシジエチルシラン、ジエトキシジエチルシラン、ジ‐イソプロポキシジエチルシラン、ジ‐t‐ブトキシジエチルシラン、ジアセトキシジエチルシラン、ジメトキシジ‐イソプロピルシラン、ジエトキシジ‐イソプロピルシラン、ジ‐イソプロポキシジ‐イソプロピルシラン、ジ‐t‐ブトキシジ‐イソプロピルシラン、ジアセトキシジ‐イソプロピルシラン、ジメトキシメチルビニルシラン、ジエトキシメチルビニルシラン、ジ‐イソプロポキシメチルビニルシラン、ジ‐t‐ブトキシメチルビニルシラン、ジアセトキシメチルビニルシラン、1,1,3,4‐テトラメチル‐1‐シラ‐2,5‐ジオキサシクロペンタン、1,1,3,3,4,4‐ヘキサメチル‐1‐シラ‐2,5‐ジオキサシクロペンタン、及びそれらの混合物からなる群より選択される、請求項9に記載の方法。
  13. 前記少なくとも1つのケイ素前駆体が、1,1,1,3,3,3‐ヘキサメチルジシラザン、1,1,1,3,3,3‐ヘキサエチルジシラザン、1,1,3,3‐テトラメチルジシラザン、1,1,3,3‐テトラエチルジシラザン、1,1,1,2,3,3,3‐ヘプタメチルジシラザン、1,1,1,3,3,3‐ヘキサエチル‐2‐メチルジシラザン、1,1,2,3,3‐ペンタメチルジシラザン、1,1,3,3‐テトラエチル‐2‐メチルジシラザン、1,1,1,3,3,3‐ヘキサメチル‐2‐エチルジシラザン、1,1,1,2,3,3,3‐ヘプタエチルジシラザン、1,1,3,3‐テトラメチル‐2‐エチルジシラザン、1,1,2,3,3‐ペンタエチルジシラザン、1,1,1,3,3,3‐ヘキサメチル‐2‐イソプロピルジシラザン、1,1,1,3,3,3‐ヘキサエチル‐2‐イソプロピルジシラザン、1,1,3,3‐テトラメチル‐2‐イソプロピルジシラザン、1,1,3,3‐テトラエチル‐2‐イソプロピルジシラザン、及びそれらの混合物からなる群より選択される、請求項9に記載の方法。
  14. 前記パージガスが、窒素、ヘリウム、及びアルゴンからなる群より選択される、請求項9に記載の方法。
  15. 前記酸素源が、酸素、酸素プラズマ、亜酸化窒素、水蒸気、水蒸気プラズマ、過酸化水素、及びオゾン源からなる群より選択される、請求項9に記載の方法。
  16. a.基材を反応器内に用意する工程、
    b.少なくとも1つのケイ素前駆体を前記反応器内に導入する工程、
    c.前記反応器をパージガスでパージする工程、
    d.酸素源を前記反応器内に導入する工程、及び
    e.前記反応器をパージガスでパージする工程
    を含み、所望の厚さが堆積するまで工程bから工程eが繰り返され、
    プロセス温度が500〜800℃であり、圧力が50ミリトール(mT)〜760トールであり、前記ケイ素前駆体がSi‐Me基を含む少なくとも1つの固着官能基及び不動態化官能基を有する、酸化ケイ素を堆積させる方法。
  17. 前記酸素源が、酸素、過酸化物、酸素プラズマ、亜酸化窒素、水蒸気、水蒸気プラズマ、過酸化水素、及びオゾン源からなる群より選択される、請求項16に記載の方法。
  18. 前記プロセス温度が550〜750℃である、請求項16に記載の方法。
  19. 前記圧力が50ミリトール(mT)〜100トールである、請求項16に記載の方法。
  20. 前記固着官能基がアミノ基である、請求項16に記載の方法。
  21. 前記固着官能基がCl、Br及びIからなる群より選択されるハライドである、請求項16に記載の方法。
  22. 前記固着官能基がアルコキシ基である、請求項16に記載の方法。
  23. 前記少なくとも1つのケイ素前駆体が、イソ‐プロピルアミノトリメチルシラン、tert‐ブチルアミノトリメチルシラン、イソ‐ブチルアミノトリメチルシラン、シクロヘキサミノトリメチルシラン、ピロリジノトリメチルシラン、2‐メチルピロリジノトリメチルシラン、2,5‐ジメチルピロリジノトリメチルシラン、ピペリジノトリメチルシラン、2,6‐ジメチルピペリジノトリメチルシラン、1‐メチルピペラジノトリメチルシラン、ピロリルトリメチルシラン、2,5‐ジメチルピロリルトリメチルシラン、イミダゾリルトリメチルシラン、1,1,1,3,3,3‐ヘキサメチルジシラザン、メトキシトリメチルシラン、エトキシトリメチルシラン、及びクロロシランからなる群より選択される、請求項16に記載の方法。
  24. 2,6‐ジメチルピペリジノトリメチルシラン、2,5‐ジメチルピロリジノトリメチルシラン、及び2‐メチルピロリジノトリメチルシランからなる群より選択されるケイ素含有前駆体。
JP2013083698A 2012-04-12 2013-04-12 酸化ケイ素薄膜の高温原子層堆積 Pending JP2013236073A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261623217P 2012-04-12 2012-04-12
US61/623,217 2012-04-12
US13/857,507 US9460912B2 (en) 2012-04-12 2013-04-05 High temperature atomic layer deposition of silicon oxide thin films
US13/857,507 2013-04-05

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2015210441A Division JP6262702B2 (ja) 2012-04-12 2015-10-27 酸化ケイ素薄膜の高温原子層堆積
JP2016199245A Division JP6276360B2 (ja) 2012-04-12 2016-10-07 酸化ケイ素薄膜の高温原子層堆積

Publications (1)

Publication Number Publication Date
JP2013236073A true JP2013236073A (ja) 2013-11-21

Family

ID=48182719

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2013083698A Pending JP2013236073A (ja) 2012-04-12 2013-04-12 酸化ケイ素薄膜の高温原子層堆積
JP2015210441A Active JP6262702B2 (ja) 2012-04-12 2015-10-27 酸化ケイ素薄膜の高温原子層堆積
JP2016199245A Active JP6276360B2 (ja) 2012-04-12 2016-10-07 酸化ケイ素薄膜の高温原子層堆積
JP2017195653A Active JP6673886B2 (ja) 2012-04-12 2017-10-06 酸化ケイ素薄膜の高温原子層堆積
JP2019200167A Withdrawn JP2020038978A (ja) 2012-04-12 2019-11-01 酸化ケイ素薄膜の高温原子層堆積

Family Applications After (4)

Application Number Title Priority Date Filing Date
JP2015210441A Active JP6262702B2 (ja) 2012-04-12 2015-10-27 酸化ケイ素薄膜の高温原子層堆積
JP2016199245A Active JP6276360B2 (ja) 2012-04-12 2016-10-07 酸化ケイ素薄膜の高温原子層堆積
JP2017195653A Active JP6673886B2 (ja) 2012-04-12 2017-10-06 酸化ケイ素薄膜の高温原子層堆積
JP2019200167A Withdrawn JP2020038978A (ja) 2012-04-12 2019-11-01 酸化ケイ素薄膜の高温原子層堆積

Country Status (6)

Country Link
US (3) US9460912B2 (ja)
EP (1) EP2650399B1 (ja)
JP (5) JP2013236073A (ja)
KR (5) KR20130116210A (ja)
CN (1) CN103374708B (ja)
TW (1) TWI515325B (ja)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015188087A (ja) * 2014-03-26 2015-10-29 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 酸化ケイ素膜の堆積のための組成物および方法
JP2017507909A (ja) * 2014-01-08 2017-03-23 ディーエヌエフ カンパニー リミテッドDNF Co. Ltd. 新規なトリシリルアミン誘導体およびその製造方法、並びにそれを用いたシリコン含有薄膜
JP2017130665A (ja) * 2016-01-20 2017-07-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の高温原子層堆積
JP2017531920A (ja) * 2014-10-03 2017-10-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温酸化ケイ素原子層堆積技術
JP2018014536A (ja) * 2012-04-12 2018-01-25 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 酸化ケイ素薄膜の高温原子層堆積
JP2019501528A (ja) * 2015-12-28 2019-01-17 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 五置換ジシランを使用するケイ素含有フィルムの蒸着
JP2019038126A (ja) * 2017-08-22 2019-03-14 キヤノン株式会社 液体吐出ヘッドの製造方法
JP2020511797A (ja) * 2017-03-15 2020-04-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
JP2020511796A (ja) * 2017-03-15 2020-04-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
JP2020521749A (ja) * 2017-05-24 2020-07-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高成長速度ケイ素含有膜の前駆体としての官能化シクロシラザン
JP2020186193A (ja) * 2019-05-13 2020-11-19 大陽日酸株式会社 ハロゲン化アミノシラン化合物、薄膜形成用組成物およびシリコン含有薄膜
CN112447499A (zh) * 2019-08-30 2021-03-05 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质
JP2021040060A (ja) * 2019-09-04 2021-03-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システム、およびプログラム
JP2021509765A (ja) * 2018-11-30 2021-04-01 ハンソル ケミカル カンパニー リミテッドHansol Chemical Co., Ltd. シリコン前駆体およびこれを用いたシリコン含有薄膜の製造方法
JP2022504248A (ja) * 2018-10-04 2022-01-13 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高品質酸化ケイ素薄膜の高温原子層堆積のための組成物
JP2022504232A (ja) * 2018-10-05 2022-01-13 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の高温原子層堆積
JP2022052908A (ja) * 2020-09-24 2022-04-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7314016B2 (ja) 2019-10-16 2023-07-25 大陽日酸株式会社 金属酸化薄膜の形成方法

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9583337B2 (en) 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
CN105097500B (zh) * 2014-05-23 2020-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US10113234B2 (en) * 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
CN104120404A (zh) * 2014-07-23 2014-10-29 国家纳米科学中心 一种超薄氧化硅膜材料及其制备方法
CN104099581A (zh) * 2014-07-23 2014-10-15 国家纳米科学中心 一种氧化硅膜材料及其制备方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104911561B (zh) * 2015-04-14 2017-12-26 中国计量科学研究院 制备高厚度均匀性纳米/亚微米SiO2薄膜的方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
CN108026637A (zh) * 2015-09-11 2018-05-11 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
CN105870249B (zh) * 2016-03-24 2017-10-03 江苏微导纳米装备科技有限公司 一种晶硅太阳能电池的制造工艺
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10464953B2 (en) 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102093227B1 (ko) 2017-04-20 2020-03-25 (주)디엔에프 다이실릴아민 화합물, 이의 제조방법 및 이를 포함하는 실리콘 함유 박막증착용 조성물
KR102548405B1 (ko) 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107523809B (zh) * 2017-08-23 2019-06-25 江苏菲沃泰纳米科技有限公司 一种有机硅硬质纳米防护涂层的制备方法
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102251040B1 (ko) 2017-10-24 2021-05-12 (주)덕산테코피아 아미노실란 전구체 화합물 및 이를 사용하는 박막 형성 방법
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20190105970A (ko) 2018-03-07 2019-09-18 (주)덕산테코피아 SiC 전구체 화합물 및 이를 사용하는 박막 형성 방법
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102173384B1 (ko) 2018-03-30 2020-11-03 에스케이트리켐 주식회사 SiO2 박막 형성용 전구체 및 이를 이용한 SiO2 박막 형성.
KR20200130750A (ko) * 2018-04-09 2020-11-19 램 리써치 코포레이션 유기실리콘 전구체를 사용한 웨이퍼 표면의 소수성 개질
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11567407B2 (en) * 2018-09-28 2023-01-31 Tokyo Electron Limited Method for globally adjusting spacer critical dimension using photo-active self-assembled monolayer
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
JP7087917B2 (ja) 2018-10-31 2022-06-21 住友ゴム工業株式会社 クロスプライタイヤの製造方法
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
EP3766888A1 (en) * 2018-11-30 2021-01-20 Hansol Chemical Co., Ltd Silicon precursor and method of manufacturing silicon-containing thin film using the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210104123A (ko) * 2018-12-21 2021-08-24 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 550℃ 이상의 온도에서 ald를 사용하여 si-함유 막을 증착시키기 위한 전구체 및 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20200247830A1 (en) * 2019-02-01 2020-08-06 Versum Materials Us, Llc Compositions And Methods Using Same for Silicon Containing Films
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
TWI755711B (zh) * 2019-04-25 2022-02-21 美商慧盛材料美國責任有限公司 用於矽氧化物薄膜的高溫原子層沉積之有機胺基二矽氮烷
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220057617A (ko) * 2019-09-10 2022-05-09 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 필름의 비등각 증착을 위한 조성물 및 이를 이용하는 방법
CN114616652A (zh) * 2019-09-13 2022-06-10 弗萨姆材料美国有限责任公司 单烷氧基硅烷及由其制备的致密有机二氧化硅膜
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
WO2021133774A1 (en) * 2019-12-27 2021-07-01 Versum Materials Us, Llc Method for depositing a film
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR102364476B1 (ko) * 2020-05-08 2022-02-18 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN117677730A (zh) * 2021-07-19 2024-03-08 朗姆研究公司 提高氧化物膜的沉积速率
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230193462A1 (en) * 2021-12-17 2023-06-22 Entegris, Inc. Precursors and related methods

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000077399A (ja) * 1998-01-21 2000-03-14 Nippon Steel Corp シリカ系多孔質膜およびその製造方法
JP2007005633A (ja) * 2005-06-24 2007-01-11 Toshiba Corp 絶縁膜の形成方法、半導体装置の製造方法、半導体装置
JP2007507902A (ja) * 2003-09-30 2007-03-29 アヴィザ テクノロジー インコーポレイテッド 原子層堆積による高誘電率誘電体の成長
JP2007318142A (ja) * 2006-05-23 2007-12-06 Air Products & Chemicals Inc 有機アミノシラン前駆体から酸化ケイ素膜を製造するための方法
JP2008514605A (ja) * 2004-09-28 2008-05-08 プラクスエア・テクノロジー・インコーポレイテッド 有機金属前駆体化合物
JP2008135633A (ja) * 2006-11-29 2008-06-12 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
JP2009032911A (ja) * 2007-07-27 2009-02-12 Meidensha Corp 酸化膜形成方法及びその装置
JP2009538989A (ja) * 2006-05-30 2009-11-12 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. パルス化大気圧グロー放電を使用する堆積の方法及び装置
JP2010066597A (ja) * 2008-09-11 2010-03-25 Shin-Etsu Chemical Co Ltd パターン形成方法
WO2010064306A1 (ja) * 2008-12-03 2010-06-10 富士通株式会社 半導体装置の製造方法
JP2010225663A (ja) * 2009-03-19 2010-10-07 Adeka Corp 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
JP2010275602A (ja) * 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
JP2012009899A (ja) * 2007-02-15 2012-01-12 Air Products & Chemicals Inc 誘電体膜の材料特性を高めるための活性化学的方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3633821B2 (ja) * 1999-03-18 2005-03-30 独立行政法人科学技術振興機構 気相からの低誘電率多孔質シリカ膜の形成方法
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
JP4410497B2 (ja) * 2003-06-17 2010-02-03 東京エレクトロン株式会社 成膜方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP5157169B2 (ja) 2004-09-01 2013-03-06 コニカミノルタホールディングス株式会社 ガスバリア積層体、有機エレクトロルミネッセンス素子及びガスバリア積層体の製造方法
JP2006269621A (ja) * 2005-03-23 2006-10-05 Mitsui Eng & Shipbuild Co Ltd Aldによる薄膜形成方法および装置
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
GB0910040D0 (en) * 2009-06-11 2009-07-22 Fujifilm Mfg Europe Bv Substrate structure
JP5011355B2 (ja) * 2009-07-30 2012-08-29 東京エレクトロン株式会社 成膜方法
JP5421736B2 (ja) * 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
EP2363512A1 (en) 2010-02-04 2011-09-07 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8460753B2 (en) 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8809152B2 (en) * 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000077399A (ja) * 1998-01-21 2000-03-14 Nippon Steel Corp シリカ系多孔質膜およびその製造方法
JP2007507902A (ja) * 2003-09-30 2007-03-29 アヴィザ テクノロジー インコーポレイテッド 原子層堆積による高誘電率誘電体の成長
JP2008514605A (ja) * 2004-09-28 2008-05-08 プラクスエア・テクノロジー・インコーポレイテッド 有機金属前駆体化合物
JP2007005633A (ja) * 2005-06-24 2007-01-11 Toshiba Corp 絶縁膜の形成方法、半導体装置の製造方法、半導体装置
JP2007318142A (ja) * 2006-05-23 2007-12-06 Air Products & Chemicals Inc 有機アミノシラン前駆体から酸化ケイ素膜を製造するための方法
JP2009538989A (ja) * 2006-05-30 2009-11-12 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. パルス化大気圧グロー放電を使用する堆積の方法及び装置
JP2008135633A (ja) * 2006-11-29 2008-06-12 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
JP2012009899A (ja) * 2007-02-15 2012-01-12 Air Products & Chemicals Inc 誘電体膜の材料特性を高めるための活性化学的方法
JP2009032911A (ja) * 2007-07-27 2009-02-12 Meidensha Corp 酸化膜形成方法及びその装置
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
JP2010066597A (ja) * 2008-09-11 2010-03-25 Shin-Etsu Chemical Co Ltd パターン形成方法
WO2010064306A1 (ja) * 2008-12-03 2010-06-10 富士通株式会社 半導体装置の製造方法
JP2010225663A (ja) * 2009-03-19 2010-10-07 Adeka Corp 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
JP2010275602A (ja) * 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
K.IWANAGA ET AL.: "Development of Novel Silicon Precursors for Low-Temperrature CVD/ALD Processes", ABSTRACT #1867, 220TH ECS MEETING, JPN7014002513, 9 October 2011 (2011-10-09), US, ISSN: 0002882026 *

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018014536A (ja) * 2012-04-12 2018-01-25 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 酸化ケイ素薄膜の高温原子層堆積
JP2017507909A (ja) * 2014-01-08 2017-03-23 ディーエヌエフ カンパニー リミテッドDNF Co. Ltd. 新規なトリシリルアミン誘導体およびその製造方法、並びにそれを用いたシリコン含有薄膜
JP2018035180A (ja) * 2014-01-08 2018-03-08 ディーエヌエフ カンパニー リミテッドDNF Co. Ltd. 新規なトリシリルアミン誘導体およびその製造方法、並びにそれを用いたシリコン含有薄膜
JP2015188087A (ja) * 2014-03-26 2015-10-29 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 酸化ケイ素膜の堆積のための組成物および方法
JP2017531920A (ja) * 2014-10-03 2017-10-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温酸化ケイ素原子層堆積技術
JP2019501528A (ja) * 2015-12-28 2019-01-17 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 五置換ジシランを使用するケイ素含有フィルムの蒸着
JP2017130665A (ja) * 2016-01-20 2017-07-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の高温原子層堆積
JP7092709B2 (ja) 2016-01-20 2022-06-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の高温原子層堆積
JP2019186562A (ja) * 2016-01-20 2019-10-24 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の高温原子層堆積
JP2020511796A (ja) * 2017-03-15 2020-04-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
JP7230126B2 (ja) 2017-03-15 2023-02-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
JP2020511797A (ja) * 2017-03-15 2020-04-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
JP2021180320A (ja) * 2017-03-15 2021-11-18 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
JP2022033162A (ja) * 2017-05-24 2022-02-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高成長速度ケイ素含有膜の前駆体としての官能化シクロシラザン
JP2020521749A (ja) * 2017-05-24 2020-07-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高成長速度ケイ素含有膜の前駆体としての官能化シクロシラザン
JP7193478B2 (ja) 2017-05-24 2022-12-20 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高成長速度ケイ素含有膜の前駆体としての官能化シクロシラザン
JP2019038126A (ja) * 2017-08-22 2019-03-14 キヤノン株式会社 液体吐出ヘッドの製造方法
JP7329045B2 (ja) 2018-10-04 2023-08-17 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高品質酸化ケイ素薄膜の高温原子層堆積のための組成物
JP2022504248A (ja) * 2018-10-04 2022-01-13 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高品質酸化ケイ素薄膜の高温原子層堆積のための組成物
JP7256263B2 (ja) 2018-10-05 2023-04-11 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の高温原子層堆積
JP2022504232A (ja) * 2018-10-05 2022-01-13 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の高温原子層堆積
JP2021509765A (ja) * 2018-11-30 2021-04-01 ハンソル ケミカル カンパニー リミテッドHansol Chemical Co., Ltd. シリコン前駆体およびこれを用いたシリコン含有薄膜の製造方法
US11267828B2 (en) 2018-11-30 2022-03-08 Hansol Chemical Co., Ltd. Silicon precursor and method of manufacturing silicon-containing thin film using the same
JP7065805B2 (ja) 2019-05-13 2022-05-12 大陽日酸株式会社 ハロゲン化アミノシラン化合物、薄膜形成用組成物およびシリコン含有薄膜
JP2020186193A (ja) * 2019-05-13 2020-11-19 大陽日酸株式会社 ハロゲン化アミノシラン化合物、薄膜形成用組成物およびシリコン含有薄膜
JP7023905B2 (ja) 2019-08-30 2022-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11527402B2 (en) 2019-08-30 2022-12-13 Kokusai Electric Corporation Method of processing substrate, substrate processing apparatus, recording medium, and method of manufacturing semiconductor device
JP2021039970A (ja) * 2019-08-30 2021-03-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
CN112447499A (zh) * 2019-08-30 2021-03-05 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质
TWI800746B (zh) * 2019-08-30 2023-05-01 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理方法、基板處理裝置及其程式
JP2021040060A (ja) * 2019-09-04 2021-03-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システム、およびプログラム
JP7314016B2 (ja) 2019-10-16 2023-07-25 大陽日酸株式会社 金属酸化薄膜の形成方法
JP2022052908A (ja) * 2020-09-24 2022-04-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7123100B2 (ja) 2020-09-24 2022-08-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
JP2020038978A (ja) 2020-03-12
JP2016027674A (ja) 2016-02-18
US20190189431A1 (en) 2019-06-20
US20160365244A1 (en) 2016-12-15
US9460912B2 (en) 2016-10-04
US20130295779A1 (en) 2013-11-07
JP2018014536A (ja) 2018-01-25
US10242864B2 (en) 2019-03-26
KR20130116210A (ko) 2013-10-23
EP2650399B1 (en) 2019-09-11
US20170256399A9 (en) 2017-09-07
US10991571B2 (en) 2021-04-27
KR20210042072A (ko) 2021-04-16
KR102552319B1 (ko) 2023-07-07
KR20180026685A (ko) 2018-03-13
KR20150091296A (ko) 2015-08-10
TW201343952A (zh) 2013-11-01
TWI515325B (zh) 2016-01-01
CN103374708B (zh) 2017-05-17
JP6276360B2 (ja) 2018-02-07
CN103374708A (zh) 2013-10-30
EP2650399A2 (en) 2013-10-16
EP2650399A3 (en) 2013-10-30
JP6673886B2 (ja) 2020-03-25
JP2017028313A (ja) 2017-02-02
KR20200084308A (ko) 2020-07-10
JP6262702B2 (ja) 2018-01-17

Similar Documents

Publication Publication Date Title
JP6276360B2 (ja) 酸化ケイ素薄膜の高温原子層堆積
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
JP6219870B2 (ja) 酸化ケイ素膜の堆積のための組成物および方法
KR20170018872A (ko) 질화규소 막을 증착시키는 방법
US11049714B2 (en) Silyl substituted organoamines as precursors for high growth rate silicon-containing films
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
JP2022518595A (ja) ケイ素含有膜のための組成物及びその組成物を使用する方法
KR20190143489A (ko) 고성장률 규소-함유 필름을 위한 전구체로서 작용성화된 사이클로실라잔
TWI755711B (zh) 用於矽氧化物薄膜的高溫原子層沉積之有機胺基二矽氮烷
JP7329045B2 (ja) 高品質酸化ケイ素薄膜の高温原子層堆積のための組成物

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140826

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141125

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20141128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150728

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151027

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20160607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161007

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20161019

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20161202