KR20190143489A - 고성장률 규소-함유 필름을 위한 전구체로서 작용성화된 사이클로실라잔 - Google Patents

고성장률 규소-함유 필름을 위한 전구체로서 작용성화된 사이클로실라잔 Download PDF

Info

Publication number
KR20190143489A
KR20190143489A KR1020197037836A KR20197037836A KR20190143489A KR 20190143489 A KR20190143489 A KR 20190143489A KR 1020197037836 A KR1020197037836 A KR 1020197037836A KR 20197037836 A KR20197037836 A KR 20197037836A KR 20190143489 A KR20190143489 A KR 20190143489A
Authority
KR
South Korea
Prior art keywords
plasma
group
silicon
hexamethylcyclotrisilazane
aza
Prior art date
Application number
KR1020197037836A
Other languages
English (en)
Other versions
KR102430845B1 (ko
Inventor
만차오 샤오
매튜 알. 맥도날드
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20190143489A publication Critical patent/KR20190143489A/ko
Application granted granted Critical
Publication of KR102430845B1 publication Critical patent/KR102430845B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/21Cyclic compounds having at least one ring containing silicon, but no carbon in the ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)

Abstract

열적 원자층 증착(ALD) 또는 플라즈마 강화 원자층 증착(PEALD) 공정, 또는 이들의 조합을 통해 규소-함유 필름, 예컨대, 제한 없이, 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 실리콘 카보니트라이드, 실리콘 옥시카보니트라이드, 또는 탄소-도핑된 실리콘 옥사이드를 증착시키기 위한 작용성화된 사이클로실라잔 전구체 화합물 및 조성물 및 이를 포함하는 방법이 본원에 기재된다.

Description

고성장률 규소-함유 필름을 위한 전구체로서 작용성화된 사이클로실라잔
관련 출원에 대한 상호-참조
본 출원은 2017년 5월 24일자 출원된 미국 가특허 출원 제62/510,506호를 35 U.S.C. § 119(e) 하에 우선권으로 주장하고, 2018년 5월 22일자 출원된 미국 특허 출원 제15/986,030호를 35 U.S.C. § 120 하에 우선권으로 주장하며, 상기 출원들의 개시 내용은 이들의 전체가 본원에 참조로 포함된다.
발명의 배경
열적 원자층 증착(thermal atomic layer deposition: ALD) 또는 플라즈마 강화 원자층 증착(plasma enhanced atomic layer deposition: PEALD) 공정, 또는 이들의 조합을 통해 규소-함유 필름, 예컨대, 제한 없이, 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 실리콘 카보니트라이드, 실리콘 옥시카보니트라이드, 또는 탄소-도핑된 실리콘 옥사이드를 증착시키기 위한 작용성화된 사이클로실라잔 전구체 화합물 및 조성물 및 이를 포함하는 방법이 본원에 기재된다. 더욱 특히, 예를 들어, 약 25℃ 내지 약 300℃를 포함하여, 약 600℃ 이하의 하나 이상의 증착 온도에서 화학량론적 또는 비-화학량론적 규소-함유 필름 또는 물질의 형성을 위한 조성물 및 방법이 본원에 기재된다.
원자층 증착(ALD) 및 플라즈마 강화 원자층 증착(PEALD)은, 예를 들어, 저온(<500℃)에서 실리콘 옥사이드 컨포말(conformal)한 필름을 증착시키기 위해 사용되는 공정이다. ALD와 PEALD 공정 둘 모두에서, 전구체 및 반응성 가스(예컨대, 산소 또는 오존)는 각 사이클에서 실리콘 옥사이드의 단일층을 형성시키기 위해 특정 횟수의 사이클에서 별도로 펄싱된다. 그러나, 이러한 공정을 이용하여 저온에서 증착된 실리콘 옥사이드는 특정 반도체 적용에 유해할 수 있는 특정 수준의 불순물, 예컨대, 제한 없이, 질소(N)를 함유할 수 있다. 이를 해결하기 위하여, 하나의 가능한 해법은 증착 온도를 500℃ 이상으로 증가시키는 것이다. 그러나, 이러한 더 높은 온도에서, 반도체 산업에 의해 이용되는 통상적인 전구체는 자가-반응적이고, 열적으로 분해되고, ALD 모드 보다 오히려 화학적 기상 증착(chemical vapor deposition: CVD) 모드에서 증착하는 경향이 있다. CVD 모드 증착은, 특히 다수의 반도체 적용에 필요한 고종횡비 구조때문에, ALD 증착과 비교하여 감소된 컨포말성(conformality)을 갖는다. 또한, CVD 모드 증착은 ALD 모드 증착보다 필름 또는 물질 두께의 제어를 덜 갖는다.
명칭이 "Some New Alkylaminosilanes"인 참조 논문[Abel, E.W. et al., J. Chem. Soc., (1961), Vol. 26, pp. 1528-1530]에는 트리메틸클로로실란(Me3SiCl)과 적절한 아민의 직접적인 상호작용으로부터의 다양한 아미노실란 화합물, 예컨대, Me3SiNHBu-이소, Me3SiNHBu-2차, Me3SiN(Pr-이소)2, 및 Me3SiN(Bu-2차)2(여기서, Me = 메틸, Bu-2차 = 2차-부틸, 및 Pr-이소 = 이소프로필)의 제법이 기재되어 있다.
명칭이 "SiO2 Atomic Layer Deposition Using Tris(dimethylamino)silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy"인 참조 논문[Burton, B. B., et al., The Journal of Physical Chemistry (2009), Vol. 113, pp. 8249-57]에는 산화제로서 H2O2에 의해 다양한 규소 전구체를 사용한 실리콘 디옥사이드(SiO2)의 원자층 증착(ALD)이 기재되어 있다. 그러한 규소 전구체는 (N,N-디메틸아미노)트리메틸실란) (CH3)3SiN(CH3)2, 비닐트리메톡시실란 CH2CHSi(OCH3)3, 트리비닐메톡시실란 (CH2CH)3SiOCH3, 테트라키스(디메틸아미노)실란 Si(N(CH3)2)4, 및 트리스(디메틸아미노)실란 (TDMAS) SiH(N(CH3)2)3이었다. TDMAS가 이들 전구체 중 가장 효과적인 것으로 결정되었다. 그러나, 추가 연구에서 TDMAS로부터의 SiH* 표면 종이 단지 H2O만을 사용해서는 제거하기 어려운 것으로 결정되었다. 후속 연구에는 TDMAS와 산화제로서 H2O2이 사용되었고, 150℃ 내지 550℃의 온도 범위에서 SiO2 ALD가 시험되었다. TDMAS와 H2O2 표면 반응이 완료에 이르는 데 필요한 노출이 동일반응계내 FTIR 분광기를 이용하여 모니터링되었다. TDMAS 노출 후의 FTIR 진동 스펙트럼은 O-H 신축 진동에 대한 흡수의 상실 및 C-Hx 및 Si-H 신축 진동에 대한 흡수의 획득을 나타냈다. H2O2 노출 후의 FTIR 진동 스펙트럼은 C-Hx 및 Si-H 신축 진동에 대한 흡수의 상실 및 O-H 신축 진동에 대한 흡수의 증가를 나타냈다. SiH* 표면 화학종은 >450 ℃ 온도에서만 완전히 제거되었다. SiO2의 벌크 진동 모드는 1000 내지 1250 cm-1에서 관찰되었으며, TDMAS 및 H2O2 반응 사이클의 횟수와 함께 점진적으로 성장되었다. 투과 전자 현미경(Transmission electron microscopy: TEM)은 150℃ 내지 550℃의 온도에서 ZrO2 나노입자에 대한 50회의 TDMAS와 H2O2 반응 사이클 후에 수행되었다. 각각의 온도에서 TEM에 의해서 측정된 필름 두께가 SiO2 ALD 성장률을 얻기 위해 이용되었다. 사이클 당 성장률은 150℃에서의 0.8Å/사이클 내지 550℃에서의 1.8Å/사이클로 다양하였으며, SiH* 표면 종의 제거와 연관성이 있었다. TDMAS와 H2O2를 사용한 SiO2 ALD는 >450℃의 온도에서의 SiO2 ALD를 위해서 가치있을 것이다.
JP 2010275602호 및 JP 2010225663호에는 300℃ 내지 500℃의 온도 범위에서 화학적 기상 증착(CVD) 공정에 의해 Si 함유 박막, 예컨대, 실리콘 옥사이드를 형성시키기 위한 원료의 사용이 개시되어 있다. 원료는 화학식 (a) HSi(CH3)(R1)(NR2R3)(여기서, R1은 NR4R5 또는 C1-C5 알킬 기를 나타내고; R2 및 R4는 각각 1C-5C 알킬 기 또는 수소 원자를 나타내고; R3 및 R5는 각각 1C-5C 알킬 기를 나타냄); 또는 화학식 (b) HSiCl(NR1R2)(NR3R4) (여기서, R1 및 R3은 독립적으로 1개 내지 4개의 탄소 원자를 갖는 알킬 기, 또는 수소 원자를 나타내고; R2 및 R4는 독립적으로 1개 내지 4개의 탄소 원자를 갖는 알킬 기를 나타냄)로 표현되는 유기 규소 화합물이다. 유기 규소 화합물은 H-Si 결합을 함유하였다.
미국 제5,424,095호에는 탄화수소의 산업적 열분해 동안에 코크스 형성률을 감소시키기 위한 방법이 기재되어 있으며, 반응기의 내부 표면은 균일한 층의 세라믹 물질로 코팅되어 있고, 그러한 층은 옥사이드 세라믹을 형성시키기 위해서 스팀 함유 가스 대기 중에서 증기 상의 비-알콕실화된 오가노실리콘 전구체의 열적 분해에 의해서 증착된다.
미국 제2012/0291321호에는 집적 회로 기판의 유전 필름과 금속 인터커넥트 사이에 고품질 Si 카르보니트릴 장벽 유전 필름을 형성시키기 위한 PECVD 공정으로서, 유전 필름 또는 금속 인터커넥트를 갖는 집적 회로 기판을 제공하는 단계; 기판을 RxRy(NRR')zSi(여기서, R, R', R 및 R'는 각각 개별적으로 H, 선형 또는 분지형의 포화되거나 불포화된 알킬, 또는 방향족 기로부터 선택되고; x+y+z = 4; z = 1 내지 3이지만; R, R'은 둘 모두가 H가 아닐 수 있고; z = 1 또는 2이면, x와 y의 각각은 적어도 1임)을 포함하는 장벽 유전 필름 전구체와 접촉시키는 단계; C/Si 비율 >0.8 및 N/Si 비율 >0.2를 갖는 Si 카보니트라이드 장벽 유전 필름을 집적 회로 기판상에 형성시키는 단계를 포함하는 공정이 기재되어 있다.
미국 제2013/0295779 A호에는 하기 화학식(I) 및 화학식(II)를 갖는 규소 전구체를 사용하여 >500℃의 증착 온도에서 실리콘 옥사이드 필름을 형성시키기 위한 원자층 증착(ALD) 공정이 기재되어 있다:
Figure pct00001
Figure pct00002
상기 화학식(I)에서,
R1, R2, 및 R3은 각각 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 및 C6 내지 C10 아릴 기로부터 선택되고; R4는 선형 또는 분지형 C1 내지 C10 알킬 기, 및 C6 내지 C10 아릴 기, C3 내지 C10 알킬실릴 기로부터 선택되고;
R3 및 R4은 연결되어 사이클릭 고리 구조를 형성하거나, R3 및 R4는 연결되지 않아서 사이클릭 고리 구조를 형성하지 않고; X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드이고; m은 0 내지 3이고; n은 0 내지 2이고; p는 0 내지 2이고, m+n+p = 3이고,
상기 화학식(II)에서,
R1 및 R2은 각각 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 및 C6 내지 C10 아릴 기로부터 선택되고; R3 및 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬 기, 및 C6 내지 C10 아릴 기로부터 선택되고;
R3 및 R4는 연결되어 사이클릭 고리 구조를 형성하거나, R3 및 R4는 연결되지 않아서 사이클릭 고리 구조를 형성하지 않고; X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드 원자이고; m은 0 내지 3이고; n은 0 내지 2이고; q는 0 내지 2이고, p는 0 내지 2이고, m+n+q+p = 3이다.
미국 제7,084,076호에는 실리콘 디옥사이드를 형성시키기 위해 500℃ 미만에서 ALD 증착을 위한 촉매로서 피리딘과 함께 사용되는 할로겐화된 실록산, 예컨대, 헥사클로로디실록산(HCDSO)이 개시되어 있다.
미국 제6,992,019호에는 적어도 두 개의 규소 원자를 갖는 규소 화합물로 이루어진 첫 번째 반응 성분을 사용하거나, 촉매 성분으로서 3차 지방족 아민을 사용하거나, 이들 둘을 조합함으로써, 반도체 기판 상에 우수한 성질을 갖는 실리콘 디옥사이드 층을 형성시키기 위한 촉매-보조 원자층 증착(ALD)의 방법이 관련된 퍼징 방법 및 시퀀싱과 함께 개시되어 있다. 사용된 전구체는 헥사클로로디실란이다. 증착 온도는 25℃ 내지 150℃이다.
WO 2015/0105337호에는 신규한 트리실릴 아민 유도체 및 규소 함유 박막의 형성을 위한 방법이 개시되어 있으며, 여기서 트리실릴 아민 유도체는 열 안정성, 높은 휘발성, 및 높은 반응성을 갖고, 실온에서 액체 상태로 존재하며, 취급이 가능한 압력하에서 다양한 증착 방법에 의해 탁월한 물리적 및 전기적 성질을 갖는 고순도의 규소 함유 박막을 형성시킬 수 있다.
WO 2015/0190749호에는 신규한 아미노-실릴 아민 화합물, (Me2NSiR3R4)N(SiHR1R2)2(R1-R4 = C1-3 알킬, C2-3 알케닐, C2-3 알키닐, C3-7 사이클로알킬, C6-12 아릴 등), 및 Si-N 결합을 함유하는 유전 필름의 방법이 개시되어 있다. 열적으로 안정하고 고도로 휘발성인 화합물인 본 발명에 따른 아미노-실릴 아민 화합물은 실온에서 처리되고 실온 및 압력에서 액체 상태 화합물로 사용될 수 있기 때문에, 본 발명은 원자층 증착(PEALD)을 이용함으로써 저온 및 플라즈마 조건에서도 Si-N 결합을 함유하는 고순도 유전 필름의 방법을 제공한다.
미국 제9,245,740호는 신규한 아미노-실릴 아민 화합물, 이를 제조하기 위한 방법, 및 이를 이용한 규소-함유 박막을 제공하며, 여기서 아미노-실릴 아민 화합물은 열 안정성 및 높은 휘발성을 가지며, 실온 및 취급이 용이한 압력하에서 액체 상태로 유지됨으로써 다양한 증착 방법에 의해 고순도 및 탁월한 물리적 및 전기적 성질을 갖는 규소-함유 박막을 형성시킨다.
미국 제2015/0376211A호에는 단일-치환된 TSA 전구체가 개시되어 있으며, Si-함유 필름 형성 조성물이 개시되어 있다. 전구체는 화학식 (SiH3)2N-SiH2-X(여기서, X는 할로겐 원자; 이소시아네이토 기; 아미노 기; N-함유 C4-C10 포화 또는 불포화 헤테로사이클; 또는 알콕시 기로부터 선택됨)을 갖는다. 개시된 단일-치환된 TSA 전구체를 이용하여 Si-함유 필름을 형성시키기 위한 방법이 또한 개시되어 있다.
US 3,444,127호에는 작용성화된 1,3-디옥사-5-아자-트리실라사이클로헥산을 아렌-링킹된 실란올과 반응시키고 혼합물을 180℃ 만큼 높게 가열함에 의한 폴리머 아렌-링킹된 실리콘의 합성이 기재되어 있다.
US 5,413,813호 및 US 5,424,095호에는 탄화수소의 열분해를 수반하는 후속 반응기 공정에서 코킹을 방지하기 위해 고온에서 세라믹 물질로 반응기 챔버 내부의 금속 또는 금속 옥사이드 표면을 코팅시키기 위한 상이한 헥사메틸사이클로트리실라잔 및 그 밖의 실라잔의 용도가 기재되어 있다.
US2015126045A1호에는 300℃ 미만의 온도에서 플라즈마-강화 CVD 공정으로 원격 플라즈마 및 헥사메틸사이클로트리실라잔 또는 그 밖의 아미노실라잔을 이용함으로써 기판 상의 실리콘 니트라이드 층의 증착이 기재되어 있다.
US2016379819A1호에는 기공-밀봉 다공성 저-유전 필름의 목적을 위한 헥사메틸사이클로트리실라잔을 포함한 상이한 실라잔을 포함하는 UV-보조 광화학적 증기의 용도가 기재되어 있다.
US20130330482A1호에는 전구체로서 비닐-치환된 사이클로트리실라잔 또는 그 밖의 실라잔을 이용하는 플라즈마-강화 CVD 공정을 통한 탄소-도핑된 실리콘 니트라이드 필름의 증착이 기재되어 있다.
US20160032452A1호에는 적어도 하나의 금속 유기 공급원 분자가 수소 라디칼 및 또 다른 공급원 가스와 반응하여 금속-함유 필름을 생성시키는 ALD 공정이 기재되어 있다.
앞서 확인된 특허, 특허 출원 및 간행물은 본원에 참조로 포함된다.
보다 저렴하고, 반응성이며, 보다 안정한 규소 전구체 화합물을 사용하여 열적 원자층 증착, 플라즈마 강화 원자층 증착(ALD) 공정 또는 플라즈마 강화 ALD-유사 공정으로 다음 속성들 중 적어도 하나 이상을 갖는 균일하고 컨포말한 규소-함유 필름, 예컨대, 실리콘 옥사이드를 형성시키기 위한 공정이 당해 기술 분야에 필요하다: 약 2.1g/cc 이상의 밀도, 2.0 Å/사이클 이상의 성장률, 적은 화학적 불순물 및/또는 높은 컨포말성.
발명의 간략한 요약
본 발명은 다음 증착 공정으로 비교적 저온에서, 예를 들어, 600℃ 이하의 하나 이상의 온도에서 화학량론적 또는 비화학량론적 규소-함유 물질 또는 필름, 예컨대, 제한 없이, 실리콘 옥사이드, 탄소 도핑된 실리콘 옥사이드, 실리콘 옥시니트라이드 필름, 실리콘 니트라이드, 탄소 도핑된 실리콘 니트라이드, 또는 탄소 도핑된 실리콘 옥시니트라이드 필름의 증착을 위한 조성물 및 공정을 제공함으로써 당해 기술 분야에서의 요구를 해결한다: 플라즈마 강화 ALD, 플라즈마 강화 사이클릭 화학적 기상 증착(plasma enhanced cyclic chemical vapor deposition: PECCVD), 유동성 화학적 기상 증착(flowable chemical vapor deposition: FCVD), 플라즈마 강화 유동성 화학적 기상 증착(plasma enhanced flowable chemical vapor deposition: PEFCVD), 플라즈마 강화 ALD-유사 공정, 또는 산소-함유 반응물 공급원, 질소-함유 반응물 공급원, 또는 이들의 조합에 의한 ALD 공정.
한 가지 양태에서, 하기 화학식 A, B, C, D, 또는 E 중 하나에 따른 규소 전구체 화합물이 제공된다:
Figure pct00003
상기 식에서, R1-3은 각각 독립적으로 수소, 메틸, 및 오가노아미노 기(NR'R")로 이루어진 군으로부터 선택되고, 여기서 R' 및 R"는 각각 독립적으로 수소, C1-10 선형 알킬 기, C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고, 단, R' 및 R"는 둘 모두 수소일 수 없고; R4 및 R5는 각각 독립적으로 수소, C1-10 선형 알킬 기, C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고; R6-8은 각각 독립적으로 수소, 메틸, 상기 정의된 바와 같은 오가노아미노 기(NR'R"), C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고, 단, R' 및 R"는 둘 모두 수소일 수 없고, 치환기 R1-8, R' 및 R" 중 둘 이상은 연결되어 치환되거나 비치환된, 포화되거나 불포화된 사이클릭 기를 형성할 수 있고, R6-8 중 적어도 하나는 수소이어야 하고, R6-8 중 적어도 두 개는 메틸이 아니어야 한다.
아민 또는 금속 아미드와 클로로실란의 반응으로 Si-N 결합을 형성하는 것과 같이 실라잔 분자를 합성하는 통상적인 방법 외에, 화학식 A 내지 E를 갖는 화합물은, 예를 들어, 적어도 하나의 Si-H 기를 갖는 하이드리도실란과 오가노아민, 선형 실라잔 또는 사이클로실라잔 모이어티의 적어도 하나의 N-H 결합 사이의 촉매작용 디하이드로커플링(catalytic dehydrocoupling) 의해 합성될 수 있다(예를 들어, 식 1 내지 5).
Figure pct00004
예시적인 디하이드로커플링 촉매는 트리스(펜타플루오로페닐)보란, BR3(여기서, R은 선형, 분지형, 또는 환형 C1 내지 C10 알킬 기, C5 내지 C10 아릴 기, 또는 C1 내지 C10 알콕시 기로부터 선택됨), 1,3-디이소프로필-4,5-디메틸이미다졸-2-일리덴, 2,2'-바이피리딜, 페난트롤린, Mg[N(SiMe3)2]2, [트리스(4,4-디메틸-2-옥사졸리닐)페닐보레이트]MgMe, [트리스(4,4-디메틸-2-옥사졸리닐)페닐보레이트]MgH, 트리메틸알루미늄, 트리에틸알루미늄, 알루미늄 클로라이드, Ca[N(SiMe3)2]2, 디벤질칼슘, {CH-[CMeNC6H3-2,6-iPr2]2}CaH, 트리루테늄 도데카카보닐, {CH-[CMeNC6H3-2,6-iPr2]2}Ca[N(SiMe3)2], 비스(사이클로펜타디에닐)디알킬티타늄(IV), 비스(사이클로펜타디에닐)티타늄(IV)디플루오라이드, 비스(사이클로펜타디에닐)티타늄(IV)디클로라이드, 비스(사이클로펜타디에닐)티타늄(IV)디하이드라이드, TiMe2(dmpe)2[dmpe = 1,2-비스(디메틸포스피노)에탄], (C5H5)2Ti(OAr)2 [Ar = (2,6-(iPr)2C6H3)], (C5H5)2Ti(SiHRR')PMe3[여기서, R, R'는 각각 독립적으로 수소 원자 (H), 메틸 기 (Me), 및 페닐 (Ph) 기로부터 선택됨], 비스(벤젠)크로뮴(0), 크로뮴 헥사카보닐, 디망가니즈 데카카보닐, [Mn(CO)4Br]2, 아이언 펜타카보닐, (C5H5)Fe(CO)2Me, 디코발트 옥타카보닐, 니켈(II) 아세테이트, 니켈(II) 클로라이드, [(dippe)Ni(μ-H)]2 [dippe = 1,2-비스(디이소프로필포스피노)에탄], (R-인데닐)Ni(PR'3)Me [여기서, R은 1-i-Pr, 1-SiMe3, 및 1,3-(SiMe3)2로부터 선택되고; R'는 메틸 (Me) 기 및 페닐 (Ph) 기로부터 선택됨], [{Ni(η-CH2:CHSiMe2)2O}2{μ-(η-CH2:CHSiMe2)2O}], 니켈(II) 아세틸아세토네이트, ni(사이클로옥타디엔)2, 구리(II) 플루오라이드, 구리(I) 클로라이드, 구리(II) 클로라이드, 구리(I) 브로마이드, 구리(II) 브로마이드, 구리(I) 아이오다이드, 구리(I) 아세테이트, Cu(PPh3)3Cl, 아연 클로라이드, [트리스(4,4-디메틸-2-옥사졸리닐)페닐보레이트]ZnH, Sr[N(SiMe3)2]2, 비스(사이클로펜타디에닐)디알킬지르코늄(IV), 비스(사이클로펜타디에닐)지르코늄(IV)디플루오라이드, 비스(사이클로펜타디에닐)지르코늄(IV)디클로라이드, 비스(사이클로펜타디에닐)지르코늄(IV)디하이드라이드, [(Et3P)Ru(2,6-디메시틸티오페놀레이트)][B[3,5-(CF3)2C6H3]4], (C5Me5)Ru(R3P)x(NCMe)3 -x]+ (여기서, R은 선형, 분지형, 또는 환형 C1 내지 C10 알킬 기 및 C5 내지 C10 아릴 기로부터 선택되고; x = 0, 1, 2, 3임), 트리스(트리페닐포스핀)로듐(I)카보닐 하이드라이드, 디-μ-클로로-테트라카보닐디로듐(I), 트리스(트리페닐포스핀)로듐(I) 클로라이드 (윌킨슨 촉매(Wilkinson's Catalyst)), 헥사로듐 헥사데카카보닐, 트리스(트리페닐포스핀)로듐(I) 카보닐 하이드라이드, 비스(트리페닐포스핀)로듐(I) 카보닐 클로라이드, [RhCl(사이클로옥타디엔)]2, 트리스(디벤즈일리덴아세톤)디팔라듐(0), 테트라키스(트리페닐포스핀)팔라듐(0), 팔라듐(II) 아세테이트, 팔라듐(II) 클로라이드, 팔라듐(II) 아이오다이드, 세슘 카보네이트, (C5H5)2SmH, (C5Me5)2SmH, (NHC)Yb(N(SiMe3)2)2 [NHC = 1,3-비스(2,4,6-트리메틸페닐)이미다졸-2-일리덴)], 텅스텐 헥사카보닐, 디레늄 데카카보닐, 트리오스뮴 도데카카보닐, 테트라이리듐 도데카카보닐, (아세틸아세토네이토)디카보닐이리듐(I), (POCOP)IrHCl [(POCOP) = 2,6-(R2PO)2C6H3, (R은 이소프로필 (iPr), 일반 부틸 (nBu), 및 메틸 (Me)로부터 선택됨)], Ir(Me)2(C5Me5)L [여기서, L은 PMe3 및 PPh3로부터 선택됨], [Ir(사이클로옥타디엔)OMe]2, 플래티넘(0)-1,3-디비닐-1,1,3,3-테트라메틸디실록산 (카르스테트 촉매(Karstedt's Catalyst)), H2PtCl6ㆍnH2O (클로로플라틴산), 비스(트리-3차-부틸포스핀)플래티넘(0), PtO2, 및 Pt(사이클로옥타디엔)2를 포함하지만, 이로 제한되지 않는다.
촉매는 또한 지지체에 고정되어 존재할 수 있다. 지지체는 높은 표면적을 갖는 고체이다. 전형적인 지지체 물질은 알루미나, MgO, 제올라이트, 탄소, 모노리스 코디어라이트(Monolith cordierite), 규조토, 실리카 겔, 실리카/알루미나, ZrO 및 TiO2를 포함하지만, 이로 제한되지 않는다. 바람직한 지지체는 탄소(예를 들어, 탄소 상 플래티넘, 탄소 상 팔라듐, 탄소 상 로듐, 탄소 상 루테늄) 알루미나, 실리카 및 MgO이다. 촉매의 금속 담지는 약 0.01 중량% 내지 약 50 중량%의 범위이다. 바람직한 범위는 약 0.5 중량% 내지 약 20 중량%이다. 더욱 바람직한 범위는 약 0.5 중량% 내지 약 10 중량%이다. 활성화를 필요로 하는 촉매는 다수의 공지된 방법에 의해 활성화될 수 있다. 진공하에 촉매를 가열하는 것이 바람직한 방법이다. 촉매는 반응 용기로의 첨가 전에 또는 반응물을 첨가하기 전에 반응 용기에서 활성화될 수 있다. 촉매는 촉진제를 함유할 수 있다. 촉진제는 그 자체가 촉매는 아니지만 활성 촉매와 소량으로 혼합될 때 이들의 효율(활성 및/또는 선택성)을 증가시키는 물질이다. 촉진제는 일반적으로 Mn, Ce, Mo, Li, Re, Ga, Cu, Ru, Pd, Rh, Ir, Fe, Ni, Pt, Cr, Cu 및 Au와 같은 금속 및/또는 이들의 산화물이다. 이들은 반응 용기에 별개로 첨가될 수 있거나, 디들은 그 자체가 촉매의 일부일 수 있다. 예를 들어, Ru/Mn/C (망간에 의해 촉진되는 탄소 상 루테늄) 또는 Pt/CeO2/Ir/SiO2 (세리아 및 이리듐에 의해 촉진되는 실리카 상 플래티넘). 일부 촉진제는 그 자체가 촉매로서 작용할 수 있지만, 주요 촉매와 조합한 이들의 사용이 주요 촉매의 활성을 향상시킬 수 있다. 촉매는 다른 촉매를 위한 촉진제로서 작용할 수 있다. 이러한 문맥에서, 촉매는 바이메탈(또는 폴리메탈) 촉매로 불릴 수 있다. 예를 들어, Ru/Rh/C는 탄소 바이메탈 촉매 상 루테늄 및 로듐 또는 로듐에 의해 촉진된 탄소 상 루테늄이라 불릴 수 있다. 활성 촉매는 특정 화학 반응에서 촉매로서 작용하는 물질이다.
또 다른 구체예에서, 기판 상에 규소-함유 필름을 증착시키기 위한 방법으로서, 반응기에 기판을 제공하는 단계; 하기 화학식 A, B, C, D, 또는 E 중 하나에 따른 적어도 하나의 규소 전구체 화합물을 포함하는 적어도 하나의 규소 전구체 화합물을 반응기에 도입하는 단계; 반응기를 퍼지 가스(purge gas)로 퍼징시키는 단계; 산소-함유 또는 질소-함유 공급원(또는 이들의 조합물)을 반응기에 도입하는 단계; 및 반응기를 퍼지 가스로 퍼징시키는 단계를 포함하고, 요망되는 두께의 필름이 증착될 때까지 단계들을 반복하고, 상기 방법이 약 25℃ 내지 600℃ 범위의 하나 이상의 온도에서 실시되는 방법이 제공된다:
Figure pct00005
상기 식에서, R1-3은 각각 독립적으로 수소, 메틸, 및 오가노아미노 기(NR'R")로 이루어진 군으로부터 선택되고, 여기서 R' 및 R"는 각각 독립적으로 수소, C1-10 선형 알킬 기, C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고, 단, R' 및 R"는 둘 모두 수소일 수 없고; R4 및 R5는 각각 독립적으로 수소, C1-10 선형 알킬 기, C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고; R6-8은 각각 독립적으로 수소, 메틸, 상기 정의된 바와 같은 오가노아미노 기(NR'R"), C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고, 단, R' 및 R"는 둘 모두 수소일 수 없고, 치환기 R1-8, R' 및 R" 중 둘 이상은 연결되어 치환되거나 비치환된, 포화되거나 불포화된 사이클릭 기를 형성할 수 있고, R6-8 중 적어도 하나는 수소이어야 하고, R6-8 중 적어도 두 개는 메틸이 아니어야 한다.
일부 구체예에서, 방법에 사용되는 산소-함유 공급원은 산소 플라즈마, 오존, 수증기, 수증기 플라즈마, 불활성 가스의 존재 또는 부재에서의 니트로젠 옥사이드(예를 들어, N2O, NO, NO2) 플라즈마, 카본 옥사이드(예를 들어, CO2, CO) 플라즈마 및 이들의 조합물로 이루어진 군으로부터 선택된 공급원이다. 특정 구체예에서, 산소 공급원은 불활성 가스를 추가로 포함한다. 이러한 구체예에서, 불활성 가스는 아르곤, 헬륨, 질소, 수소, 및 이들의 조합물로 이루어진 군으로부터 선택된다. 대안적인 구체예에서, 산소 공급원은 불활성 가스를 포함하지 않는다. 추가의 또 다른 구체예에서, 산소-함유 공급원은 질소를 포함하고, 이는 플라즈마 조건하에서 시약과 반응하여 실리콘 옥시니트라이드 필름을 제공한다.
일부 구체예에서, 질소-함유 공급원은 적어도 하나의 질소-함유 공급원의 형태로 반응기에 도입될 수 있고/거나 증착 공정에 사용되는 다른 전구체에 부수적으로 존재할 수 있다. 적합한 질소 공급원 가스는, 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 질소/아르곤 플라즈마, 질소/헬륨 플라즈마, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, 유기 아민, 예컨대, 3차-부틸아민, 디메틸아민, 디에틸아민, 이소프로필아민, 디에틸아민 플라즈마, 디메틸아민 플라즈마, 트리메틸 플라즈마, 트리메틸아민 플라즈마, 에틸렌디아민 플라즈마, 및 알콕시아민, 예컨대, 에탄올아민 플라즈마 및 이들의 혼합물을 포함할 수 있다. 특정 구체예에서, 질소-함유 공급원은 암모니아 플라즈마, 질소 및 아르곤을 포함하는 플라즈마, 질소 및 헬륨을 포함하는 플라즈마 또는 수소 및 질소 공급원 가스를 포함하는 플라즈마를 포함한다.
상술된 구체예에서 그리고 본 발명 전반에 걸쳐, 불활성 가스는 아르곤, 헬륨, 질소, 수소, 또는 이들의 조합물로 이루어진 군으로부터 선택된다. 대안적인 구체예에서, 산소-함유 플라즈마 공급원은 불활성 가스를 포함하지 않는다.
본 발명의 한 가지 구체예는 보다 저렴하고, 반응성이며, 보다 안정한 규소 전구체 화합물을 사용하여 열적 원자층 증착, 플라즈마 강화 원자층 증착(ALD) 공정 또는 플라즈마 강화 ALD-유사 공정으로 다음 속성들 중 적어도 하나 이상을 갖는 균일하고 컨포말한 규소-함유 필름, 예컨대, 실리콘 옥사이드에 관한 것이다: 약 2.1g/cc 이상의 밀도, 2.0 Å/사이클 이상의 성장률, 적은 화학적 불순물, 및/또는 높은 컨포말성.
본 발명의 구체예는 단독으로 또는 서로 조합하여 사용될 수 있다.
발명의 상세한 설명
간행물, 특허 출원, 및 특허를 포함하여, 본원에서 인용된 모든 참조들은, 각각의 참조가 개별적으로 그리고 구체적으로 참조로 포함되는 것으로 지시되고 이의 전체가 본원에 기재된 것과 같이 동일한 정도로 본원에 참조로 포함된다.
본 발명을 기술하는 문맥에서(특히 하기 청구항의 문맥에서) 용어 관사 및 유사한 관계항의 사용은, 달리 본원에서 지시되거나 문맥상 분명히 반박되지 않는 한, 단수형과 복수형 둘 모두를 포괄하는 것으로 해석되어야 한다. 용어 "~을 포함하는", "~을 갖는", 및 "~을 함유하는"은 달리 언급되지 않는 한 개방적 용어(즉, "~을 포함하지만, 이로 제한되지 않는"을 의미로 해석되어야 한다. 본원에서 값들의 범위에 대한 열거는 단지, 달리 본원에서 지시되지 않는 한, 그러한 범위 내에 속하는 각각의 개별 값들을 개별적으로 지칭하는 약칭법으로 작용하는 것으로 의도된 것이고, 각각의 개별 값은 본원에서 개별적으로 언급되는 것과 같이 본 명세서에 도입된다. 본원에 기재된 모든 방법들은, 달리 본원에서 지시되거나 달리 문맥상 분명하게 반박되지 않는 한, 임의의 적합한 순서로 수행될 수 있다. 본원에 제공되는 임의의 및 모든 실시예, 또는 예시적인 언어(예를 들어, "예컨대")의 사용은 단지 본 발명을 보다 분명히 하고자 의도된 것이며, 달리 청구되지 않는 한, 본 발명의 범위에 제한을 가하는 것이 아니다. 본 명세서에서의 언어는 본 발명의 실시에 필수적인 임의의 비-청구된 요소를 지시하는 것으로 해석되지 않아야 한다.
본원에 기재된 본 발명의 바람직한 구체예는 예시적인 것이며, 본 발명의 범위를 제한하지 않아야 한다. 그러한 바람직한 구체예의 변형은 상기 설명을 읽을 때 당업자에게 명백해질 수 있다. 본 발명자들은 당업자가 이러한 변형을 적절히 이용할 것으로 예상하며, 본 발명자들은 본 발명이 본원에 구체적으로 기재된 것과 다르게 실시되는 것을 의도한 것이다. 이에 따라서, 본 발명은 적용 가능한 법률에 의해 허용되는 바와 같이 본원에 첨부된 청구항에서 열거되는 주제들의 모든 변형 및 등가물을 포함한다. 더욱이, 이들의 모든 가능한 변형예에서 상술된 요소들의 임의의 조합은, 달리 본원에서 지시되거나 달리 문맥상 분명히 반박되지 않는 한, 본 발명에 포함된다.
약 600℃ 이하, 또는 약 25℃ 내지 약 600℃, 및 일부 구체예에서, 25℃ 내지 약 300℃의 하나 이상의 온도로 규소를 포함하는 화학량론적 또는 비화학량론적 필름 또는 물질, 예컨대, 제한 없이, 실리콘 옥사이드, 탄소-도핑된 실리콘 옥사이드 필름, 실리콘 옥시니트라이드, 실리콘 니트라이드, 탄소-도핑된 실리콘 니트라이드, 탄소-도핑된 실리콘 옥시니트라이드 필름 또는 이들의 조합물의 형성에 관한 방법이 본원에 기재된다. 본원에 기재된 필름은 증착 공정, 예컨대, 원자층 증착(ALD)으로 또는 ALD-유사 공정, 예컨대, 제한 없이, 플라즈마 강화 ALD (PEALD) 또는 플라즈마 강화 사이클릭 화학적 기상 증착 공정 (PECCVD), 유동성 화학적 기상 증착 (FCVD), 또는 플라즈마 강화 유동성 화학적 기상 증착 (PEFCVD)으로 증착된다. 본원에 기재된 저온 증착(예를 들어, 대략 주위 온도 내지 600℃ 범위의 하나 이상의 증착 온도) 방법은 다음 이점들, 즉, 약 2.1g/cc 이상의 밀도, 적은 화학적 불순물, 열적 원자층 증착, 플라즈마 강화 원자층 증착(ALD) 공정 또는 플라즈마 강화 ALD-유사 공정에서의 높은 컨포말성, 생성되는 필름에서 탄소 함량을 조절하는 능력; 및/또는 0.5 wt% 희석 HF에서 측정하는 경우, 초당 5 옹스트롬(Å/초) 이하의 에칭률을 갖는 필름 중 적어도 하나 이상을 나타내는 필름 또는 물질을 제공한다. 탄소-도핑된 실리콘 옥사이드 필름의 경우, 다른 특징, 예컨대, 제한 없이, 약 1.8 g/cc 이상 또는 약 2.0 g/cc 이상의 밀도 외에, 0.5 wt% 희석 HF에서 2Å/초 미만의 값으로 에칭률을 조정하기 위해서는 1% 초과의 탄소가 바람직하다.
본 발명은 당해 기술 분야에 공지된 장비를 이용하여 실시될 수 있다. 예를 들어, 본 발명의 방법에는 반도체 제작 기술에서 통상적인 반응기가 사용될 수 있다.
한 가지 구체예에서, 본원에 기재된 규소 전구체 조성물은 하기 화학식 A, B, C, D, 또는 E를 갖는 적어도 하나의 작용성화된 사이클로실라잔을 포함한다:
Figure pct00006
상기 식에서, R1-3은 각각 독립적으로 수소, 메틸, 및 오가노아미노 기(NR'R")로 이루어진 군으로부터 선택되고, 여기서 R' 및 R"는 각각 독립적으로 수소, C1-10 선형 알킬 기, C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고, 단, R' 및 R"는 둘 모두 수소일 수 없고; R4 및 R5는 각각 독립적으로 수소, C1-10 선형 알킬 기, C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고; R6-8은 각각 독립적으로 수소, 메틸, 상기 정의된 바와 같은 오가노아미노 기(NR'R"), C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고, 단, R' 및 R"는 둘 모두 수소일 수 없고, 치환기 R1-8, R' 및 R" 중 둘 이상은 연결되어 치환되거나 비치환된, 포화되거나 불포화된 사이클릭 기를 형성할 수 있고, R6-8 중 적어도 하나는 수소이어야 하고, R6-8 중 적어도 두 개는 메틸이 아니어야 한다.
본원에 기재된 조성물의 특정 구체예는 용매를 추가로 포함한다. 예시적인 용매는, 제한 없이, 에테르, 삼차 아민, 알킬 탄화수소, 방향족 탄화수소, 삼차 아미노에테르, 및 이들의 조합물을 포함할 수 있다. 특정 구체예에서, 규소 전구체의 비점과 용매의 비점 간의 차이는 40℃ 이하이다.
상기 화학식에서 그리고 설명 전반에 걸쳐, 용어 "알킬"은 1개 내지 10개의 탄소 원자를 갖는 선형 또는 분지형 작용기를 의미한다. 예시적인 선형 알킬 기는 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실 기를 포함하지만, 이로 제한되지 않는다. 예시적인 분지형 알킬 기는 이소-프로필, 이소-부틸, 2차-부틸, 3차-부틸, 이소-펜틸, 3차-펜틸, 이소-헥실, 및 네오-헥실을 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 알킬 기는 이에 결합되는 하나 이상의 작용 기, 예컨대, 이로 제한되는 것은 아니지만, 이에 결합되는 알콕시 기, 디알킬아미노 기 또는 이들의 조합물을 가질 수 있다. 다른 구체예에서, 알킬 기는 이에 결합되는 하나 이상의 작용기를 갖지 않는다. 알킬 기는 포화되거나, 대안적으로, 불포화될 수 있다.
상기 화학식에서 그리고 설명 전반에 걸쳐, 용어 "사이클릭 알킬"은 3개 내지 10개의 탄소 원자를 갖는 사이클릭 작용 기를 의미한다. 예시적인 사이클릭 알킬 기는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기를 포함하지만, 이로 제한되지 않는다.
상기 화학식에서 그리고 설명 전반에 걸쳐, 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합을 갖고 2개 내지 10개 또는 2개 내지 6개의 탄소 원자를 갖는 기를 의미한다.
본원에 기재된 화학식에서 그리고 설명 전반에 걸쳐, 용어 "디알킬아미노 기 또는 알킬아미노 기"는 1개의 질소 원자에 결합된 2개의 알킬 기 또는 1개의 질소 원자에 결합된 1개의 알킬을 갖고 1개 내지 10개 또는 2개 내지 6개 또는 2개 내지 4개의 탄소 원자를 갖는 기를 의미한다. 예로는 HNMe, HNBut, NMe2, NMeEt, NEt2, NPri 2를 포함하지만, 이로 제한되지 않는다.
상기 화학식에서 그리고 설명 전반에 걸쳐, 용어 "아릴"은 4개 내지 10개의 탄소 원자, 5개 내지 10개의 탄소 원자, 또는 6개 내지 10개의 탄소 원자를 갖는 방향족 사이클릭 작용기를 의미한다. 예시적인 아릴 기는 페닐, 벤질, 클로로벤질, 톨릴, o-자일릴, 1,2,3-트리아졸릴, 피롤릴, 및 푸라닐을 포함하지만, 이로 제한되지 않는다.
상기 화학식에서 및 설명 전반에 걸쳐, 용어 "헤테로사이클릭"은 약 3개 내지 약 10개의 고리 원자, 바람직하게는 약 5개 내지 약 10개의 고리 원자의 비-방향족 포화된 모노사이클릭 또는 멀티사이클릭 고리 시스템으로서, 고리 시스템에서 원자의 하나 이상이 탄소 이외의 원소(들), 예를 들어, 질소, 산소 또는 황인 고리 시스템을 의미한다. 바람직한 헤테로사이클은 약 5개 내지 약 6개의 고리 원자를 함유한다. 헤테로사이클 앞의 접두사 아자, 옥사 또는 티아는 적어도 하나의 질소, 산소 또는 황 원자가 각각 고리 원자로서 존재한다는 것을 의미한다. 헤테로사이클릭 기는 치환되거나 비치환된다.
예시적인 작용성화된 사이클로실라잔 전구체는 표 1에 열거되어 있다:
표 1.
Figure pct00007
Figure pct00008
Figure pct00009
본 발명의 또 다른 구체예에서, 기판의 적어도 하나의 표면 상에 규소-함유 필름을 증착시키기 위한 방법으로서, 방법이
a. 반응기에 기판을 제공하는 단계;
b. 상기 정의된 바와 같은 화학식 A 내지 E를 갖는 적어도 하나의 규소 전구체를 반응기에 도입하는 단계;
c. 퍼지 가스로 반응기를 퍼징시키는 단계;
d. 플라즈마를 포함하는 산소-함유 공급원을 반응기에 도입하는 단계; 및
e. 퍼지 가스로 반응기를 퍼징시키는 단계를 포함하는 방법이 본원에 기재된다.
이러한 방법에서, 단계 b 내지 e는 요망되는 두께의 필름이 기판 상에 증착될 때까지 반복된다.
본 발명의 방법은 플라즈마를 포함하는 산소-함유 공급원 또는 오존을 이용하는 ALD 공정을 통해 실시되고, 여기서 플라즈마는 불활성 가스, 예컨대, 다음 중 하나 이상을 추가로 포함할 수 있다: 불활성 가스의 존재 또는 부재에서의 산소 플라즈마, 불활성 가스의 존재 또는 부재에서의 수증기 플라즈마, 불활성 가스의 존재 또는 부재에서의 니트로젠 옥사이드(예를 들어, N2O, NO, NO2) 플라즈마, 불활성 가스의 존재 또는 부재에서의 카본 옥사이드(예를 들어, CO2, CO) 플라즈마 및 이들의 조합물.
산소-함유 플라즈마 공급원은 동일 반응계에서, 또는, 대안적으로, 원격으로 발생될 수 있다. 한 가지 특정 구체예에서, 산소-함유 공급원은 산소를 포함하고, 다른 시약, 예컨대, 제한 없이, 적어도 하나의 규소 전구체 및 임의로 불활성 가스와 함께 방법의 단계 b 내지 d 동안 유동되거나 도입된다.
특정 구체예에서, 본 발명에 따른 화학식 A 내지 E를 갖는 규소 전구체 및 본 발명에 따른 화학식 A 내지 E를 갖는 규소 전구체 화합물을 포함하는 조성물은 바람직하게는 할라이드 이온을 실질적으로 함유하지 않는다. 본원에서 사용되는 용어 "~을 실질적으로 함유하지 않는"은 할라이드 이온(또는 할라이드), 예컨대, 클로라이드 및 플루오라이드, 브로마이드, 및 아이오다이드에 관한 것이라면, ICP-MS에 의해 측정하는 경우, 5 ppm(중량 기준) 미만, 바람직하게는 3 ppm 미만, 및 더욱 바람직하게는 1 ppm 미만, 및 가장 바람직하게는 0 ppm을 의미한다. 클로라이드-함유 불순물은 화학식 A 내지 E를 갖는 규소 전구체 화합물에 대한 분해 촉매로서 작용하는 것으로 알려져 있다. 특정 경우에, 최종 생성물 중 상당 수준의 클로라이드가 규소 전구체 화합물의 분해를 초래할 수 있다. 규소 전구체 화합물의 점진적인 분해는 필름 증착 공정에 직접적으로 영향을 미쳐 반도체 제조업체가 필름 규격을 충족시키기 어렵게 할 수 있다. 또한, 저장 수명 또는 안정성은 규소 전구체 화합물의 분해 속도를 더 높게 하여 불리하게 영향을 받아서 1-2년 저장-수명을 보장하기 어렵게 만든다. 따라서, 규소 전구체 화합물의 가속화된 분해는 이러한 가연성 및/또는 발화성 가스 부산물의 형성과 관련된 안전성 및 성능 문제를 제기한다.
화학식 A 내지 E를 갖는 적어도 하나의 규소 전구체(들)가 용매를 포함하는 조성물에서 사용되는 그러한 구체예의 경우, 선택된 용매 또는 이들의 혼합물은 규소 전구체와 반응하지 않는다. 조성물 중의 중량 백분율 기준 용매의 양은 중량 기준 0.5 wt% 내지 99.5 wt% 또는 중량 기준 10 wt% 내지 75 wt%의 범위이다. 이러한 또는 다른 구체예에서, 용매는 화학식 A 내지 E의 규소 전구체의 b.p.와 유사한 비점(b.p.)을 갖거나, 용매의 b.p.와 화학식 A 내지 E의 규소 전구체의 b.p. 간의 차이는 40℃ 이하, 30℃ 이하, 또는 20℃ 이하, 또는 10℃이다. 대안적으로, 비점 간의 차이는 다음 종말점 중 임의의 하나 이상의 범위이다: 0, 10, 20, 30, 또는 40℃. b.p. 차이의 적합한 범위의 예는, 제한 없이, 0 내지 40℃, 20℃ 내지 30℃, 또는 10℃ 내지 30℃를 포함한다. 조성물 중의 적합한 용매의 예는 에테르(예컨대, 1,4-디옥산, 디부틸 에테르), 3차 아민(예컨대, 피리딘, 1-메틸피페리딘, 1-에틸피페리딘, N,N'-디메틸피페라진, N,N,N',N'-테트라메틸에틸렌디아민), 니트릴(예컨대, 벤조니트릴), 알킬 탄화수소(예컨대, 옥탄, 노난, 도데칸, 에틸사이클로헥산), 방향족 탄화수소(예컨대, 톨루엔, 메시틸렌), 3차 아미노에테르(예컨대, 비스(2-디메틸아미노에틸) 에테르), 또는 이들의 혼합물을 포함하지만, 이로 제한되지 않는다.
설명 전반에 걸쳐, 용어 "ALD 또는 ALD-유사"는 다음 공정들을 포함하지만, 이로 제한되지 않는 공정을 지칭한다: a) 규소 전구체 및 반응성 가스를 포함하는 각각의 반응물이 반응기, 예컨대, 단일 웨이퍼 ALD 반응기, 세미-배치(semi-batch) ALD 반응기, 또는 배치로(batch furnace) ALD 반응기로 순차적으로 도입되고; b) 규소 전구체 및 반응성 가스를 포함하는 각각의 반응물이 반응기의 상이한 섹션으로 기판으로 이동시키거나 그에 대해 회전시킴으로써 기판에 노출되고, 각 섹션은 불활성 가스 커튼(inert gas curtain), 즉, 공간적 ALD 반응기 또는 롤 투 롤(roll to roll) ALD 반응기에 의해 분리된다.
특정 구체예에서, 본원에 기재된 방법을 이용하여 증착된 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드 필름은 오존, 물(H2O)(예를 들어, 탈이온수, 정제수 및/또는 증류수), 산소(O2), 산소 플라즈마, NO, N2O, NO2, 일산화탄소(CO), 이산화탄소(CO2) 및 이들의 조합물을 포함한 산소-함유 공급원의 존재에서 형성된다. 산소-함유 공급원은, 예를 들어, 산소 플라즈마, 산소와 아르곤을 포함하는 플라즈마, 산소와 헬륨을 포함하는 플라즈마, 오존 플라즈마, 물 플라즈마, 아산화질소 플라즈마, 또는 이산화탄소 플라즈마와 같이 산소를 포함하는 산소-함유 플라즈마 공급원을 제공하도록 동일반응계내 또는 원격 플라즈마 발생기를 통해서 통과된다. 특정 구체예에서, 산소-함유 플라즈마 공급원은 약 1 내지 약 2000 표준 입방센티미터(standard cubic centimeter: sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기 내로 도입되는 산소 공급원 가스를 포함한다. 산소-함유 플라즈마 공급원은 약 0.1 내지 약 100 초 범위의 시간 동안 도입될 수 있다. 한 가지 특정의 구체예에서, 산소-함유 플라즈마 공급원은 10℃ 이상의 온도를 지니는 물을 포함한다. 필름이 PEALD 또는 플라즈마 강화 사이클릭 CVD 공정에 의해서 증착되는 구체예에서, 전구체 펄스는 ALD 반응기의 부피에 좌우하여 0.01초 초과(예를 들어, 약 0.01 내지 약 0.1초, 약 0.1 내지 약 0.5초, 약 0.5 내지 약 10초, 약 0.5 내지 약 20초, 약 1 내지 약 100초)인 펄스 기간을 가질 수 있고, 산소-함유 플라즈마 공급원은 0.01초 미만(예를 들어, 약 0.001 내지 약 0.01초)인 펄스 기간을 가질 수 있다.
본원에서 개시된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 소비되지 않은 반응물 및/또는 반응 부산물을 퍼징해 내기 위해서 사용되는 퍼지 가스는 전구체와 반응하지 않아서 상기 물질들을 포함하는 조성물을 형성시키는 불활성 가스이다. 예시적인 퍼지 가스는 아르곤(Ar), 질소(N2), 헬륨(He), 네온, 수소(H2), 및 이들의 혼합물을 포함하지만, 이로 제한되지 않는다. 특정의 구체예에서, 퍼지 가스, 예컨대, Ar은 약 0.1 내지 1000초 동안 약 10 내지 약 2000 sccm 범위의 유량으로 반응기 내로 공급되어서, 반응기 내에 남아 있을 수 있는 미반응된 물질 및 임의의 부산물을 퍼징시킨다.
전구체, 산소 공급원, 및/또는 다른 전구체, 공급원 가스 및/또는 시약을 공급하기 위한 각각의 단계는 이들을 공급하는 시간을 변화시킴으로써 수행되어 생성되는 유전 필름의 화학량론적 조성을 변화시킬 수 있다.
에너지가 화학식 A 내지 E의 규소 전구체, 산소 함유 공급원 또는 이들의 조합물 중 적어도 하나에 적용되어 반응을 유도하고 기판 상에 유전 필름 또는 코팅을 형성시킨다. 그러한 에너지는, 이로 제한되는 것은 아니지만, 열, 플라즈마, 펄스식 플라즈마, 헬리콘 플라즈마(helicon plasma), 고밀도 플라즈마, 유도 결합 플라즈마(inductively coupled plasma), X-선, e-빔, 광자, 원격 플라즈마 방법 및 이들의 조합에 의해서 제공될 수 있다. 특정 구체예에서, 이차 RF 주파수 공급원이 사용되어서 기판 표면에서의 플라즈마 특징을 변형시킬 수 있다. 증착이 플라즈마와 연루되는 구체예에서, 플라즈마-발생 공정은 플라즈마가 반응기에서 직접적으로 생성되는 직접 플라즈마-발생 공정, 또는, 대안적으로, 플라즈마가 반응기의 외부에서 발생되고 반응기 내로 공급되는 원격 플라즈마-발생 공정을 포함할 수 있다.
적어도 하나의 규소 전구체는 다양한 방식으로 반응 챔버, 예컨대, 플라즈마 강화 사이클릭 CVD 또는 PEALD 반응기 또는 배치로 유형 반응기에 전달될 수 있다. 한 가지 구체예에서, 액체 전달 시스템이 사용될 수 있다. 대안적인 구체예에서, 미국 미네소타 쇼어뷰 소재의 MSP Corporation에 의해서 제작된 터보 기화기와 같은 조합된 액체 전달 및 플래시 기화 공정 유닛이 사용되어 저휘발성 물질이 용적형으로 전달되게 할 수 있으며, 이는 전구체의 열적 분해 없이 재현 가능한 수송 및 증착을 유도한다. 액체 전달 포뮬레이션에서, 본원에 기재된 전구체는 순수한 액체 형태로 전달될 수 있거나, 대안적으로, 이를 포함하는 용매 포뮬레이션 또는 조성물에 사용될 수 있다. 따라서, 특정 구체예에서, 전구체 포뮬레이션은 기판 상에 필름을 형성시키기 위한 주어진 최종 사용 적용에 바람직하고 유리할 수 있는 적합한 특징의 용매 성분(들)을 포함할 수 있다.
앞서 언급된 바와 같이, 적어도 하나의 규소 전구체의 순도 수준은 신뢰할 만한 반도체 제작에 허용될 만큼 충분히 높다. 특정 구체예에서, 본원에 기재된 적어도 하나의 규소 전구체는 2 중량% 또는 그 미만, 1 중량% 또는 그 미만, 0.5 중량% 또는 그 미만의 다음 불순물, 즉, 유리 아민, 유리 할라이드 또는 할로겐 이온, 및 더 높은 분자량의 종 중 하나 이상을 포함한다. 본원에 기재된 규소 전구체의 더 높은 순도 수준은 다음 공정, 즉, 정제, 흡착 및/또는 증류 중 하나 이상을 통해서 얻을 수 있다.
본원에 기재된 방법의 한 가지 구체예에서, 플라즈마 강화 사이클릭 증착 공정, 예컨대, PEALD-유사 또는 PEALD가 이용될 수 있으며, 여기서, 증착은 적어도 하나의 규소 전구체 및 산소 플라즈마 공급원을 사용하여 수행된다. PEALD-유사 공정은 플라즈마 강화 사이클릭 CVD 공정으로서 정의되지만, 여전히 높은 컨포말한 규소 및 산소-함유 필름을 제공한다.
특정 구체예에서, 전구체 캐니스터(canister)로부터 반응 챔버로 연결되는 가스 라인이 공정 요건에 좌우하여 하나 이상의 온도로 가열되며, 적어도 하나의 규소 전구체의 용기는 버블링을 위한 하나 이상의 온도에서 유지된다. 다른 구체예에서는, 적어도 하나의 규소 전구체를 포함하는 용액이 직접적인 액체 주입을 위한 하나 이상의 온도로 유지된 기화기 내로 주입된다.
아르곤 및/또는 다른 가스의 유동이 전구체 펄싱 동안 적어도 하나의 규소 전구체의 증기를 반응 챔버로 전달하는 것을 돕기 위해 운반 가스로서 사용될 수 있다. 특정 구체예에서, 반응 챔버 공정 압력은 약 50 mTorr 내지 10 Torr이다. 다른 구체예에서, 반응 챔버 공정 압력은 최대 760 Torr(예를 들어, 약 50 mtorr 내지 약 100 Torr)일 수 있다.
전형적인 PEALD 또는 PEALD-유사 공정, 예컨대, PECCVD 공정에서, 실리콘 옥사이드 기판과 같은 기판은 반응 챔버 내의 가열기 스테이지에서 가열되고, 이는 먼저 규소 전구체에 노출되어 복합체가 기판의 표면 상에 화학적으로 흡착되게 한다.
퍼지 가스, 예컨대, 아르곤은 공정 챔버로부터 흡수되지 않은 과량의 복합체를 퍼징해 낸다. 충분한 퍼징 후에, 산소 공급원이 반응 챔버 내로 도입되어 흡수된 표면과 반응한 다음에, 또 다른 가스 퍼징이 수행되어 챔버로부터 반응 부산물을 제거한다. 공정 사이클은 요망되는 필름 두께를 달성하기 위해 반복될 수 있다. 일부의 경우에, 펌핑(pumping)이 불활성 가스에 의한 퍼지를 대신하거나, 이들 둘 모두가 이용되어 미반응된 규소 전구체를 제거할 수 있다.
이러한 또는 다른 구체예에서, 본원에 기재된 방법들의 단계들은 다양한 순서로 수행될 수 있거나, 순차적으로 수행될 수 있거나, 동시에 수행될 수 있고(예를 들어, 적어도 또 다른 단계의 일부 동안), 이들의 어떠한 조합으로 수행될 수 있는 것으로 이해된다. 전구체 및 산소 공급원 가스를 공급하는 각각의 단계는, 예를 들어, 이들을 공급하기 위한 기간을 변화시킴으로써 수행되어서 생성되는 유전 필름의 화학량론적 조성을 변화시킬 수 있다. 또한, 전구체 또는 산화제 단계 후의 퍼지 시간은 < 0.1초로 최소화되어서 처리량이 개선되게 할 수 있다.
한 가지 특정 구체예에서, 본원에 기재된 방법은 고품질의 규소-함유 필름, 예컨대, 규소 및 산소-함유 필름을 기판 상에 증착시킨다. 이러한 방법은
a. 반응기에 기판을 제공하는 단계;
b. 본원에 기재된 화학식 A 내지 E를 갖는 적어도 하나의 규소 전구체를 반응기에 도입하는 단계;
c. 퍼지 가스로 반응기를 퍼징시켜 흡착되지 않은 전구체의 적어도 일부를 제거하는 단계;
d. 산소-함유 플라즈마 공급원을 반응기에 도입하는 단계; 및
e. 퍼지 가스로 반응기를 퍼징시켜 미반응된 산소 공급원의 적어도 일부를 제거하는 단계를 포함하고,
단계 b 내지 e는 요망되는 두께의 규소-함유 필름이 증착될 때까지 반복된다.
본원에 개시된 또 다른 방법은 상기 정의된 바와 같은 화학식 A 내지 E로 표현된 화학 구조식을 갖는 규소 전구체 화합물과 산소 공급원을 사용하여 탄소 도핑된 실리콘 옥사이드 필름을 형성시킨다.
또 다른 예시적인 공정은
a. 반응기에 기판을 제공하는 단계;
b. 상기 정의된 바와 같은 화학식 A 내지 E로 표현되는 구조식을 갖는 적어도 하나의 규소 전구체 화합물로부터 발생되는 증기를 산소 공급원의 동시 유동과 함께 또는 그러한 유동 없이 접촉시켜 가열된 기판 상에 전구체를 화학적으로 흡수시키는 단계;
c. 임의의 흡수되지 않은 전구체를 퍼징해 내는 단계;
d. 가열된 기판 상에 산소 공급원을 도입하여 흡수된 전구체와 반응시키는 단계; 및
e. 임의의 미반응된 산소 공급원을 퍼징해 내는 단계로 기술되고,
단계 b 내지 e는 요망되는 두께가 달성될 때까지 반복된다.
또 다른 특정의 구체예에서, 본원에 기재된 방법은 고품질의 규소-함유 필름, 예컨대, 실리콘 니트라이트 필름을 기판 상에 증착시킨다. 이러한 방법은
a. 반응기에 기판을 제공하는 단계;
b. 본원에 기재된 화학식 A 내지 E를 갖는 적어도 하나의 규소 전구체를 반응기에 도입하는 단계;
c. 퍼지 가스로 반응기를 퍼징시켜 흡수되지 않은 전구체의 적어도 일부를 제거하는 단계;
d. 질소-함유 플라즈마 공급원을 반응기에 도입하는 단계; 및
e. 퍼지 가스로 반응기를 퍼징시켜 미반응된 질소 공급원 중 적어도 일부를 제거하는 단계를 포함하고,
단계 b 내지 e는 요망되는 두께의 규소-함유 필름이 증착될 때까지 반복된다.
또 다른 예시적인 공정은
a. 반응기에 기판을 제공하는 단계;
b. 상기 정의된 바와 같은 화학식 A 내지 E로 표현되는 구조식을 갖는 적어도 하나의 규소 전구체 화합물로부터 발생되는 증기를 질소 공급원의 동시 유동과 함께 또는 그러한 유동 없이 접촉시켜 가열된 기판 상에 전구체를 화학적으로 흡수시키는 단계;
c. 임의의 흡수되지 않은 전구체를 퍼징해 내는 단계;
d. 가열된 기판 상에 질소 공급원을 도입하여 흡수된 전구체와 반응시키는 단계; 및
e. 임의의 미반응된 질소 공급원을 퍼징해 내는 단계로 기술되고,
단계 b 내지 e는 요망되는 두께가 달성될 때까지 반복된다.
다양한 상업적 ALD 반응기, 예컨대, 단일 웨이퍼, 세미-배치, 배치로 또는 롤 투 롤 반응기가 고형 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 탄소 도핑된 실리콘 니트라이드, 탄소 도핑된 실리콘 옥시니트라이드, 또는 탄소 도핑된 실리콘 옥사이드를 증착시키기 위해서 사용될 수 있다.
본원에 기재된 방법을 위한 공정 온도는 종점으로서 다음 온도들 중 하나 이상을 이용한다: 0, 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300℃, 325℃, 350℃, 375℃, 400℃, 425℃, 450℃, 500℃, 525℃, 550℃. 예시적인 온도 범위는 다음을 포함하지만, 이로 제한되지 않는다: 약 0℃ 내지 약 300℃; 또는 약 25℃ 내지 약 300℃; 또는 약 50℃ 내지 약 290℃; 또는 약 25℃ 내지 약 250℃, 또는 약 25℃ 내지 약 200℃.
또 다른 양태에서, 유동성 화학적 기상 증착 (FCVD)을 통해 규소-함유 필름을 증착시키기 위한 방법으로서, 방법이
표면 피쳐(surface feature)를 포함하는 기판을 반응기에 넣되, 기판을 약 -20℃ 내지 약 400℃ 범위의 하나 이상의 온도로 유지시키고, 반응기의 압력을 100 torr 이하에서 유지시키고;
화학식 A 내지 E로 이루어진 군으로부터 선택된 적어도 하나의 화합물을 도입하고;
적어도 하나의 화합물과 반응시키도록 반응기에 산소 공급원을 제공하여 필름을 형성시키고 표면 피쳐의 적어도 일부를 커버링하고;
약 100℃ 내지 1000℃ 중 하나 이상의 온도에서 필름을 어닐링하여 표면 피쳐의 적어도 일부를 코팅하고;
약 20℃ 내지 약 1000℃ 범위의 하나 이상의 온도에서 산소 공급원으로 기판을 처리하여 표면 피쳐의 적어도 일부 상에 규소-함유 필름을 형성시킴을 포함하는 방법이 제공된다.
또 다른 양태에서, 유동성 화학적 기상 증착 (FCVD)으로 규소-함유 필름을 증착시키기 위한 방법으로서, 방법이
표면 피쳐를 포함하는 기판을 반응기에 넣되, 기판을 약 -20℃ 내지 약 400℃ 범위의 하나 이상의 온도에서 유지시키고, 반응기의 압력을 100 torr 이하에서 유지시키고;
화학식 A 내지 E로 이루어진 군으로부터 선택된 적어도 하나의 화합물을 도입하고;
적어도 하나의 화합물과 반응시키도록 반응기에 질소 공급원을 제공하여 필름을 형성시키고 표면 피쳐의 적어도 일부를 커버링하고;
약 100℃ 내지 1000℃ 중 하나 이상의 온도에서 필름을 어닐링하여 표면 피쳐의 적어도 일부를 코팅하고;
약 20℃ 내지 약 1000℃ 범위의 하나 이상의 온도에서 산소 공급원으로 기재를 처리하여 표면 피쳐의 적어도 일부 상에 규소-함유 필름을 형성시킴을 포함하는 방법이 제공된다.
특정 구체예에서, 산소 공급원은 수증기, 물 플라즈마, 오존, 산소, 산소 플라즈마, 산소/헬륨 플라즈마, 산소/아르곤 플라즈마, 질소 산화물 플라즈마, 이산화탄소 플라즈마, 과산화수소, 유기 과산화물, 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 다른 구체예에서, 질소 공급원은, 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 질소/아르곤 플라즈마, 질소/헬륨 플라즈마, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, 유기 아민, 예컨대, 3차-부틸아민, 디메틸아민, 디에틸아민, 이소프로필아민, 디에틸아민 플라즈마, 디메틸아민 플라즈마, 트리메틸 플라즈마, 트리메틸아민 플라즈마, 에틸렌디아민 플라즈마, 및 알콕시아민, 예컨대, 에탄올아민 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 추가의 다른 구체예에서, 질소-함유 공급원은 암모니아 플라즈마, 질소 및 아르곤을 포함하는 플라즈마, 질소 및 헬륨을 포함하는 플라즈마 또는 수소 및 질소 공급원 가스를 포함하는 플라즈마를 포함한다. 이러한 또는 다른 구체예에서, 본 방법 단계는 표면 피쳐가 규소-함유 필름으로 충진될 때까지 반복된다. 산소 공급원으로서 수증기가 사용되는 구체예에서, 기판 온도는 약 -20℃ 내지 약 40℃ 또는 약 -10℃ 내지 약 25℃의 범위이다.
본원에 기재된 방법의 또 다른 추가의 구체예에서, ALD, ALD-유사, PEALD, PEALD-유사 또는 FCVD로부터 증착된 필름 또는 증착시 필름은 처리 단계(증착 후)에 주어진다. 처리 단계는 증착 단계의 적어도 일부 동안, 증착 단계 후에, 및 이들의 조합에서 실시될 수 있다. 예시적인 처리 단계는, 제한 없이, 고온 열적 어닐링을 통한 처리; 플라즈마 처리; 자외선(UV) 광 처리; 레이저; 전자 빔 처리 및 필름의 하나 이상의 성질에 영향을 미치는 이들의 조합을 포함한다.
본원에 기재된 화학식 A 내지 E를 갖는 규소 전구체로 증착된 필름은, 동일한 조건하에 종래에 개시된 규소 전구체로 증착된 필름과 비교할 때, 개선된 성질, 예컨대, 제한 없이, 처리 단계 전의 필름의 습윤 에칭률보다 낮은 습윤 에칭률 또는 처리 단계 전의 밀도보다 높은 밀도를 갖는다. 한 가지 특정의 구체예에서, 증착 공정 동안에, 증착시 필름은 간헐적으로 처리된다. 이러한 간헐적 또는 중간-증착 처리는, 예를 들어, 각 ALD 사이클 후에, 매 특정 수의 ALD 후에, 예컨대, 제한 없이, 1회 (1) ALD 사이클, 2회 (2) ALD 사이클, 5회 (5) ALD 사이클 후에, 또는 매 10회 (10) 이상의 ALD 사이클 후에 수행될 수 있다.
화학식 A 내지 E의 전구체는 1.0 Å/사이클 이상의 성장률, 바람직하게는 1.5 Å/사이클 이상의 성장률, 가장 바람직하게는 2.0 Å/사이클 이상의 성장률을 나타낸다.
필름이 고온 어닐링 단계로 처리되는 구체예에서, 어닐링 온도는 증착 온도 보다 적어도 100℃ 이상 더 높다. 이러한 또는 다른 구체예에서, 어닐링 온도는 약 400℃ 내지 약 1000℃의 범위이다, 이러한 또는 다른 구체예에서, 어닐링 처리는 진공(< 760 Torr), 불활성 환경 또는 산소 함유 환경(예를 들어, H2O, N2O, NO2 또는 O2)에서 수행될 수 있다.
필름이 UV 처리로 처리되는 구체예에서, 필름은 광대역 UV, 또는 대안적으로, 약 150 나노미터(nm) 내지 약 400 nm 범위의 파장을 갖는 UV 공급원에 노출된다. 한 가지 특정의 구체예에서, 증착시 필름은 요망되는 필름 두께에 도달한 후에 증착 챔버와는 다른 챔버에서 UV에 노출된다.
필름이 플라즈마로 처리되는 구체예에서, 부동태화 층, 예컨대, SiO2 또는 탄소 도핑된 SiO2는 염소 및 질소 오염물이 후속 플라즈마 처리에서 필름에 침투하는 것을 방지하도록 증착된다. 부동태화 층은 원자층 증착 또는 사이클릭 화학적 기상 증착을 이용하여 증착될 수 있다.
필름이 플라즈마로 처리되는 구체예에서, 플라즈마 공급원은 수소 플라즈마, 수소 및 헬륨을 포함하는 플라즈마, 수소 및 아르곤을 포함하는 플라즈마로 이루어진 군으로부터 선택된다. 수소 플라즈마는 필름 유전 상수를 감소시키고, 탄소 함량을 많게 거의 변함없이 계속 유지하면서 다음 플라즈마 애싱 공정에 대한 손상 저항성을 증가시킨다.
특정 이론으로 국한시키려는 아니지만, 상기 정의된 바와 같은 화학식 A 내지 E로 표현되는 화학 구조식을 갖는 규소 전구체 화합물은 기재 표면 상에서 하이드록실로 Si-N 결합, 오가노아미노실릴 기, 또는 실라잔 기를 파괴함으로써 고정되어 Si-O-Si' 단편을 제공할 수 있는 것으로 사료되고, 여기서 Si' 단편은 적어도 두 개의 추가 규소 원자를 포함하는 6-원 고리의 일부인 질소 원자에 결합되고, 그에 따라 단지 한 개의 규소 원자를 갖는 비스(3차-부틸아미노)실란 또는 비스(디에틸아미노)실란과 같은 통상적인 규소 전구체에 비해 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드의 성장률을 증가시킨다. 화학식 A 내지 E를 갖는 작용성화된 사이클로실라잔으로, 3개 내지 6개 만큼 많은 규소 원자가 규소 전구체 펄스 단계 동안 분자에 대하여 기재에 고정될 수 있다.
특정 구체예에서, 상기 정의된 바와 같은 화학식 A 내지 E를 갖는 규소 전구체가 또한 금속 함유 필름, 이로 제한되지는 않지만, 예컨대, 금속 옥사이드 필름 또는 금속 니트라이드 필름을 위한 도펀트로서 사용될 수 있다. 이러한 구체예에서, 금속 함유 필름은 금속 알콕사이드, 금속 아미드, 또는 휘발성 유기금속 전구체를 사용함으로써 본원에 기재된 공정들과 같은 ALD 또는 CVD 공정을 이용하여 증착된다. 본원에 개시된 방법과 사용될 수 있는 적합한 금속 알콕사이드 전구체의 예는 3족 내지 6족 금속 알콕사이드, 둘 모두의 알콕시 및 알킬 치환된 사이클로펜타디에닐 리간드를 갖는 3족 내지 6족 금속 착물, 둘 모두의 알콕시 및 알킬 치환된 피롤릴 리간드를 갖는 3족 내지 6족 금속 착물, 둘 모두의 알콕시 및 디케토네이트 리간드를 갖는 3족 내지 6족 금속 착물, 둘 모두의 알콕시 및 케토에스테르 리간드를 갖는 3족 내지 6족 금속 착물을 포함하지만, 이로 제한되지 않는다.
본원에 개시된 방법과 사용될 수 있는 적합한 금속 아미드 전구체의 예는 테트라키스(디메틸아미노)지르코늄 (TDMAZ), 테트라키스(디에틸아미노)지르코늄 (TDEAZ), 테트라키스(에틸메틸아미노)지르코늄 (TEMAZ), 테트라키스(디메틸아미노)하프늄 (TDMAH), 테트라키스(디에틸아미노)하프늄 (TDEAH), 및 테트라키스(에틸메틸아미노)하프늄 (TEMAH), 테트라키스(디메틸아미노)티타늄 (TDMAT), 테트라키스(디에틸아미노)티타늄 (TDEAT), 테트라키스(에틸메틸아미노)티타늄 (TEMAT), 3차-부틸이미노 트리(디에틸아미노)탄탈럼 (TBTDET), 3차-부틸이미노 트리(디메틸아미노)탄탈럼 (TBTDMT), 3차-부틸이미노 트리(에틸메틸아미노)탄탈럼 (TBTEMT), 에틸이미노 트리(디에틸아미노)탄탈럼 (EITDET), 에틸이미노 트리(디메틸아미노)탄탈럼 (EITDMT), 에틸이미노 트리(에틸메틸아미노)탄탈럼 (EITEMT), 3차-아밀이미노 트리(디메틸아미노)탄탈럼 (TAIMAT), 3차-아밀이미노 트리(디에틸아미노)탄탈럼, 펜타키스(디메틸아미노)탄탈럼, 3차-아밀이미노 트리(에틸메틸아미노)탄탈럼, 비스(3차-부틸이미노)비스(디메틸아미노)텅스텐 (BTBMW), 비스(3차-부틸이미노)비스(디에틸아미노)텅스텐, 비스(3차-부틸이미노)비스(에틸메틸아미노)텅스텐, 및 이들의 조합물을 포함하지만, 이로 제한되지 않는다. 본원에 개시된 방법과 사용될 수 있는 적합한 유기금속성 전구체의 예는 3족 금속 사이클로펜타디에닐 또는 알킬 사이클로펜타디에닐을 포함하지만, 이로 제한되지 않는다. 본원에서 예시적인 3족 내지 6족 금속은 Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Er, Yb, Lu, Ti, Hf, Zr, V, Nb, Ta, Cr, Mo, 및 W를 포함하지만, 이로 제한되지 않는다.
특정 구체예에서, 본원에 기재된 규소-함유 필름은 6 이하, 5 이하, 4 이하, 및 3 이하의 유전 상수를 갖는다. 이러한 또는 다른 구체예에서, 필름은 약 5 이하, 또는 약 4 이하, 또는 약 3.5 이하의 유전 상수를 가질 수 있다. 그러나, 다른 유전 상수(예를 들어, 더 높거나 낮은)를 갖는 필름이 요망되는 필름의 최종-용도에 좌우하여 형성될 수 있는 것으로 사료된다. 화학식 A 내지 E 전구체를 갖는 규소 전구체 및 본원에 기재된 공정을 이용하여 형성된 규소-함유 필름의 예는 포뮬레이션 SixOyCzNvHw를 가지며, 상기 식에서, 예를 들어, XPS 또는 다른 수단을 이용하여 측정하는 경우에, Si는 약 10% 내지 약 40%의 범위이며, O는 약 0% 내지 약 65%의 범위이며, C는 약 0% 내지 약 75%, 또는 약 0% 내지 약 50%의 범위이며, N은 약 0% 내지 약 75%, 또는 약 0% 내지 50%의 범위이며, H는 약 0% 내지 약 50%(원자 퍼센트 중량%)의 범위이며, x+y+z+v+w는 100원자량%이다. 화학식 A 내지 E를 갖는 규소 전구체 및 본원에 기재된 공정을 이용하여 형성된 규소 함유 필름의 또 다른 예는 실리콘 카보니트라이드이고, 여기서 탄소 함량은 XPS에 의해 측정하는 경우에 1 at% 내지 80 at%이다. 화학식 A 내지 E의 규소 전구체 및 본원에 기재된 공정을 이용하여 형성된 규소 함유 필름의 추가의 또 다른 예는 질소와 탄소 함량 둘 모두의 합계가 XPS에 의해 측정하는 경우에 <10 at%, 바람직하게는 <5 at%, 가장 바람직하게는 <1 at%인 비정질 규소이다.
앞서 언급된 바와 같이, 본원에 기재된 방법은 기판의 적어도 일부 상에 규소-함유 필름을 증착시키기 위해 사용될 수 있다. 적합한 기판의 예는 규소, Si02, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카보니트라이드, 수소화된 실리콘 카보니트라이드, 보로니트라이드, 반사방지 코팅, 포토레지스트, 게르마늄, 게르마늄-함유, 붕소-함유, Ga/As, 가요성 기판, 유기 폴리머, 다공성 유기 및 무기 물질, 금속, 예컨대, 구리 및 알루미늄, 및 확산 배리어 층, 이로 제한되지는 않지만, 예컨대, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN을 포함하지만, 이로 제한되지 않는다. 이러한 필름들은, 예를 들어, 화학적 기계적 평탄화(CMP) 및 이방성 에칭 공정들과 같은 다양한 후속 가공 단계와 양립 가능하다.
증착된 필름은 컴퓨터 칩, 광학 장치, 자기 정보 저장, 지지 물질 또는 기판 상의 코팅, 마이크로전자기계 시스템(microelectromechanical system: MEMS), 나노전자기계 시스템, 박막 트랜지스터(thin film transistor: TFT), 발광 다이오드(light emitting diode: LED), 유기 발광 다이오드(organic light emitting diode: OLED), IGZO, 및 액정 디스플레이(liquid crystal display: LCD)를 포함하지만, 이로 제한되지 않는 적용들을 갖는다. 생성된 고형 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드의 잠재적 용도는 얕은 트렌치 절연(shallow trench insulation), 층간 유전체(inter layer dielectric), 부동태화 층, 에칭 중지 층(etch stop layer), 듀얼 스페이서부(part of a dual spacer), 및 패턴화용 희생 층(sacrificial layer for patterning)을 포함하지만, 이로 제한되지 않는다.
본원에 기재된 방법은 고품질의 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 탄소 도핑된 실리콘 니트라이드, 탄소 도핑된 실리콘 옥시니트라이드, 또는 탄소-도핑된 실리콘 옥사이드 필름을 제공한다. 용어 "고품질"은 다음 특징들 중 하나 이상을 나타내는 필름을 의미한다: 약 2.1 g/cc 이상, 2.2 g/cc 이상, 2.25 g/cc 이상의 밀도; 1:100의 HF 대 물 희석 HF(0.5 wt% dHF) 산의 용액에서 측정하는 경우, 2.5 Å/s 이하, 2.0 Å/s 이하, 1.5 Å/s 이하, 1.0 Å/s 이하, 0.5 Å/s 이하, 0.1 Å/s 이하, 0.05 Å/s 이하, 0.01 Å/s 이하의 습윤 에칭률, 약 1 이하 e-8 A/cm2 내지 6 MV/cm 이하의 전기 누출; SIMS에 의해 측정하는 경우, 약 5 e20 at/cc 이하의 수소 불순물; 및 이들의 조합. 에칭률과 관련하여, 열적 성장된 실리콘 옥사이드 필름은 0.5 wt% Hf에서 0.5 Å/s의 에칭률을 갖는다.
특정 구체예에서, 본원에 기재된 화학식 A 내지 E를 갖는 하나 이상의 규소 전구체는 고체이고 비-다공성이거나 실질적으로 기공을 함유하지 않는 규소 및 산소 함유 필름을 형성시키는 데 사용될 수 있다.
하기 실시예는 본원에 기재된 실리콘 옥사이드 필름을 증착시키기 위한 방법을 예시하는 것이며, 첨부된 청구항을 제한하고자 의도된 것이 아니다.
실시예
실리콘 옥사이드 필름의 열적 원자층 증착을 실험실 규모 ALD 가공 기기에서 수행하였다. 규소 전구체를 증기 유도(vapor draw)에 의해 챔버에 전달하였다. 모든 가스(예를 들어, 퍼지 및 반응물 가스 또는 전구체 및 산소 공급원)를 증착 구역에 진입하기 전에 100℃로 예열하였다. 가스 및 전구체 유량을 고속 작동으로 ALD 다이어프램 밸브로 제어하였다. 증착에 사용되는 기판은 12-인치-길이 규소 스트립이었다. 기판 온도를 확인하기 위해 서모커플을 샘플 홀더 상에 부착시켰다. 산소 공급원 가스로서 오존을 사용하여 증착을 수행하였다. 일반적인 증착 공정 및 파라미터는 표 2에 나타나 있다.
표 2: 실험실 규모 ALD 가공 기기에서 산소 공급원으로서 오존으로의 실리콘 옥사이드 필름의 열적 원자층 증착을 위한 공정
Figure pct00010
전극 사이에 3.5 mm의 일정한 공간이 있는 27.1 MHz 직접 플라즈마 기능을 구비한 상업적 층류 반응기(ASM에 의해서 제작된 300 mm PEALD 기기) 상에서 플라즈마 강화 ALD (PEALD)를 수행하였다. 층류 챔버 설계에는 독립적인 압력 설정을 갖는 외부 및 내부 챔버가 이용된다. 내부 챔버는 모든 반응물 가스(예를 들어, 전구체, 아르곤)가 매니폴드에서 혼합되고 공정 반응기로 전달되는 증착 반응기이다. 아르곤 가스를 외부 챔버 내의 반응기 압력을 유지시키기 위해 사용하였다. 전구체는, 스테인리스 강 버블러(stainless steel bubbler)에서 유지되고, Ar 운반 가스와 함께 챔버로 전달되는 액체였다(전형적으로는 200 sccm 유동으로 설정됨). 이러한 연구에서 보고된 모든 증착을 8-12 Ohm-cm의 본래의 옥사이드 함유 Si 기판 상에서 수행하였다. FilmTek 2000SE 엘립소미터를 이용하여 필름의 두께 및 굴절률을 측정하였다. 사이클 당 성장률(GPC)을 생성된 실리콘 옥사이드 필름의 측정된 두께를 총 ALD/PEALD 사이클의 횟수로 나눔으로써 계산하였다.
실시예 1. 1-( 디메틸아미노메틸실릴 )-2,2,4,4,6,6- 헥사메틸사이클로트리실라 잔(추정)의 합성.
둥근-바닥 플라스크에서 THF 용매 중의 디메틸아민과 1-메틸실릴-2,2,4,4,6,6-헥사메틸사이클로트리실라잔을 합하였다. 교반하면서, 1 mol%의 Ru3(CO)12 촉매를 첨가하였다. H2 가스 부산물을 배출시키면서 반응 혼합물을 실온에서 1일 동안 교반하였다. 반응 혼합물을 진공-증류에 의해 정제하여 1-(디메틸아미노메틸실릴)-2,2,4,4,6,6-헥사메틸사이클로트리실라잔을 제공하였다.
실시예 2. 2-디메틸아미노-1,2,3,4,5,6- 헥사메틸사이클로트리실라잔의 합성.
1 리터 둥근 바닥 플라스크에서 교반한 1,2,3,4,5,6-헥사메틸사이클로트리실라잔 (200 g, 0.91 mmol) 및 Ru3(CO)12 촉매 (1.45 g, 0.00227 mol)의 혼합물에 디메틸아민 (THF 중 2.0 M 용액 230 mL, 0.46 mol)을 6시간 동안 3개의 별개의 분획으로 첨가하였다. H2 가스 부산물을 배출시키면서 실온에서 1일 동안 반응 혼합물을 교반하였다. -78℃로 냉각된 수용 플라스크가 구비된 플라스크-대-플라스크 장치에서 휘발물을 진공-전달하였다. 응축된 휘발물을 진공-증류에 의해 정제하여 2-디메틸아미노-1,2,3,4,5,6-헥사메틸사이클로트리실라잔을 제공하였다. GC-MS는 다음 피크들을 나타냈다: 262 (M+), 247 (M-15), 231, 218, 202, 189, 175, 159, 145, 131, 118, 102, 88, 72.
실시예 3. 1,2,3- 트리실릴 -2,2,4,4,6,6- 헥사메틸사이클로트리실라잔(추정)의 합성.
2,2,4,4,6,6-헥사메틸사이클로트리실라잔을 헥산 용매 중 3당량의 트리에틸아민과 합하고, -50℃로 냉각하였다. 이후, 3당량의 모노클로로실란을 -50℃에서 교반하면서 반응 용기로 서서히 응축시켰다. 생성된 슬러리를 교반하면서 실온으로 서서히 가온시켰다. 고형물을 여과를 통해 제거하고, 용매 및 다른 저-비등물을 감압하에 제거하였다. 미정제 생성물을 진공-증류를 통해 정제하여 1,2,3-트리실릴-2,2,4,4,6,6-헥사메틸사이클로트리실라잔을 제공하였다.
실시예 4. 2,2,4,4,5,6,6- 헵타메틸 -1,3- 디옥사 -5-아자-2,4,6- 트리실라사이클 로헥산(추정)의 합성.
촉매량의 피리딘의 존재에서 1,1,1,2,3,3,3-헵타메틸디실라잔을 1당량의 1,5-디클로로-1,1,3,3,5,5-헥사메틸트리실록산과 합하였다. GC 분석에 의해 반응이 완료된 것으로 결정된 후, 미정제 반응 혼합물을 진공 증류에 의해 정제하여 2,2,4,4,5,6,6-헵타메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산을 제공하였다.
실시예 5. 5-( 디메틸아미노실릴 )-2,2,4,4,6,6- 헥사메틸 -1,3- 디옥사 -5-아자-2,4,6-트리실라사이클로헥산(추정)의 합성.
디메틸아민을 5-실릴-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산 및 0.1 mol%의 Ru3(CO)12 촉매의 교반된 혼합물에 THF 중 2.0 M 용액으로 첨가하였다. H2 가스 부산물을 배출시키면서 혼합물을 실온에서 1일 동안 교반하였다. 반응 혼합물을 진공-증류에 의해 정제하여 5-(디메틸아미노실릴)-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산을 제공하였다.
실시예 6. 5-이소-프로필-2,2,4,4,6,6- 헥사메틸 -1,3- 디옥사 -5-아자-2,4,6- 리실라사이클로헥산의 합성.
펜탄 (5 mL) 중의 1,5-디클로로-1,1,3,3,5,5-헥사메틸트리실록산 (0.5 g, 0.0018 mol)의 용액을 펜탄 (4 mL) 중의 이소-프로필아민 (0.40 g, 0.0068 mol)의 교반된 용액에 적가하였다. 생성된 백색 슬러리가 밤새 교반되게 하였다. 고형물을 여과에 의해 제거하고, 생성된 여과물을 GC-MS 분석에 의해 확인하였는데, 생성물 중 하나로서 5-이소-프로필-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산을 함유하였다. GC-MS는 다음 피크들을 나타냈다: 262 (M+), 248, 234, 218, 207, 193, 177, 160, 147, 133, 119, 110, 96, 88, 73.
실시예 7. 5-n-프로필-2,2,4,4,6,6- 헥사메틸 -1,3- 디옥사 -5-아자-2,4,6- 트리 실라사이클로헥산의 합성.
헥산 (4 mL) 중의 n-프로필아민 (0.30 g, 0.0051 mol)의 용액을 헥산 (4 mL) 중의 5-디클로로-1,1,3,3,5,5-헥사메틸트리실록산 (0.5 g, 0.0018 mol) 및 트리에틸아민 (0.40, 0.0020 mol)의 교반된 혼합물에 적가하였다. 생성된 슬러리를 밤새 교반하였다. 고형물을 여과에 의해 제거하고, 생성된 여과물을 GC-MS에 의해 확인하였는데, 생성물 중 하나로서 5-n-프로필-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산을 함유하였다. GC-MS는 다음 피크들을 나타냈다: 262 (M+), 248, 234, 218, 207, 193, 177, 160, 147, 133, 119, 110, 96, 88, 73.
실시예 8. 1-(디-이소-프로필아미노실릴)-2,2,4,4,6,6-헥사메틸사이클로트리실라잔의 합성.
질소 대기의 보호하에, -30℃에서 116 mL의 부틸리튬 용액 (헥산 중 2.5 M, 0.29 mol)을 헥산 (140 mL) 중의 2,2,4,4,6,6-헥사메틸사이클로트리실라잔 (66 g, 0.30 mol)의 교반된 용액에 적가하였다. 첨가가 완료된 후, 반응이 실온으로 가온되게 하고, 2시간 동안 교반하였다. 생성된 반응 혼합물을 이후 -30℃로 냉각하였다. 이 혼합물에 30℃에서 첨가용 깔때기를 통해 (디-이소-프로필아미노)클로로실란 (48 g, 0.29 mol)을 적가하였다. 반응 혼합물을 교반하면서 실온으로 가온시켰다. 백색 고형물을 여과를 통해 제거하고, 용매를 감압하에 제거하였다. 미정제 생성물을 진공-증류에 의해 정제하여 요망되는 생성물 1-(디-이소-프로필아미노실릴)-2,2,4,4,6,6-헥사메틸사이클로트리실라잔을 제공하였다. GC-MS는 다음 피크들을 나타냈다: 349 (M+), 334 (M-15), 318, 306, 292, 276, 248, 234, 218, 203, 188, 175, 159, 142, 130, 116, 100, 86, 73.
실시예 9-11: 추가의 작용성화된 사이클로실라잔 전구체 화합물의 합성
추가의 작용성화된 사이클로실라잔 전구체 화합물을 실시예 8과 유사한 방식을 통해 제조하고, GC-MS에 의해 특징화하였다. 각각의 화합물의 분자량 (MW), 구조, 및 상응하는 주요 MS 단편화 피크는 이들의 정체를 확인하기 위해 표 3에서 제공된다.
표 3.
Figure pct00011
Figure pct00012
비교예 12a: 헥사메틸디실라잔 ( HMDSZ ) 으로 실리콘 옥사이드 필름의 열적 원자층 증착
실리콘 옥사이드 필름의 원자층 증착을 규소 전구체로서 HMDSZ를 사용하여 실시하였다. 증착을 실험실 규모 ALD 가공 기기에서 수행하였다. 규소 전구체를 진공 유도에 의해 챔버로 전달하였다. 증착 공정 및 파라미터는 표 2에 제공되어 있다. 요망되는 두께에 도달할 때까지 단계 1 내지 6을 여러 사이클 동안 반복하였다. 증착의 공정 파라미터 및 결과는 표 4에 제공되어 있다.
표 4. HMDSZ로의 열적 ALD 증착 파라미터 및 증착 결과
Figure pct00013
비교예 12b. 27. 1 MHz 플라즈마로 층류 반응기에서 헥사메틸디실라잔 (HMDSZ)을 사용한 PEALD 실리콘 옥사이드
표 5에 주어진 조건하에 규소 전구체로서 HMDSZ 및 O2 플라즈마로 증착을 수행하였다. HMDSZ를 100 sccm의 Ar 운반 가스에 의해 챔버로 전달하였다. 계량학상 요망되는 실리콘 옥사이드 두께를 얻기 위해 단계 b 내지 e를 여러 번 반복하였다. 필름 증착 파라미터 및 증착 GPC 및 웨이퍼 균일성은 표 6에 나타나 있다. 증착 웨이퍼는 좋지 않은 균일성 및 매우 낮은 GPC를 나타냈다.
표 5. HMDSZ로 상업적 층류 PEALD 반응기에서 PEALD 실리콘 옥사이드 증착을 위한 공정
Figure pct00014
표 6. HMDSZ에 의한 PEALD 실리콘 옥사이드 필름 증착 파라미터 및 증착 GPC
Figure pct00015
실시예 13: 2 ,2,4,4,6,6- 헥사메틸사이클로트리실라잔으로의 실리콘 옥사이드 필름의 열적 원자층 증착.
규소 전구체로서 2,2,4,4,6,6-헥사메틸사이클로트리실라잔을 사용하여 실리콘 옥사이드 필름의 원자층 증착을 실시하였다. 증착을 실험실 규모 ALD 가공 기기에서 수행하였다. 규소 전구체를 증기 유도에 의해 챔버로 전달하였다. 증착 공정 및 파라미터는 표 2에 제공되어 있다. 요망되는 두께에 도달할 때까지 단계 1 내지 6을 여러 번 반복하였다. 증착의 공정 파라미터 및 결과는 표 7에 제공되어 있다.
표 7. 2,2,4,4,6,6-헥사메틸사이클로트리실라잔으로의 열적 ALD 증착 파라미터 및 증착 결과
Figure pct00016
실시예 14. 27. 1 MHz 플라즈마로 층류 반응기에서 2,2,4,4,6,6- 헥사메틸사이 클로트리실라잔을 사용한 PEALD 실리콘 옥사이드.
표 8에 기재된 바와 같은 조건하에 규소 전구체로서 2,2,4,4,6,6-헥사메틸사이클로트리실라잔 및 O2 플라즈마로 증착을 수행하였다. 전구체를 200 sccm의 운반 가스 Ar 유동으로 챔버에 전달하였다. 계량학상 요망되는 실리콘 옥사이드 두께를 얻기 위해 단계 b 내지 e를 여러 번 반복하였다. 필름 증착 파라미터 및 증착 GPC는 표 9에 나타나 있다. GPC는 8초 이상의 전구체 펄스로 포화를 나타낸다는 것을 알 수 있다.
표 8. 2,2,4,4,6,6-헥사메틸사이클로트리실라잔으로 상업적 층류 PEALD 반응기에서 PEALD 실리콘 옥사이드 증착을 위한 공정
Figure pct00017
표 9. 2,2,4,4,6,6-헥사메틸사이클로트리실라잔에 의한 PEALD 실리콘 옥사이드 필름 증착 파라미터 및 증착 GPC
Figure pct00018
실시예 15. 27. 1 MHz 플라즈마로 층류 반응기에서 1,2,3,4,5,6- 헥사메틸사이 클로트리실라잔을 사용한 PEALD 실리콘 옥사이드.
표 8에서 상기 기재된 바와 같은 조건하에 규소 전구체로서 1,2,3,4,5,6-헥사메틸사이클로트리실라잔 및 O2 플라즈마로 증착을 수행하였다. 전구체를 200 sccm의 운반 가스 Ar 유동으로 챔버에 전달하였다. 계량학상 요망되는 실리콘 옥사이드 두께를 얻기 위해 단계 b 내지 e를 여러 번 반복하였다. 필름 증착 파라미터 및 증착 GPC는 표 10에 나타나 있다.
표 10. 1,2,3,4,5,6-헥사메틸사이클로트리실라잔에 의한 PEALD 실리콘 옥사이드 필름 증착 파라미터 및 증착 GPC
Figure pct00019
실시예 16. 27. 1 MHz 플라즈마로 층류 반응기에서 1-디메틸아미노-1,2,3,4,5,6-헥사메틸사이클로트리실라잔을 사용한 PEALD 실리콘 옥사이드
표 8에서 상기 기재된 바와 같은 조건하에 규소 전구체로서 1-디메틸아미노-1,2,3,4,5,6-헥사메틸사이클로트리실라잔 및 O2 플라즈마로 증착을 수행하였다. 전구체를 200 sccm의 운반 가스 Ar 유동으로 챔버에 전달하였다. 계량학상 요망되는 실리콘 옥사이드 두께를 얻기 위해 단계 b 내지 e를 여러 번 반복하였다. 필름 증착 파라미터 및 증착 GPC는 표 11에 나타나 있다.
표 11. 1-디메틸아미노-1,2,3,4,5,6-헥사메틸사이클로트리실라잔에 의한 PEALD 실리콘 옥사이드 필름 증착 파라미터 및 증착 GPC
Figure pct00020
실시예 17. 1-디메틸아미노-1,2,3,4,5,6- 헥사메틸사이클로트리실라잔 및 Ar/N 2 플라즈마를 사용한 PEALD 실리콘 니트라이드 .
규소 전구체로서 1-디메틸아미노-1,2,3,4,5,6-헥사메틸사이클로트리실라잔 및 Ar/N2 플라즈마를 사용하여 규소 함유 필름을 증착시켰다. 규소 전구체를 100 sccm의 Ar 운반 가스를 사용하여 55℃로 유지되는 용기로부터 전달하였다. 서스셉터 온도를 300℃로 설정하고, 반응기에 평행판 동일반응계 전극을 장착시켰다. 플라즈마 주파수 및 전력은 각각 13.56 MHz 및 200 W였다. 증착 공정 단계를 표 12에 기재된 바와 같이 수행하였고, 이때 계량학상 요망되는 실리콘 옥사이드 두께를 얻기 위해 단계 b 내지 e를 여러 번 반복하였다.
표 12. 1-디메틸아미노-1,2,3,4,5,6-헥사메틸사이클로트리실라잔으로 상업적 층류 PEALD 반응기에서 PEALD 실리콘 니트라이드 증착을 위한 공정.
Figure pct00021
얻어진 증착된 필름은 1.97의 굴절률과 0.24 A/사이클의 GPC를 가졌다.
상기 설명은 주로 예시의 목적으로 의도된 것이다. 본 발명이 이의 예시적인 구체예에 대하여 나타나고 기술되었지만, 이의 형태 및 세부 사항의 상기 및 다양한 다른 변화, 생략 및 부가가 본 발명의 사상 및 범위로부터 벗어남 없이 그 안에서 이루어질 수 있다는 것이 당업자에게 이해되어야 한다.

Claims (17)

  1. 하기 화학식 A, B, C, D, 또는 E 중 하나에 따른 규소 전구체 화합물:
    Figure pct00022

    상기 식에서,
    R1-3은 각각 독립적으로 수소, 메틸, 및 오가노아미노 기(NR'R")로 이루어진 군으로부터 선택되고, 여기서 R' 및 R"는 각각 독립적으로 수소, C1-10 선형 알킬 기, C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고, 단, R' 및 R"는 둘 모두 수소일 수 없고;
    R4 및 R5는 각각 독립적으로 수소, C1-10 선형 알킬 기, C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고;
    R6-8은 각각 독립적으로 수소, 메틸, 상기 정의된 바와 같은 오가노아미노 기(NR'R"), C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고, 단, R' 및 R"는 둘 모두 수소일 수 없고,
    치환기 R1-8, R' 및 R" 중 둘 이상은 연결되어 치환되거나 비치환된, 포화되거나 불포화된 사이클릭 기를 형성할 수 있고,
    R6-8 중 적어도 하나는 수소이어야 하고, R6-8 중 적어도 두 개는 메틸이 아니어야 한다.
  2. 제1항에 있어서, 적어도 하나의 퍼지 가스(purge gas)를 추가로 포함하는 조성물.
  3. 제1항에 있어서, 화학식 A 내지 E 중 하나로부터 선택된 적어도 하나의 규소 전구체 화합물이 1-실릴-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1-(이소-프로필아미노실릴)-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1-(디메틸아미노실릴)-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1-(이소-프로필아미노실릴)-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1-(메틸아미노실릴)-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1-(디메틸아미노메틸실릴)-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 2-디메틸아미노-1,2,3,4,5,6-헥사메틸사이클로트리실라잔, 1-(디메틸아미노-메틸실릴)-2,4,6-트리메틸사이클로트리실라잔, 1,2,3-트리실릴-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1,2,3-트리실릴-2,4,6-트리메틸사이클로트리실라잔, 2,2,4,4,5,6,6-헵타메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-에틸-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-n-프로필-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-이소-프로필-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-실릴-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-메틸실릴-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-(디메틸아미노실릴)-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-(디메틸아미노메틸실릴)-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산으로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 조성물.
  4. 하기 화학식 B, C, 또는 E 중 하나로부터 선택된 적어도 하나의 규소 전구체 화합물을 포함하는 조성물:
    Figure pct00023

    상기 식에서,
    R1-3은 각각 독립적으로 수소, 메틸, 및 오가노아미노 기(NR'R")로 이루어진 군으로부터 선택되고, 여기서 R' 및 R"는 각각 독립적으로 수소, C1-10 선형 알킬 기, C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고, 단, R' 및 R"는 둘 모두 수소일 수 없고;
    R4 및 R5는 각각 독립적으로 수소, C1-10 선형 알킬 기, C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고;
    R6-8은 각각 독립적으로 수소, 메틸, 상기 정의된 바와 같은 오가노아미노 기(NR'R"), C3-10 분지형 알킬 기, C3-10 사이클릭 알킬 기, C2-10 알케닐 기, C4-10 아릴 기, 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택되고, 단, R' 및 R"는 둘 모두 수소일 수 없고,
    치환기 R1-8, R' 및 R" 중 둘 이상은 연결되어 치환되거나 비치환된, 포화되거나 불포화된 사이클릭 기를 형성할 수 있고,
    R6-8 중 적어도 하나는 수소이어야 하고, R6-8 중 적어도 두 개는 메틸이 아니어야 한다.
  5. 기판 상에 규소-함유 필름을 증착시키는 방법으로서, 상기 방법이
    a) 반응기에 기판을 제공하는 단계;
    b) 제1항의 적어도 하나의 규소 전구체 화합물을 상기 반응기에 도입하는 단계;
    c) 퍼지 가스로 상기 반응기를 퍼징시키는 단계;
    d) 산소-함유 또는 질소-함유 공급원(또는 이들의 조합물)을 상기 반응기에 도입하는 단계; 및
    e) 퍼지 가스로 상기 반응기를 퍼징시키는 단계를 포함하고,
    요망되는 두께의 필름이 증착될 때까지 단계 b 내지 e를 반복하고,
    상기 방법이 약 25℃ 내지 600℃ 범위의 하나 이상의 온도에서 실시되는 방법.
  6. 제5항에 있어서, 적어도 하나의 규소 전구체 화합물이 2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1-실릴-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1-(이소-프로필아미노실릴)-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1-(디메틸아미노실릴)-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1-(이소-프로필아미노실릴)-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1-(메틸아미노실릴)-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1-(디메틸아미노메틸실릴)-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1,2,3,4,5,6-헥사메틸사이클로트리실라잔, 2-디메틸아미노-1,2,3,4,5,6-헥사메틸사이클로트리실라잔, 1-(디메틸아미노-메틸실릴)-2,4,6-트리메틸사이클로트리실라잔, 1,2,3-트리실릴-2,2,4,4,6,6-헥사메틸사이클로트리실라잔, 1,2,3-트리실릴-2,4,6-트리메틸사이클로트리실라잔, 2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 2,2,4,4,5,6,6-헵타메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-에틸-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-n-프로필-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-이소-프로필-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-실릴-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-메틸실릴-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-(디메틸아미노실릴)-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산, 5-(디메틸아미노메틸실릴)-2,2,4,4,6,6-헥사메틸-1,3-디옥사-5-아자-2,4,6-트리실라사이클로헥산으로 이루어진 군으로부터 선택되는 방법.
  7. 제5항에 있어서, 산소-함유 공급원이 오존, 산소 플라즈마, 산소 및 아르곤을 포함하는 플라즈마, 산소 및 헬륨을 포함하는 플라즈마, 오존 플라즈마, 물 플라즈마, 아산화질소 플라즈마, 이산화탄소 플라즈마, 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  8. 제5항에 있어서, 질소-함유 공급원이 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 질소/아르곤 플라즈마, 질소/헬륨 플라즈마, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, 유기 아민, 예컨대, 3차-부틸아민, 디메틸아민, 디에틸아민, 이소프로필아민, 디에틸아민 플라즈마, 디메틸아민 플라즈마, 트리메틸 플라즈마, 트리메틸아민 플라즈마, 에틸렌디아민 플라즈마, 및 알콕시아민, 예컨대, 에탄올아민 플라즈마 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  9. 제5항에 있어서, 산소-함유 공급원 및/또는 질소-함유 공급원이 플라즈마를 포함하는 방법.
  10. 제9항에 있어서, 플라즈마가 동일 반응계에서 발생되는 방법.
  11. 제9항에 있어서, 플라즈마가 원격으로 발생되는 방법.
  12. 제5항에 있어서, 필름의 밀도가 약 2.1 g/cc 이상인 방법.
  13. 제5항에 있어서, 필름이 탄소를 추가로 포함하는 방법.
  14. 제5항에 있어서, 필름의 밀도가 약 1.8 g/cc 이상인 방법.
  15. 제5항에 있어서, 필름의 탄소 함량이 X-선 광분광기로 측정하는 경우에 0.5 원자량%(at.%) 이상인 방법.
  16. 제5항의 방법에 의해 형성되는 필름.
  17. 제9항의 방법에 의해 형성되는 필름.
KR1020197037836A 2017-05-24 2018-05-23 고성장률 규소-함유 필름을 위한 전구체로서 작용성화된 사이클로실라잔 KR102430845B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762510506P 2017-05-24 2017-05-24
US62/510,506 2017-05-24
US15/986,030 US11177127B2 (en) 2017-05-24 2018-05-22 Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US15/986,030 2018-05-22
PCT/US2018/034111 WO2018217877A1 (en) 2017-05-24 2018-05-23 Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films

Publications (2)

Publication Number Publication Date
KR20190143489A true KR20190143489A (ko) 2019-12-30
KR102430845B1 KR102430845B1 (ko) 2022-08-08

Family

ID=64397021

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197037836A KR102430845B1 (ko) 2017-05-24 2018-05-23 고성장률 규소-함유 필름을 위한 전구체로서 작용성화된 사이클로실라잔

Country Status (7)

Country Link
US (1) US11177127B2 (ko)
EP (1) EP3630785A4 (ko)
JP (2) JP7193478B2 (ko)
KR (1) KR102430845B1 (ko)
CN (2) CN117050106A (ko)
TW (1) TWI680982B (ko)
WO (1) WO2018217877A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10354923B2 (en) * 2017-05-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for atomic layer deposition of a dielectric over a substrate
US20220013365A1 (en) * 2018-11-19 2022-01-13 Lam Research Corporation Molybdenum templates for tungsten
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
KR102652234B1 (ko) * 2019-03-05 2024-04-01 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
CN112186253B (zh) * 2020-09-30 2022-09-02 香河昆仑新能源材料股份有限公司 一种锂离子电池非水电解液以及锂离子电池
WO2023147382A1 (en) * 2022-01-26 2023-08-03 Versum Materials Us, Llc Halide-functionalized cyclotrisilazanes as precursors for deposition of silicon-containing films

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2463404A1 (en) * 2010-12-09 2012-06-13 Air Products and Chemicals, Inc. Aminovinylsilane for cvd and ald sio2 films
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3444127A (en) 1967-09-15 1969-05-13 Webb James E Preparation of ordered poly(arylenesiloxane)polymers
US4103045A (en) 1972-07-31 1978-07-25 Rhone-Poulenc, S.A. Process for improving the adhesion of coatings made of photoresistant polymers to surfaces of inorganic oxides
US4788309A (en) * 1985-04-26 1988-11-29 Sri International Method of forming compounds having Si-N groups and resulting products
US4719125A (en) * 1985-10-11 1988-01-12 Allied Corporation Cyclosilazane polymers as dielectric films in integrated circuit fabrication technology
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5424095A (en) 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
JPH0827162A (ja) * 1994-07-12 1996-01-30 Shin Etsu Chem Co Ltd 環状有機ケイ素化合物の製造方法
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7470450B2 (en) * 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
US9337054B2 (en) * 2007-06-28 2016-05-10 Entegris, Inc. Precursors for silicon dioxide gap fill
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
JP2010275602A (ja) 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
EP2363512A1 (en) 2010-02-04 2011-09-07 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8474164B2 (en) 2011-03-23 2013-07-02 Tracer Imaging Llc Checkout divider with optical effect
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US9460912B2 (en) 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
TWI449802B (zh) 2012-06-06 2014-08-21 Univ Nat Chiao Tung 掺碳氮化矽薄膜及其製造方法與裝置
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
TW201522696A (zh) 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
US20160032452A1 (en) 2014-08-04 2016-02-04 Veeco Ald Inc. Atomic Layer Deposition Method Using Source Precursor Transformed by Hydrogen Radical Exposure
SG10201600832VA (en) * 2015-02-06 2016-09-29 Novellus Systems Inc Conformal deposition of silicon carbide films
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9793108B2 (en) 2015-06-25 2017-10-17 Applied Material, Inc. Interconnect integration for sidewall pore seal and via cleanliness

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2463404A1 (en) * 2010-12-09 2012-06-13 Air Products and Chemicals, Inc. Aminovinylsilane for cvd and ald sio2 films
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film

Also Published As

Publication number Publication date
CN117050106A (zh) 2023-11-14
EP3630785A4 (en) 2021-01-20
TW201900660A (zh) 2019-01-01
CN110891956B (zh) 2023-08-25
WO2018217877A1 (en) 2018-11-29
JP2020521749A (ja) 2020-07-27
KR102430845B1 (ko) 2022-08-08
EP3630785A1 (en) 2020-04-08
US11177127B2 (en) 2021-11-16
JP7193478B2 (ja) 2022-12-20
CN110891956A (zh) 2020-03-17
JP2022033162A (ja) 2022-02-28
US20180342390A1 (en) 2018-11-29
TWI680982B (zh) 2020-01-01

Similar Documents

Publication Publication Date Title
JP6928035B2 (ja) 有機アミノシラン前駆体およびこれを含む膜の堆積方法
CN109963963B (zh) 用于沉积氧化硅膜的组合物和方法
KR102430845B1 (ko) 고성장률 규소-함유 필름을 위한 전구체로서 작용성화된 사이클로실라잔
KR102270182B1 (ko) 규소 포함 필름의 증착을 위한, 유기 아미노로 작용화된 환식 올리고실록산
KR20210121310A (ko) 고성장률 규소-함유 필름을 위한 탄소 가교결합된 아미노실란 화합물
US20220044929A1 (en) Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
JP6970213B2 (ja) ケイ素含有膜の堆積のためのオルガノアミノ−ポリシロキサン

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant