CN117050106A - 作为用于高生长速率含硅膜的前体的官能化环硅氮烷 - Google Patents

作为用于高生长速率含硅膜的前体的官能化环硅氮烷 Download PDF

Info

Publication number
CN117050106A
CN117050106A CN202311033176.2A CN202311033176A CN117050106A CN 117050106 A CN117050106 A CN 117050106A CN 202311033176 A CN202311033176 A CN 202311033176A CN 117050106 A CN117050106 A CN 117050106A
Authority
CN
China
Prior art keywords
plasma
hydrogen
hexamethylcyclotrisilazane
silicon
aza
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311033176.2A
Other languages
English (en)
Inventor
萧满超
M·R·麦克唐纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN117050106A publication Critical patent/CN117050106A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/21Cyclic compounds having at least one ring containing silicon, but no carbon in the ring
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)

Abstract

本文描述了官能化环硅氮烷前体化合物以及通过热原子层沉积(ALD)或等离子体增强原子层沉积(PEALD)工艺或其组合来沉积含硅膜的包含该前体化合物的组合物和方法,该含硅膜例如,但不限于,氧化硅、氮化硅、氮氧化硅、碳氮化硅、碳氮氧化硅或碳掺杂氧化硅。

Description

作为用于高生长速率含硅膜的前体的官能化环硅氮烷
本申请是申请日为2018年5月23日、申请号为201880047342.5、发明名称为“作为用于高生长速率含硅膜的前体的官能化环硅氮烷”的中国专利申请的分案申请。
相关申请的交叉引用
本申请根据35U.S.C.§119(e)要求2017年5月24日提交的美国临时专利申请号62/510,506且根据35U.S.C.§120要求2018年5月22日提交的美国专利申请号15/986,030的优先权,其全部内容通过引用并入本文。
背景技术
本文描述的是官能化环硅氮烷前体化合物以及通过热原子层沉积(ALD)或等离子体增强原子层沉积(PEALD)工艺或其组合来沉积含硅膜的包含该前体化合物的组合物和方法,含硅膜例如,但不限于,氧化硅、氮化硅、氮氧化硅、碳氮化硅、碳氮氧化硅或碳掺杂氧化硅。更具体地,本文描述的是用于在约600℃或更低的一个或多个沉积温度下,包括例如约25℃至约300℃,形成化学计量的或非化学计量的含硅膜或材料的组合物和方法。
原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)是用于在低温(<500℃)下沉积例如氧化硅保形膜的工艺。在ALD和PEALD工艺两者中,前体和反应性气体(例如氧气或臭氧)以一定数量的循环分别脉冲以在每个循环形成氧化硅单层。然而,使用这些工艺在低温下沉积的氧化硅可含有一定水平的杂质,例如,但不限于,氮(N),其在某些半导体应用中可能是有害的。为了解决这一问题,一种可能的解决方案是将沉积温度提高到500℃或更高。然而,在这些更高的温度下,半导体工业使用的常规前体倾向于自我反应、热分解和以化学气相沉积(CVD)模式而非ALD模式沉积。CVD模式沉积与ALD沉积相比具有降低的保形性,特别是对于许多半导体应用需要的高纵横比结构。另外,CVD模式沉积与ALD模式沉积相比对膜或材料厚度的控制较少。
标题为“Some New Alkylaminosilanes”,Abel,E.W.等,J.Chem.Soc.,(1961),Vol.26,pp.1528-1530的参考文献描述了从三甲基氯硅烷(Me3SiCl)和适当的胺的直接相互作用制备各种氨基硅烷化合物,例如Me3SiNHBu-iso、Me3SiNHBu-sec、Me3SiN(Pr-iso)2和Me3SiN(Bu-sec)2,其中Me=甲基,Bu-sec=仲丁基,且Pr-iso=异丙基。
标题为“SiO2 Atomic Layer Deposition Using Tris(dimethylamino)silaneand Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy”,Burton,B.B.等,The Journal of Physical Chemistry(2009),Vol.113,pp.8249-57的参考文献描述了使用各种硅前体及以H2O2作为氧化剂的二氧化硅(SiO2)的原子层沉积(ALD)。硅前体是(N,N-二甲基氨基)三甲基硅烷)(CH3)3SiN(CH3)2、乙烯基三甲氧基硅烷CH2CHSi(OCH3)3、三乙烯基甲氧基硅烷(CH2CH)3SiOCH3、四(二甲基氨基)硅烷Si(N(CH3)2)4和三(二甲基氨基)硅烷(TDMAS)SiH(N(CH3)2)3。TDMAS被确定为是这些前体中最有效的。然而另外的研究确定,仅使用H2O难以除去TDMAS中的SiH*表面物质。后续研究使用TDMAS和作为氧化剂的H2O2,并在150-550℃的温度范围内研究SiO2 ALD。TDMAS和H2O2表面反应达到完全所需的暴露使用原位FTIR光谱法进行监测。TDMAS暴露后的FTIR振动谱显示O-H拉伸振动的吸光度的损失以及C-Hx和Si-H拉伸振动的吸光度的增加。H2O2暴露后的FTIR振动谱显示C-Hx和Si-H拉伸振动的吸光度的降低以及O-H拉伸振动的吸光度的增加。SiH*表面物质仅在>450℃的温度下完全去除。SiO2的整体(bulk)振动模式在1000-1250cm-1之间观察到,并随着TDMAS和H2O2反应循环的数量而逐渐增长。在150-550℃之间的温度下,在ZrO2纳米颗粒上50个TDMAS和H2O2反应循环后,进行透射电子显微分析(TEM)。在每个温度下通过TEM确定的膜厚度用于获得SiO2 ALD生长速率。每循环的生长从150℃的/循环到550℃的/>/循环变化,并且与SiH*表面物质的去除相关。使用TDMAS和H2O2的SiO2 ALD对于在>450℃的温度下的SiO2 ALD应当是有价值的。
JP2010275602和JP2010225663公开了在300-500℃的温度范围下通过化学气相沉积(CVD)工艺使用原料形成含Si薄膜,如氧化硅。原料是有机硅化合物,由以下式表示:(a)His(CH3)(R1)(NR2R3),其中R1表示NR4R5或1C-5C烷基;R2和R4分别表示1C-5C烷基或氢原子;并且R3和R5分别表示C1-C5烷基;或(b)HSiCl(NR1R2)(NR3R4),其中R1和R3独立地表示具有1-4个碳原子的烷基或氢原子;并且R2和R4独立地表示具有1至4个碳原子的烷基。有机硅化合物含有H-Si键。
US5,424,095描述了在烃的工业热解过程中降低焦炭形成速率的方法,反应器的内表面涂覆有均匀的陶瓷材料层,该层通过在含有蒸汽的气氛中,气相的非烷氧基化有机硅前体的热分解来沉积以形成氧化物陶瓷。
US2012/0291321描述了用于在集成电路衬底的介电膜和金属互连之间形成高质量的碳氮化硅屏障介电膜的PECVD方法,其包括以下步骤:提供具有介电膜或金属互连的集成电路衬底;使所述衬底与包含RxRy(NRR’)zSi的屏障介电膜前体接触,其中R,R’,R和R’各自独立地选自H、直链或支链的饱和或不饱和的烷基或芳族基团;其中x+y+z=4;z=1至3;但R和R’不可均为H;并且当z=1或2时,则x和y各自为至少1;在所述集成电路衬底上形成C/Si比>0.8且N/Si比>0.2的碳氮化硅屏障介电膜。
US2013/0295779A描述了使用具有下式的硅前体在>500℃的沉积温度下形成氧化硅膜的原子层沉积(ALD)方法:
I.R1R2 mSi(NR3R4)nXp
其中R1、R2和R3各自独立地选自氢、直链或支链的C1至C10烷基和C6至C10芳基;R4选自直链或支链的C1至C10烷基和C6至C10芳基、C3至C10烷基甲硅烷基;其中R3和R4连接以形成环状环结构或R3和R4不连接以形成环状环结构;X是选自Cl、Br和I的卤素;m为0至3;n为0至2;且p为0至2和m+n+p=3;和
II.R1R2 mSi(OR3)n(OR4)qXp
其中R1和R2各自独立地选自氢、直链或支链的C1至C10烷基和C6至C10芳基;R3和R4各自独立地选自直链或支链的C1至C10烷基和C6至C10芳基;其中R3和R4连接以形成环状环结构或R3和R4不连接以形成环状环结构;X是选自Cl、Br和I的卤原子;m为0至3;n为0至2;q为0至2且p为0至2和m+n+q+p=3。
US7,084,076公开了卤代硅氧烷,例如六氯二硅氧烷(HCDSO),其与作为催化剂的吡啶结合用于低于500℃的ALD沉积以形成二氧化硅。
US6,992,019公开了通过使用由具有至少两个硅原子的硅化合物组成的第一反应物组分,或者使用叔脂族胺作为催化剂组分,或两者结合使用,与相关的吹扫方法和顺序一起,在半导体衬底上形成具有优异性能的二氧化硅层的用于催化剂辅助原子层沉积(ALD)的方法。所用前体是六氯乙硅烷。沉积温度是在25-150℃之间。
WO2015/0105337公开了新型三甲硅烷基胺衍生物和用于形成含硅薄膜的方法,其中所述三甲硅烷基胺衍生物具有热稳定性、高挥发性和高反应性并且在室温下以液态存在,并且在其中处理可能的压力下,可以通过各种沉积方法形成具有优良物理和电性能的高纯度含硅薄膜。
WO2015/0190749公开了新型氨基-甲硅烷基胺化合物(Me2NSiR3R4)N(SiHR1R2)2(R1-R4=C1-3烷基、C2-3烯基、C2-3炔基、C3-7环烷基、C6-12芳基等)和制备含有Si-N键的介电膜的方法。由于根据该发明的氨基-甲硅烷基胺化合物(其是热稳定且高挥发性的化合物)可以在室温下处理并在室温和压力下作为液态化合物使用,该发明提供了通过使用原子层沉积(PEALD),甚至在低温和等离子体条件下获得含有Si-N键的高纯度介电膜的方法。
US9,245,740提供了新型氨基-甲硅烷基胺化合物、其制备方法以及使用其的含硅薄膜,其中所述氨基-甲硅烷基胺化合物具有热稳定性和高挥发性并且在室温和在方便处理的压力下保持液态,从而通过各种沉积方法形成具有高纯度和优异的物理和电性能的含硅薄膜。
US2015/0376211A公开了单取代的TSA前体。公开了含Si膜形成组合物。前体具有下式:(SiH3)2N-SiH2-X,其中X选自卤素原子;异氰酸基;氨基;含N的C4-C10饱和或不饱和杂环;或烷氧基。还公开了使用所公开的单取代TSA前体形成含硅膜的方法。
US3,444,127描述了通过使官能化1,3-二氧杂-5-氮杂-三硅杂环己烷与芳烃连接的硅烷醇反应并将混合物加热至高达180℃来合成聚合芳烃连接的硅氧烷。
US5,413,813和US5,424,095描述了使用不同的六甲基环三硅氮烷和其他硅氮烷在高温下用陶瓷材料涂覆反应器室内的金属或金属氧化物表面以防止在涉及烃类热解的后续反应器过程中结焦。
US2015126045A1描述了在低于300℃的温度下通过在等离子体增强CVD工艺中使用远程等离子体和六甲基环三硅氮烷或其他氨基硅烷在衬底上沉积氮化硅层。
US2016379819A1描述了将包含不同的硅氮烷(包括六甲基环三硅氮烷)的UV辅助光化学蒸气用于孔密封多孔低介电膜的目的。
US20130330482A1描述了使用乙烯基取代的环三硅氮烷或其他硅氮烷作为前体,通过等离子体增强CVD工艺来沉积碳掺杂氮化硅膜。
US20160032452A1描述了ALD方法,其中至少一种金属有机源分子与氢自由基和另一种源气体反应以产生含金属膜。
前文确定的专利、专利申请和公开出版物通过引用并入本文。
在本领域中需要使用更便宜、反应性的和更稳定的硅前体化合物在热原子层沉积、等离子体增强原子层沉积(ALD)工艺或等离子体增强ALD样工艺中形成具有至少一种或多种以下属性的均匀且保形的含硅膜例如氧化硅的方法:密度为约2.1g/cc或更高,生长速率为/循环或更高,低化学杂质,和/或高保形性。
发明内容
本发明通过提供用于在使用含氧反应物源、含氮反应物源或其组合的以下沉积工艺中,在相对低的温度下,例如,在600℃或更低的一个或多个温度下,沉积化学计量或非化学计量的含硅材料或膜(例如但不限于氧化硅、碳掺杂氧化硅、氮氧化硅膜、氮化硅、碳掺杂氮化硅或碳掺杂氮氧化硅膜)的组合物和方法来解决本领域的需求:等离子体增强ALD、等离子体增强循环化学气相沉积(PECCVD)、可流动化学气相沉积(FCVD)、等离子体增强可流动化学气相沉积(PEFCVD)、等离子体增强ALD样工艺或ALD工艺。
在一个方面,提供了根据式A、B、C、D或E之一的硅前体化合物:
其中R1-3各自独立地选自氢、甲基和有机氨基(NR’R”),其中R’和R”各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢;R4和R5各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基;R6-8各自独立地选自氢、甲基、如上定义的有机氨基(NR’R”)、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢,其中取代基R1-8、R’和R”中的两个或更多个可以连接以形成取代或未取代的、饱和或不饱和的环状基团,并且其中R6-8中的至少一个必须是氢,且R6-8中的至少两个必须不是甲基。
除了合成硅氮烷分子的常规方法如氯硅烷与胺或金属胺化物形成Si-N键的反应之外,还可以例如通过有机胺、直链硅氮烷或环硅氮烷部分的至少一个N-H键与具有至少一个Si-H基团的氢化硅烷(hydridosilane)之间的催化脱氢偶联来合成具有式A至E的化合物(例如反应式1-5)。
示例性脱氢偶联催化剂包括,但不限于,三(五氟苯基)硼烷、BR3(其中R选自直链、支链或环状C1-C10烷基、C5-C10芳基、或C1-C10烷氧基)、1,3-二异丙基-4,5-二甲基咪唑-2-亚基、2,2′-联吡啶、菲咯啉、Mg[N(SiMe3)2]2、[三(4,4-二甲基-2-噁唑啉基)苯基硼酸]MgMe、[三(4,4-二甲基-2-噁唑啉基)苯基硼酸]MgH、三甲基铝、三乙基铝、氯化铝、Ca[N(SiMe3)2]2、二苄基钙、{CH-[CMeNC6H3-2,6-iPr2]2}CaH、三铷十二羰基、{CH-[CMeNC6H3-2,6-iPr2]2}Ca[N(SiMe3)2]、双(环戊二烯基)二烷基钛(IV)、双(环戊二烯基)钛(IV)二氟化物、双(环戊二烯基)钛(IV)二氯化物、双(环戊二烯基)钛(IV)二氢化物、TiMe2(dmpe)2[dmpe=1,2-双(二甲基膦基)乙烷]、(C5H5)2Ti(OAr)2[Ar=(2,6-(iPr)2C6H3)]、(C5H5)2Ti(SiHRR’)PMe3[其中R、R’各自独立地选自氢原子(H)、甲基(Me)和苯基(Ph)]、双(苯)铬(0)、六羰基铬、十羰基二锰、[Mn(CO)4Br]2、五羰基铁、(C5H5)Fe(CO)2Me、八羰基二钴、乙酸镍(II)、氯化镍(II)、[(dippe)Ni(μ-H)]2[dippe=1,2-双(二异丙基膦基)乙烷]、(R-茚基)Ni(PR’3)Me[其中R选自1-i-Pr、1-SiMe3和1,3-(SiMe3)2;其中R’选自甲基(Me)和苯基(Ph)]、[{Ni(η-CH2:CHSiMe2)2O}2{μ-(η-CH2:CHSiMe2)2O}]、乙酰丙酮化镍(II)、Ni(环辛二烯)2、氟化铜(II)、氯化铜(I)、氯化铜(II)、溴化铜(I)、溴化铜(II)、碘化铜(I)、乙酸铜(I)、Cu(PPh3)3Cl、氯化锌、[三(4,4-二甲基-2-噁唑啉基)苯基硼酸]ZnH、Sr[N(SiMe3)2]2、双(环戊二烯基)二烷基锆(IV)、双(环戊二烯基)锆(IV)二氟化物、双(环戊二烯基)锆(IV)二氯化物、双(环戊二烯基)锆(IV)二氢化物、[(Et3P)Ru(2,6-二-三甲苯基(mesityl)硫酚根)][B[3,5-(CF3)2C6H3]4]、(C5Me5)Ru(R3P)x(NCMe)3-x]+(其中R选自直链、支链或环状C1-C10烷基和C5-C10芳基;x=0、1、2、3)、三(三苯基膦)铑(I)羰基氢化物、二-μ-氯-四羰基二铑(I)、氯化三(三苯基膦)铑(I)(Wilkinson’s催化剂)、十六羰基六铑、三(三苯基膦)铑(I)羰基氢化物、氯化双(三苯基膦)铑(I)羰基、[RhCl(环辛二烯)]2、三(二亚苄基丙酮)二钯(0)、四(三苯基膦)钯(0)、乙酸钯(II)、氯化钯(II)、碘化钯(II)、碳酸铯、(C5H5)2SmH、(C5Me5)2SmH、(NHC)Yb(N(SiMe3)2)2[NHC=1,3-双(2,4,6-三甲基苯基)咪唑-2-亚基)]、六羰基钨、十羰基二铼、十二羰基三锇、十二羰基四铱、(乙酰丙酮)二羰基铱(I)、(POCOP)IrHCl[(POCOP)=2,6-(R2PO)2C6H3,(R选自异丙基(iPr)、正丁基(nBu)和甲基(Me)]、Ir(Me)2(C5Me5)L[其中L选自PMe3和PPh3]、[Ir(环辛二烯)OMe]2、铂(0)-1,3-二乙烯基-1,1,3,3-四甲基二硅氧烷(Karstedt’s催化剂)、H2PtCl6·nH2O(氯铂酸)、双(三叔丁基膦)铂(0)、PtO2和Pt(环辛二烯)2
催化剂可以也可以固定至载体而存在。载体是具有高表面积的固体。典型的载体材料包括但不限于:氧化铝、MgO、沸石、碳、整块堇青石、硅藻土、硅胶、二氧化硅/氧化铝、ZrO和TiO2。优选的载体是碳(例如,铂碳、钯碳、铑碳、钌碳)、氧化铝、二氧化硅和MgO。催化剂的金属负载范围在约0.01重量%至约50重量%之间。优选的范围是约0.5重量%至约20重量%。更优选的范围是约0.5重量%至约10重量%。需要激活的催化剂可以通过许多已知方法激活。在真空下加热催化剂是优选的方法。催化剂可以在添加到反应容器之前或在添加反应物之前在反应容器中激活。催化剂可以含有促进剂。促进剂为本身不是催化剂,但在以少量与活性催化剂混合时提高其效率(活性和/或选择性)的物质。促进剂通常是金属,例如Mn、Ce、Mo、Li、Re、Ga、Cu、Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu和Au和/或其氧化物。它们可以单独添加到反应容器中,或者它们可以是催化剂本身的部分。例如,Ru/Mn/C(由锰促进的钌碳)或Pt/CeO2/Ir/SiO2(由二氧化铈和铱促进的二氧化硅上的铂)。一些助催化剂本身可以充当催化剂,但其与主催化剂组合使用可以提高主催化剂的活性。催化剂可以充当其他催化剂的促进剂。在本文中,该催化剂可以称为双金属(或多金属)催化剂。例如,Ru/Rh/C可以称为碳上钌和铑双金属催化剂,或由铑促进的碳钌。活性催化剂是在特定化学反应中充当催化剂的材料。
在另一个实施方式中,提供了用于将含硅膜沉积到衬底上的方法,其包括以下步骤:在反应器中提供衬底;将包含根据式A、B、C、D或E之一的至少一种硅前体化合物的至少一种硅前体化合物引入所述反应器中:
其中R1-3各自独立地选自氢、甲基和有机氨基(NR’R”),其中R’和R”各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢;R4和R5各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基;R6-8各自独立地选自氢、甲基、如上定义的有机氨基(NR’R”)、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢,其中取代基R1-8、R’和R”中的两个或更多个可以连接以形成取代或未取代的、饱和或不饱和的环状基团,并且其中R6-8中的至少一个必须是氢,且R6-8中的至少两个必须不是甲基;用吹扫气体吹扫所述反应器;将含氧或含氮源(或其组合)引入所述反应器中;和用吹扫气体吹扫所述反应器,其中重复所述步骤直到沉积期望厚度的膜,并且其中所述方法在约25℃至600℃范围的一个或多个温度下进行。
在一些实施方式中,方法中使用的含氧源是选自氧等离子体、臭氧、水蒸气、水蒸气等离子体、具有或不具有惰性气体的氮氧化物(例如,N2O、NO、NO2)等离子体、碳氧化物(例如,CO2、CO)等离子体及其组合的源。在某些实施方式中,氧源还包含惰性气体。在这些实施方式中,惰性气体选自氩气、氦气、氮气、氢气及其组合。在可选实施方式中,氧源不包含惰性气体。在又一个实施方式中,含氧源包含氮,其在等离子体条件下与试剂反应以提供氮氧化硅膜。
在一些实施方式中,含氮源可以以至少一种含氮源的形式引入反应器中和/或可以附带地存在于沉积工艺中使用的其他前体中。合适的氮源气体可包括例如氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氮/氩等离子体、氮/氦等离子体、氨等离子体、氮等离子体、氮/氢等离子体、有机胺如叔丁胺、二甲胺、二乙胺、异丙胺、二乙胺等离子体、二甲胺等离子体、三甲基等离子体、三甲胺等离子体、乙二胺等离子体和烷氧基胺如乙醇胺等离子体,及其混合物。在某些实施方式中,含氮源包括氨等离子体、包含氮和氩的等离子体、包含氮和氦的等离子体或包含氢和氮的等离子体源气体。
在上述和整个本发明的实施方式中,惰性气体选自氩、氦、氮、氢或其组合。在替代实施方式中,含氧等离子体源不包含惰性气体。
本发明的一个实施方式涉及在使用更便宜、反应性且更稳定的硅前体化合物的热原子层沉积、等离子体增强原子层沉积(ALD)工艺或等离子体增强ALD样工艺中具有至少一种或多种以下属性的均匀且保形的含硅薄膜,如氧化硅:密度为约2.1g/cc或更高,生长速率为/循环或更高,低化学杂质,和/或高保形性。
本发明的实施方式可以单独使用或彼此组合使用。
具体实施方式
本文引用的所有参考文献,包括出版物、专利申请和专利均通过引用并入本文,其程度如同每个参考文献被单独且具体地指出通过引用并入并且在本文中完整阐述。
在描述本发明的上下文中(特别是在以下权利要求的上下文中),除非本文另有说明或与上下文明显矛盾,否则术语“一个/一种(a/an)”和“该/所述(the)”以及类似指示的使用应被解释为涵盖单数和复数。除非另有说明,否则术语“包含”、“具有”、“包括”和“含有”应被解释为开放式术语(即,“包括,但不限于”),但也包括“基本上由......组成”和“由......组成”的部分封闭或封闭式术语。除非本文另有说明,否则本文中对数值范围的记载仅旨在用作独立地提及落入该范围内的每个单独值的简写方法,并且每个单独值并入本说明书中,如同其在本文中独立地记载。除非本文另有说明或上下文明显矛盾,否则本文所述的所有方法均可以任何合适的顺序进行。除非另外声明,否则本文提供的任何和所有实例或示例性语言(例如,“如”)的使用仅旨在更好地说明本发明,而不对本发明的范围构成限制。本说明书中的任何语言都不应被解释为表示任何未要求保护的要素对于本发明的实践是必要的。
本文描述了本发明的优选实施方式,其是说明性的而不应限制本发明的范围。在阅读前文的描述后,那些优选实施方式的变化对于本领域普通技术人员可以变得显而易见。发明人预期熟练技术人员适当地采用这样的变化,并且发明人希望本发明以不同于本文具体描述的方式实施。因此,本发明包括适用法律允许的所附权利要求中记载的主题内容的所有修改和等同方式。而且,除非本文另有说明或上下文明显矛盾,否则本发明涵盖上述要素的所有可能变化方式的任意组合。
本文描述的是涉及在约600℃或更低,或约25℃至约600℃,和在一些实施方式中25℃至约300℃的一个或多个温度下,形成包含硅的化学计量或非化学计量的膜或材料的方法,例如但不限于氧化硅、碳掺杂氧化硅膜、氮氧化硅、氮化硅、碳掺杂氮化硅、碳掺杂氧氮化硅膜或其组合。本文所述的膜在沉积工艺例如原子层沉积(ALD)或在ALD样工艺(例如但不限于等离子体增强ALD(PEALD)或等离子体增强循环化学气相沉积工艺(PECCVD)、可流动化学气相沉积(FCVD)或等离子体增强可流动化学气相沉积(PEFCVD))中沉积。本文所述的低温沉积(例如,大致环境温度至600℃范围的一个或多个沉积温度)方法提供了表现出至少一种或多种以下优点的膜或材料:密度为约2.1g/cc或更高,低化学杂质,在热原子层沉积、等离子体增强原子层沉积(ALD)工艺或等离子体增强ALD样工艺中的高保形性,调节所得膜中的碳含量的能力;和/或当在0.5重量%的稀HF中测量时,膜的蚀刻速率为5埃/秒或更低。对于碳掺杂氧化硅膜,除了例如但不限于约1.8g/cc或更高或约2.0g/cc或更高的密度的其他特征之外,期望的是大于1%的碳以将在0.5重量%的稀HF中的蚀刻速率调节至低于/>的值。
可以使用本领域已知的设备来实施本发明。例如,本发明的方法可以使用半导体制造领域中常规的反应器。
在一个实施方式中,本文所述的硅前体组合物包含至少一种具有下式A、B、C、D或E的官能化环硅氮烷:
其中R1-3各自独立地选自氢、甲基和有机氨基(NR’R”),其中R’和R”各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢;R4和R5各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基;R6-8各自独立地选自氢、甲基、如上定义的有机氨基(NR’R”)、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢,其中取代基R1-8、R’和R”中的两个或更多个可以连接以形成取代或未取代的、饱和或不饱和的环状基团,并且其中R6-8中的至少一个必须是氢,且R6-8中的至少两个必须不是甲基。
在某些实施方式中,本文所述的组合物还包含溶剂。示例性溶剂可包括但不限于醚、叔胺、烷基烃、芳族烃、叔氨基醚及其组合。在某些实施方式中,硅前体的沸点与溶剂的沸点之间的差为40℃或更小。
在上式和整个说明书中,术语“烷基”表示具有1-10个碳原子的直链或支链官能团。示例性的直链烷基包括但不限于甲基、乙基、丙基、丁基、戊基和己基。示例性的支链烷基包括但不限于异丙基、异丁基、仲丁基、叔丁基、异戊基、叔戊基、异己基和新己基。在某些实施方式中,烷基可以具有一个或多个与其连接的官能团,例如但不限于与其连接的烷氧基、二烷基氨基或其组合。在其他实施方式中,烷基不具有一个或多个与其连接的官能团。烷基可以是饱和的,或者是不饱和的。
在上式和整个说明书中,术语“环烷基”表示具有3至10个碳原子的环状官能团。示例性环烷基包括但不限于环丁基、环戊基、环己基和环辛基。
在上式和整个说明书中,术语“烯基”表示具有一个或多个碳-碳双键且具有2至10或2至6个碳原子的基团。
在本文所述的式和整个说明书中,术语“二烷基氨基或烷基氨基”表示具有与氮原子键合的两个烷基或与氮原子键合的一个烷基且具有1至10或2至6或2至4个碳原子的基团。实例包括但不限于HNMe、HNBut、NMe2、NMeEt、NEt2,NPri 2
在上式和整个说明书中,术语“芳基”表示具有4至10个碳原子,5至10个碳原子或6至10个碳原子的芳族环状官能团。示例性芳基包括但不限于苯基、苄基、氯苄基、甲苯基、邻二甲苯基、1,2,3-三唑基、吡咯基和呋喃基。
在上式和整个说明书中,术语“杂环”是指约3至约10个环原子,优选约5至约10个环原子的非芳香族饱和单环或多环环系统,其中该环系统中的原子中的一个或多个是碳以外的元素,例如氮、氧或硫。优选的杂环含有约5至约6个环原子。杂环前的前缀氮杂、氧杂或硫杂分别表示至少一个氮、氧或硫原子作为环原子存在。杂环基是任选地取代的。
示例性官能化环硅氮烷前体在表1中列出:
表1
在本发明的另一个实施方式中,本文描述了一种用于在衬底的至少一个表面上沉积含硅膜的方法,其中所述方法包括以下步骤:
a.在反应器中提供衬底;
b.将至少一种具有如上文定义的式A至E的硅前体引入所述反应器中;
c.用吹扫气体吹扫所述反应器;
d.将包含等离子体的含氧源引入所述反应器中;和
e.用吹扫气体吹扫所述反应器。
在该方法中,重复步骤b至e直到在所述衬底上沉积期望厚度的膜。
本发明的方法通过ALD工艺进行,其使用包含等离子体的臭氧或含氧源,其中等离子体可以进一步包含惰性气体,例如以下中的一种或多种:有或没有惰性气体的氧等离子体、有或没有惰性气体的水蒸气等离子体、有或没有惰性气体的氮氧化物(例如N2O、NO、NO2)等离子体、有或没有惰性气体的碳氧化物(例如CO2、CO)等离子体及其组合。
含氧等离子体源可以原位产生,或者远程产生。在一个特定实施方式中,含氧源包括氧,并且在方法步骤b至d期间与其他试剂例如但不限于至少一种硅前体和任选地惰性气体一起流动或引入。
在某些实施方式中,根据本发明的具有式A至E的硅前体化合物和包含根据本发明的具有式A至E的硅前体化合物的组合物优选基本上不含卤离子。如本文所用,术语“基本上不含”在涉及卤离子(或卤化物)例如氯离子和氟离子、溴离子和碘离子时是指如通过ICP-MS测量的小于5ppm(以重量计),优选小于3ppm,更优选小于1ppm,最优选0ppm。已知含氯化物的杂质充当具有式A至E的硅前体化合物的分解催化剂。在某些情况下,最终产物中显著含量的氯化物可导致硅前体化合物降解。硅前体化合物的逐渐降解可直接影响膜沉积过程,从而使半导体制造商难以满足膜规格。另外,保质期或稳定性受到硅前体化合物的较高降解速率的不利影响,从而使得难以保证1-2年的保质期。因此,硅前体化合物的加速分解带来与这些易燃和/或自燃性气态副产物的形成有关的安全性和性能问题。
对于其中至少一种具有式A至E的硅前体在包含溶剂的组合物中使用的那些实施方式,所选择的溶剂或其混合物不与硅前体反应。溶剂在组合物中按重量百分比计的量的范围为0.5重量%至99.5重量%或10重量%至75重量%。在这个或其他实施方式中,溶剂的沸点(b.p.)与式A至E的硅前体的b.p.相似,或者溶剂的b.p.与式A至E的硅前体的b.p.之间的差异为40℃或更小,30℃或更小,或20℃或更小,或10℃。或者,沸点之间的差异的范围具有以下端点中的一个或多个:0、10、20、30或40℃。b.p.差异的合适范围的实例包括但不限于,0至40℃,20至30℃,或10至30℃。组合物中合适溶剂的实例包括但不限于醚(例如1,4-二噁烷、二丁醚)、叔胺(例如吡啶、1-甲基哌啶、1-乙基哌啶、N,N’-二甲基哌嗪、N,N,N’,N’-四甲基乙二胺)、腈(如苄腈)、烷基烃(如辛烷、壬烷、十二烷、乙基环己烷)、芳族烃(如甲苯、均三甲苯)、叔氨基醚(例如双(2-二甲基氨基乙基)醚)或其混合物。
在整个说明书中,术语“ALD或ALD样”是指包括但不限于以下过程的工艺:a)将包括硅前体和反应性气体的每种反应物顺序引入反应器如单晶片ALD反应器、半批式ALD反应器或批式炉ALD反应器中;b)通过将衬底移动或旋转到反应器的不同区段而使包括硅前体和反应气体的每种反应物暴露于衬底,并且每个区段通过惰性气幕分隔,即空间ALD反应器或辊至辊ALD反应器。
在某些实施方式中,使用本文所述方法沉积的氧化硅或碳掺杂氧化硅膜在包含臭氧、水(H2O)(例如,去离子水、纯化水和/或蒸馏水)、氧(O2)、氧等离子体、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)及其组合的含氧源的存在下形成。含氧源通过例如原位或远程等离子体发生器以提供包含氧的含氧等离子体源,例如氧等离子体、包含氧和氩的等离子体、包含氧和氦的等离子体、臭氧等离子体、水等离子体、一氧化二氮等离子体或二氧化碳等离子体。在某些实施方式中,含氧等离子体源包含以约1至约2000标准立方厘米(sccm)或约1至约1000sccm范围的流速引入所述反应器中的氧源气体。含氧等离子体源可以引入约0.1至约100秒范围的时间。在一个特定的实施方式中,含氧等离子体源包含温度为10℃或更高的水。在其中膜通过PEALD或等离子体增强循环CVD工艺沉积的实施方式中,取决于ALD反应器的体积,前体脉冲可具有大于0.01秒的脉冲持续时间(例如,约0.01至约0.1秒,约0.1至约0.5秒,约0.5至约10秒,约0.5至约20秒,约1至约100秒),并且含氧等离子体源可具有小于0.01秒的脉冲持续时间(例如,约0.001至约0.01秒)。
本文公开的沉积方法可以涉及一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体,从而形成包含前述的组合物。示例性的吹扫气体包括但不限于氩气(Ar)、氮气(N2)、氦气(He)、氖气、氢气(H2)及其混合物。在某些实施方式中,将吹扫气体如Ar以约10至约2000sccm的流速供应到反应器中约0.1-1000秒,从而吹扫可能残留在反应器中的未反应的材料和任何副产物。
供应前体、氧源和/或其他前体、源气体和/或试剂的相应步骤可以通过改变供应它们的时间来进行以改变所得介电膜的化学计量组成。
将能量施加至式A至E的硅前体、含氧源或其组合中的至少一种以引发反应并在衬底上形成介电膜或涂层。这种能量可以通过(但不限于)热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合来提供。在某些实施方式中,次级RF射频源可用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体产生的过程可以包括直接等离子体产生的过程(其中在反应器中直接产生等离子体),或者可选地远程等离子体产生的过程(其中在反应器外部产生等离子体并且供应到反应器中)。
可以以各种方式将至少一种硅前体输送至反应室,例如等离子体增强循环CVD或PEALD反应器或批式炉型反应器。在一个实施方式中,可以使用液体输送系统。在一个替代实施方式中,可以采用组合的液体输送和闪蒸工艺单元,例如由MSP Corporation ofShoreview,MN制造的涡轮蒸发器,以使低挥发性材料能够被定量地(volumetrically)输送,这导致可重复的输送和沉积而不使前体热分解。在液体输送方式中,本文所述的前体可以以纯液体形式输送,或者可以在包含其的溶剂制剂或组合物中使用。因此,在某些实施方式中,前体制剂可以包含具有合适特性(如在给定的最终用途应用中可能期望和有利的)的溶剂组分以在衬底上形成膜。
如前所述,至少一种硅前体的纯度水平足够高以对于可靠半导体制造是可接受的。在某些实施方式中,本文所述的至少一种硅前体包含小于2重量%,或小于1重量%,或小于0.5重量%的一种或多种以下杂质:游离胺、游离卤化物或卤离子和较高分子量的物质。本文所述的硅前体的较高纯度水平可通过以下方法中的一种或多种获得:纯化、吸附和/或蒸馏。
在本文所述方法的一个实施方式中,可以使用等离子体增强循环沉积工艺如PEALD样或PEALD,其中使用至少一种硅前体和氧等离子体源进行沉积。PEALD样工艺被定义为等离子体增强循环CVD工艺,但仍提供高保形的含硅和氧的膜。
在某些实施方式中,将从前体罐连接至反应室的气体管线加热至根据工艺要求的一个或多个温度,并且将至少一种硅前体的容器保持在用于鼓泡的一个或多个温度下。在其它实施方式中,包含至少一种硅前体的溶液被注射到保持在用于直接液体注射的一个或多个温度下的蒸发器中。
可以使用氩气和/或其他气体的流作为载气以帮助在前体脉冲期间将至少一种硅前体的蒸气输送到反应室。在某些实施方式中,反应室工艺压力为约50毫托-10托。在其他实施方式中,反应室工艺压力可以是至多760托(例如,约50毫托至约100托)。
在典型的PEALD或PEALD样工艺(例如PECCVD工艺)中,衬底如氧化硅衬底在反应室中的加热台上加热,反应室最初暴露于硅前体以允许复合物化学吸附到衬底表面上。
吹扫气体如氩气从处理室中吹扫掉未被吸收的过量复合物。在充分吹扫之后,可以将氧源引入反应室中以与吸收的表面反应,然后进行另一次气体吹扫以从室中除去反应副产物。可以重复该工艺循环以获得期望的膜厚度。在一些情况下,泵送可以代替惰性气体吹扫,或者可以采用两者来除去未反应的硅前体。
在这个或其他实施方式中,应理解,本文描述的方法的步骤可以以各种顺序执行,可以顺序执行,可以同时执行(例如,在另一步骤的至少一部分期间),及以其任何组合方式进行。例如,供应前体和氧源气体的相应步骤可以通过改变供应它们的持续时间来进行以改变所得介电膜的化学计量组成。而且,在前体或氧化剂步骤之后的吹扫时间可以最小化至<0.1s,以使得提高生产量。
在一个特定实施方式中,本文描述的方法在衬底上沉积高质量含硅膜,例如,含硅和氧的膜。所述方法包括以下步骤:
a.在反应器中提供衬底;
b.将至少一种具有本文所述式A至E的硅前体引入所述反应器中;
c.用吹扫气体吹扫所述反应器以除去至少一部分未被吸收的前体;
d.将含氧等离子体源引入所述反应器中,和
e.用吹扫气体吹扫所述反应器以除去至少一部分未反应的氧源,
其中重复步骤b至e,直到沉积期望厚度的含硅膜。
本文公开的另一种方法使用具有如上定义的式A至E表示的化学结构的硅前体化合物加上氧源形成碳掺杂氧化硅膜。
另一个示例性方法描述如下:
a.在反应器中提供衬底;
b.使从至少一种具有如上定义的式A至E表示的结构的硅前体化合物产生的蒸气在共流或不共流氧源的情况下接触以在加热的衬底上化学吸收所述前体;
c.吹扫掉任何未被吸收的前体;
d.在加热的衬底上引入氧源以与吸收的前体反应;和
e.吹扫掉任何未反应的氧源,
其中重复步骤b至e直到获得期望的厚度。
在另一个特定实施方式中,本文描述的方法在衬底上沉积高质量含硅膜,例如氮化硅膜。所述方法包括以下步骤:
a.在反应器中提供衬底;
b.将至少一种具有本文所述式A至E的硅前体引入所述反应器中;
c.用吹扫气体吹扫反应器以除去至少一部分未被吸收的前体;
d.将含氮等离子体源引入所述反应器中;
e.用吹扫气体吹扫反应器以除去至少一部分未反应的氮源,
其中重复步骤b至e直到沉积期望厚度的含硅膜。
另一示例性方法描述如下:
a.在反应器中提供衬底;
b.使从至少一种具有如上定义的式A至E表示的结构的硅前体化合物产生的蒸气在共流或不共流氮源的情况下接触以在加热的衬底上化学吸收所述前体;
c.吹扫掉任何未被吸收的前体;
d.在加热的衬底上引入氮源以与吸收的前体反应;和
e.吹扫掉任何未反应的氮源,
其中重复步骤b至e直到获得期望的厚度。
可以使用各种商业ALD反应器如单晶片、半批式、批式炉或辊对辊式反应器来沉积固体氧化硅、氮化硅、氮氧化硅、碳掺杂氮化硅、碳掺杂氮氧化硅或碳掺杂氧化硅。
本文所述方法的工艺温度使用以下温度中的一个或多个作为端点:0℃、25℃、50℃、75℃、100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃、300℃、325℃、350℃、375℃、400℃、425℃、450℃、500℃、525℃、550℃。示例性温度范围包括但不限于以下:约0℃至约300℃;或约25℃至约300℃;或约50℃至约290℃;或约25℃至约250℃;或约25℃至约200℃。
在另一个方面,提供了通过可流动化学气相沉积(FCVD)来沉积含硅膜的方法,所述方法包括:
将包括表面特征的衬底放入反应器中,其中所述衬底保持在范围为约-20℃至约400℃的一个或多个温度下,并且所述反应器的压力保持在100托或更低;
引入至少一种选自式A至E的化合物;
提供氧源到所述反应器中以与所述至少一种化合物反应而形成膜并覆盖所述表面特征的至少一部分;
在约100℃至1000℃的一个或多个温度下使所述膜退火以涂覆所述表面特征的至少一部分;和
在范围为约20℃至1000℃的一个或多个温度下用氧源处理所述衬底以在所述表面特征的至少一部分上形成含硅膜。
在另一个方面,提供了通过可流动化学气相沉积(FCVD)来沉积含硅膜的方法,所述方法包括:
将包括表面特征的衬底放入反应器中,其中所述衬底保持在范围为约-20℃至约400℃的一个或多个温度下,并且所述反应器的压力保持在100托或更低;
引入至少一种选自式A至E的化合物;
提供氮源到所述反应器中以与所述至少一种化合物反应而形成膜并覆盖所述表面特征的至少一部分;
在约100℃至1000℃的一个或多个温度下使所述膜退火以涂覆所述表面特征的至少一部分;和
在范围为约20℃至1000℃的一个或多个温度下用氧源处理所述衬底以在分所述表面特征的至少一部上形成含硅膜。
在某些实施方式中,氧源选自水蒸气、水等离子体、臭氧、氧、氧等离子体、氧/氦等离子体、氧/氩等离子体、氮氧化物等离子体、二氧化碳等离子体、过氧化氢、有机过氧化物及其混合物。在其他实施方式中,氮源选自例如氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氮/氩等离子体、氮/氦等离子体、氨等离子体、氮等离子体、氮/氢等离子体、有机胺如叔丁胺、二甲胺、二乙胺、异丙胺、二乙胺等离子体、二甲胺等离子体、三甲基等离子体、三甲胺等离子体、乙二胺等离子体和烷氧基胺例如乙醇胺等离子体,及其混合物。在又一些实施方式中,含氮源包括氨等离子体、包含氮和氩的等离子体、包含氮和氦的等离子体或包含氢和氮的等离子体源气体。在这个或其他实施方式中,重复方法步骤直到表面特征被含硅膜填充。在其中水蒸气用作氧源的实施方式中,衬底温度范围为约-20℃至约40℃或约-10℃至约25℃。
在本文所述方法的再进一步的实施方式中,对从ALD、ALD样、PEALD、PEALD样或FCVD沉积的膜或如此沉积的膜进行处理步骤(沉积后)。处理步骤可以在沉积步骤的至少一部分期间、在沉积步骤之后及以其组合方式进行。示例性的处理步骤包括,但不限于,通过高温热退火的处理、等离子体处理、紫外线(UV)处理、激光、电子束处理及其组合,以影响膜的一种或多种性质。
与在相同条件下用先前公开的硅前体沉积的膜相比,用本文所述的具有式A至E的硅前体沉积的膜具有改善的性能,例如但不限于,湿蚀刻速率低于处理步骤之前的膜的湿蚀刻速率,或密度高于处理步骤之前的密度。在一个特别的实施方式中,在沉积工艺期间,如此沉积的膜间歇地处理。这些间歇或沉积中处理可以,例如在每个ALD循环之后、在每一定数量的ALD之后进行,例如但不限于一(1)个ALD循环、两(2)个ALD循环、五(5)个ALD循环或在每十(10)个或更多个ALD循环之后。
式A至E的前体表现出/循环或更高的生长速率,优选/>/循环或更高的生长速率,最优选/>/循环或更高的生长速率。
在其中用高温退火步骤处理膜的实施方式中,退火温度为至少100℃或大于沉积温度。在这个或其它实施方式中,退火温度范围为约400℃至约1000℃。在这个或其它实施方式中,退火处理可在真空(<760托)、惰性环境或含氧环境(例如H2O、N2O、NO2或O2)中进行。
在其中对膜进行UV处理的实施方式中,将膜暴露于宽带UV,或者波长范围为约150纳米(nm)至约400nm的UV源。在一个特定的实施方式中,在达到期望的膜厚度之后,将如此沉积的膜在与沉积室不同的室中暴露于UV。
在其中用等离子体处理膜的实施方式中,沉积钝化层,例如SiO2或碳掺杂SiO2,以防止氯和氮污染在后续等离子体处理中渗透到膜中。钝化层可以使用原子层沉积或循环化学气相沉积来沉积。
在用等离子体处理膜的实施方式中,等离子体源选自氢等离子体、包含氢和氦的等离子体、包含氢和氩的等离子体。氢等离子体降低膜介电常数,并提高对后续等离子体灰化过程破坏的抗性,同时仍使主体中的碳含量保持几乎不变。
不受特定理论的束缚,据信如上定义的具有式A至E表示的化学结构的硅前体化合物可通过断裂Si-N键、有机氨基甲硅烷基或硅氮烷基用衬底表面上的羟基锚定以提供Si-O-Si’片段,其中Si’片段键合至氮原子,该氮原子是包含至少两个另外的硅原子的六元环的一部分,因此与常规硅前体(例如仅具有一个硅原子的双(叔丁基氨基)硅烷或双(二乙基氨基)硅烷)相比提高了氧化硅或碳掺杂氧化硅的生长速率。使用具有式A至E的官能化环硅氮烷,多达每分子3至6个硅原子可以在硅前体脉冲步骤期间锚定至衬底。
在某些实施方式中,具有如上定义的式A至E的硅前体也可以用作含金属膜的掺杂剂,例如但不限于金属氧化物膜或金属氮化物膜。在这些实施方式中,使用ALD或CVD工艺,例如本文所述的那些工艺,使用金属醇盐、金属胺化物或挥发性有机金属前体来沉积含金属膜。可以与本文公开的方法一起使用的合适的金属醇盐前体的实例包括但不限于第3至6族金属醇盐、具有烷氧基和烷基取代的环戊二烯基配体两者的第3至6族金属络合物、具有烷氧基和烷基取代的吡咯基配体两者的第3至6族金属络合物、具有烷氧基和二酮酸酯配体两者的第3至6族金属络合物、具有烷氧基和酮酯配体两者的第3至6族金属络合物。
可以与本文公开的方法一起使用的合适的金属胺化物前体的实例包括但不限于四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基氨基)锆(TEMAZ)、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)和四(乙基甲基氨基)铪(TEMAH)、四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基氨基)钛(TEMAT)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、双(叔丁基亚氨基)双(二甲基氨基)钨(BTBMW)、双(叔丁基亚氨基)双(二乙基氨基)钨、双(叔丁基亚氨基)双(乙基甲基氨基)钨及其组合。可以与本文公开的方法一起使用的合适的有机金属前体的实例包括但不限于第3族金属环戊二烯基或烷基环戊二烯基。本文的示例性第3至6族金属包括但不限于Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo和W。
在某些实施方式中,本文所述的含硅膜具有6或更低,5或更低,4或更低,及3或更低的介电常数。在这些或其他实施方式中,膜的介电常数可为约5或更低,或约4或更低,或约3.5或更低。然而,可以设想,取决于膜的期望最终用途,可以形成具有其他介电常数(例如,更高或更低)的膜。使用本文所述的具有式A至E的硅前体和方法形成的含硅膜的实例具有SixOyCzNvHw的配方,其中Si的范围为约10%至约40%;O的范围为约0%至约65%;C的范围为约0%至约75%或约0%至约50%;N的范围为约0%至约75%或约0%至50%;和H的范围为约0%至约50%原子重量百分比,其中x+y+z+v+w=100原子重量百分比,如例如通过XPS或其他方法测定的。使用本文所述的式A至E的硅前体和方法形成的含硅膜的另一个实例是碳氮化硅,其中通过XPS测量的碳含量为1原子%至80原子%。使用本文所述的具有式A至E的硅前体和方法形成的含硅膜的另一个实例是非晶硅,其中氮和碳含量之和通过XPS测量为<10原子%,优选<5原子%,最优选小于1原子%。
如前所述,本文所述的方法可用于在衬底的至少一部分上沉积含硅膜。合适的衬底的实例包括但不限于硅、SiO2、Si3N4、OSG、FSG、碳化硅、氢化碳化硅、氮化硅、氢化氮化硅、碳氮化硅、氢化碳氮化硅、氮化硼、抗反射涂层、光致抗蚀剂、锗、含锗、含硼、Ga/As、柔性衬底、有机聚合物、多孔有机和无机材料、金属如铜和铝及扩散屏障层例如但不限于TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。膜与各种后续处理步骤相容,例如化学机械平坦化(CMP)和各向异性蚀刻工艺。
沉积的膜具有多种应用,其包括但不限于计算机芯片、光学器件、磁信息存储器、支撑材料或衬底上的涂层、微机电系统(MEMS)、纳米机电系统、薄膜晶体管(TFT)、发光二极管(LED)、有机发光二极管(OLED)、IGZO和液晶显示器(LCD)。所得固体氧化硅或碳掺杂氧化硅的潜在用途包括但不限于浅沟槽绝缘、层间电介质、钝化层、蚀刻停止层、双重间隔物的部分和用于图案化的牺牲层。
本文所述的方法提供了高质量的氧化硅、氮化硅、氮氧化硅、碳掺杂氮化硅、碳掺杂氮氧化硅或碳掺杂氧化硅膜。术语“高质量”是指表现出以下特性中的一种或多种的膜:密度为约2.1g/cc或更高,2.2g/cc或更高,2.25g/cc或更高;湿蚀刻速率为或更低,/>或更低,/>或更低,/>或更低,/>或更低,/>或更低,/>或更低,/>或更低,如在1:100的HF与水的稀HF(0.5重量%dHF)酸的溶液中测量的;漏电为最高6MV/cm下约1e-8A/cm2或更低);通过SIMS测量的氢杂质为约5e20 at/cc或更低;及其组合。关于蚀刻速率,热生长的氧化硅膜在0.5重量%HF中具有蚀刻速率。
在某些实施方式中,一种或多种本文所述的具有式A至E的硅前体可用于形成为固体且为无孔或基本上无孔的含硅和氧的膜。
因此,本发明至少提供了以下各项:
1.根据式A、B、C、D或E之一的硅前体化合物:
其中
R1-3各自独立地选自氢、甲基和有机氨基(NR’R”),其中R’和R”各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢;
R4和R5各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基;
R6-8各自独立地选自氢、甲基、如上定义的有机氨基(NR’R”)、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢;
其中取代基R1-8、R’和R”中的两个或更多个可连接以形成取代或未取代的、饱和或不饱和的环状基团,并且
其中R6-8中的至少一个必须是氢,且R6-8中的至少两个必须不是甲基。
2.根据项1所述的组合物,其还包含至少一种吹扫气体。
3.根据项1所述的组合物,其中选自式A至E之一的所述至少一种硅前体化合物包括选自以下中的至少一种:1-甲硅烷基-2,2,4,4,6,6-六甲基环三硅氮烷、1-(异丙基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(二甲基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(异丙基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(甲基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(二甲基氨基甲基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、2-二甲基氨基-1,2,3,4,5,6-六甲基环三硅氮烷、1-(二甲基氨基-甲基甲硅烷基)-2,4,6-三甲基环三硅氮烷、1,2,3-三甲硅烷基-2,2,4,4,6,6-六甲基环三硅氮烷、1,2,3-三甲硅烷基-2,4,6-三甲基环三硅氮烷、2,2,4,4,5,6,6-七甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-乙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三杂硅环己烷、5-正丙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-异丙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-甲硅烷基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-甲基甲硅烷基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-(二甲基氨基甲硅烷基)-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-(二甲基氨基甲基甲硅烷基)-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷。
4.一种组合物,其包含选自式B、C或E之一的至少一种硅前体化合物:
其中
R1-3各自独立地选自氢、甲基和有机氨基(NR’R”),其中R’和R”各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢;
R4和R5各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基;
R6-8各自独立地选自氢、甲基、如上定义的有机氨基(NR’R”)、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢;
其中取代基R1-8、R’和R”中的两个或更多个可连接以形成取代或未取代的、饱和或不饱和的环状基团,并且
其中R6-8中的至少一个必须是氢,且R6-8中的至少两个必须不是甲基。
5.一种将含硅膜沉积到衬底上的方法,所述方法包括以下步骤:
a)在反应器中提供衬底;
b)将至少一种根据项1所述的硅前体化合物引入所述反应器中;
c)用吹扫气体吹扫所述反应器;
d)将含氧或含氮源(或其组合)引入所述反应器中;和
e)用吹扫气体吹扫所述反应器,
其中重复步骤b至e,直到沉积期望厚度的膜,并且
其中所述方法在约25℃至600℃范围的一个或多个温度下进行。
6.根据项5所述的方法,其中所述至少一种硅前体化合物选自2,2,4,4,6,6-六甲基环三硅氮烷、1-甲硅烷基-2,2,4,4,6,6-六甲基环三硅氮烷、1-(异丙基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(二甲基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(异丙基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(甲基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(二甲基氨基甲基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1,2,3,4,5,6-六甲基环三硅氮烷、2-二甲基氨基-1,2,3,4,5,6-六甲基环三硅氮烷、1-(二甲基氨基-甲基甲硅烷基)-2,4,6-三甲基环三硅氮烷、1,2,3-三甲硅烷基-2,2,4,4,6,6-六甲基环三硅氮烷、1,2,3-三甲硅烷基-2,4,6-三甲基环三硅氮烷、2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、2,2,4,4,5,6,6-七甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-乙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-正丙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-异丙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-甲硅烷基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-甲基甲硅烷基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-(二甲基氨基甲硅烷基)-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-(二甲基氨基甲基甲硅烷基)-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷。
7.根据项5所述的方法,其中所述含氧源选自臭氧、氧等离子体、包含氧和氩的等离子体、包含氧和氦的等离子体、臭氧等离子体、水等离子体、一氧化二氮等离子体、二氧化碳等离子体及其组合。
8.根据项5所述的方法,其中所述含氮源选自氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氮/氩等离子体、氮/氦等离子体、氨等离子体、氮等离子体、氮/氢等离子体、有机胺如叔丁胺、二甲胺、二乙胺、异丙胺、二乙胺等离子体、二甲胺等离子体、三甲基等离子体、三甲胺等离子体、乙二胺等离子体和烷氧基胺如乙醇胺等离子体,及其混合物。
9.根据项5所述的方法,其中所述含氧源和/或所述含氮源包含等离子体。
10.根据项9所述的方法,其中所述等离子体原位产生。
11.根据项9所述的方法,其中所述等离子体远程产生。
12.根据项5所述的方法,其中所述膜的密度为约2.1g/cc或更高。
13.根据项5所述的方法,其中所述膜还包含碳。
14.根据项5所述的方法,其中所述膜的密度为约1.8g/cc或更高。
15.根据项5所述的方法,其中通过X射线光谱法测量,所述膜的碳含量为0.5原子重量%(at.%)或更高。
16.一种通过根据项5所述的方法形成的膜。
17.一种通过根据项9所述的方法形成的膜。
以下实施例说明了本文所述的沉积氧化硅膜的方法,且并非旨在限制所附权利要求。
实施例
在实验室规模的ALD处理设备上进行氧化硅膜的热原子层沉积。通过蒸气抽吸将硅前体输送到腔室中。在进入沉积区之前,所有气体(例如,吹扫气体和反应物气体或前体和氧源)预热至100℃。气体和前体流速通过具有高速致动的ALD隔膜阀控制。沉积中使用的衬底是12英寸长的硅条。将热电偶附接到样品架上以确认衬底温度。使用臭氧作为氧源气体进行沉积。正常的沉积工艺和参数示于表2中。
表2:在实验室规模的ALD处理设备上以臭氧为氧源的氧化硅膜的热原子层沉积工艺。
步骤1 6秒 排空反应器 <100mT
步骤2 可变 定量加料硅前体 反应器压力通常<2托
步骤3 6秒 用氮气吹扫反应器 1.5slpm N2
步骤4 6秒 排空反应器 <100mT
步骤5 可变 定量加料氧源臭氧
步骤6 6秒 用氮气吹扫反应器 1.5slpm N2
等离子体增强ALD(PEALD)在配备有27.1MHz直接等离子能力且电极之间的固定间隔为3.5mm的商用侧流反应器(ASM制造的300mm PEALD设备)上进行。层流室设计利用具有独立压力设置的外室和内室。内室是沉积反应器,其中所有反应物气体(例如前体、氩气)在歧管中混合并输送到工艺反应器中。使用氩气维持外室中的反应器压力。前体是保持在不锈钢鼓泡器中的液体,并与Ar载气(通常设定为200sccm流量)一起输送到腔室中。本研究中报告的所有沉积均在8-12Ohm-cm的含天然氧化物的Si衬底上进行。使用FilmTek 2000SE椭圆偏振仪测量膜的厚度和折射率。通过将所得氧化硅膜的测量厚度除以总ALD/PEALD循环数计算每循环生长速率(GPC)。
实施例1.1-(二甲基氨基甲基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷的合成 (预示)。
在圆底烧瓶中,将1-甲基甲硅烷基-2,2,4,4,6,6-六甲基环三硅氮烷与二甲胺在THF溶剂中合并。在搅拌的同时,添加1mol%的Ru3(CO)12催化剂。将反应混合物在室温下搅拌1天,同时使H2气体副产物排出。通过真空蒸馏纯化反应混合物以提供1-(二甲基氨基甲基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷。
实施例2.2-二甲基氨基-1,2,3,4,5,6-六甲基环三硅氮烷的合成。
向在1升圆底烧瓶中搅拌的1,2,3,4,5,6-六甲基环三硅氮烷(200g,0.91mmol)和Ru3(CO)12催化剂(1.45g,0.00227mol)的混合物在6小时过程中以3个独立部分添加二甲胺(230mL的THF中的2.0M溶液,0.46mol)。将反应混合物在室温下搅拌1天,同时使H2气体副产物排出。将挥发物在烧瓶至烧瓶装置中真空转移,接收烧瓶冷却到-78℃。冷凝的挥发物通过真空蒸馏纯化以提供2-二甲基氨基-1,2,3,4,5,6-六甲基环三硅氮烷。GC-MS显示以下峰:262(M+)、247(M-15)、231、218、202、189、175、159、145、131、118、102、88、72。
实施例3.1,2,3-三甲硅烷基-2,2,4,4,6,6-六甲基环三硅氮烷的合成(预示)。
将2,2,4,4,6,6-六甲基环三硅氮烷与3当量的三乙胺在己烷溶剂中合并并冷却至-50℃。然后在-50℃下搅拌的同时将3当量的一氯硅烷缓慢冷凝至反应容器中。在搅拌的同时,将得到的浆液缓慢升温至室温。通过过滤除去固体,并在减压下除去溶剂和其他低沸物。粗产物通过真空蒸馏纯化以提供1,2,3-三甲硅烷基-2,2,4,4,6,6-六甲基环三硅氮烷。
实施例4.2,2,4,4,5,6,6-七甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷的合 成(预示)。
在催化量的吡啶存在下,将1,1,1,2,3,3,3-七甲基二硅氮烷与1当量的1,5-二氯-1,1,3,3,5,5-六甲基三硅氧烷合并。通过GC分析确定反应完成后,将粗反应混合物通过真空蒸馏纯化以提供2,2,4,4,5,6,6-七甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷。
实施例5.5-(二甲基氨基甲硅烷基)-2,2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮 杂-2,4,6-三硅杂环己烷的合成(预示)。
二甲胺作为在THF中的2.0M溶液添加到5-甲硅烷基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅环己烷和0.1mol%Ru3(CO)12催化剂的搅拌混合物中。将该混合物在室温下搅拌1天,允许排出H2气体副产物。将反应混合物通过真空蒸馏纯化以提供5-(二甲基氨基甲硅烷基)-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷。
实施例6.5-异丙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环 己烷的合成。
将1,5-二氯-1,1,3,3,5,5-六甲基三硅氧烷(0.5g,0.0018mol)在戊烷(5mL)中的溶液滴加到异丙胺(0.40g,0.0068mol)在戊烷(4mL)中的搅拌溶液中。将所得白色浆液搅拌过夜。过滤除去固体,并通过GC-MS分析确定所得滤液含有5-异丙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷作为产物之一。GC-MS显示以下峰:262(M+)、248、234、218、207、193、177、160、147、133、119、110、96、88、73。
实施例7.5-正丙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环 己烷的合成。
将正丙胺(0.30g,0.0051mol)在己烷(4mL)中的溶液滴加到5-二氯-1,1,3,3,5,5-六甲基三硅氧烷(0.5g,0.0018mol)和三乙胺(0.40,0.0020mol)在己烷(4mL)中的搅拌溶液中。将所得浆液搅拌过夜。通过过滤除去固体,并通过GC-MS确定所得滤液含有5-正丙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷作为产物之一。GC-MS显示以下峰:262(M+)、248、234、218、207、193、177、160、147、133、119、110、96、88、73。
实施例8.1-(二异丙基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷的合成。
在氮气氛的保护下,将116mL的丁基锂溶液(2.5M在己烷中,0.29mol)在-30℃下滴加到2,2,4,4,6,6-六甲基环三硅氮烷(66g,0.30mol)在己烷(140mL)中的搅拌溶液中。在添加完成后,使反应升温至室温并搅拌2小时。然后将所得反应混合物冷却至-30℃。在-30℃下通过加料漏斗向该混合物中滴加(二异丙基氨基)氯硅烷(48g,0.29mol)。在搅拌的同时使反应混合物升温至室温。通过过滤除去白色固体,并在减压下除去溶剂。通过真空蒸馏纯化粗产物以提供期望产物1-(二异丙基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷。GC-MS显示以下峰:349(M+)、334(M-15)、318、306、292、276、248、234、218、203、188、175、159、142、130、116、100、86、73。
实施例9-11:另外的官能化环硅氮烷前体化合物的合成。
通过类似于实施例8的方式制备另外的官能化环硅氮烷前体化合物,并通过GC-MS表征。表3中提供了每种化合物的分子量(MW)、结构和相应的主要MS碎片峰以确认其鉴定。
表3
比较例12a:采用六甲基二硅氮烷(HMDSZ)的氧化硅膜的热原子层沉积
使用HMDSZ作为硅前体进行氧化硅膜的原子层沉积。沉积在实验室规模的ALD处理设备上进行。通过蒸气抽吸将硅前体输送到腔室中。表2中提供了沉积过程和参数。步骤1至6重复多个循环直到达到期望厚度。表4提供了沉积的工艺参数和结果。
表4.采用HMDSZ的热ALD沉积参数和沉积结果
比较例12b.在具有27.1MHz等离子体的层流反应器中使用六甲基二硅氮烷 (HMDSZ)制备PEALD氧化硅
在表5中给出的条件下,用作为硅前体的HMDSZ和O2等离子体进行沉积。通过100sccm Ar载气将HMDSZ输送到腔室中。重复步骤b至e多次以获得期望厚度的氧化硅用于计量。膜沉积参数和沉积GPC和晶片均匀性如表6所示。沉积晶片表现出不良的均匀性和极低的GPC。
表5.采用HMDSZ在商业侧流PEALD反应器中的PEALD氧化硅沉积的工艺
表6.通过HMDSZ的PEALD氧化硅膜沉积参数和沉积GPC
实施例13.使用2,2,4,4,6,6-六甲基环三硅氮烷的氧化硅膜的热原子层沉积。
使用2,2,4,4,6,6-六甲基环三硅氮烷作为硅前体进行氧化硅膜的原子层沉积。沉积是在实验室规模的ALD处理设备上进行。通过蒸气抽吸将硅前体输送到腔室中。表2中提供了沉积过程和参数。步骤1至6重复多次直到达到期望厚度。表7提供了沉积的工艺参数和结果。表7.使用2,2,4,4,6,6-六甲基环三硅氮烷的热ALD沉积参数和沉积结果
实施例14. 在具有27.1 MHz等离子体的层流反应器中使用2,2,4,4,6,6-六甲基 环三硅氮烷的PEALD氧化硅。
在表8所述的条件下,用2,2,4,4,6,6-六甲基环三硅氮烷作为硅前体和O2等离子体进行沉积。将前体用200sccm的载气Ar流输送到腔室中。步骤b至e重复多次以获得期望厚度的氧化硅用于计量。膜沉积参数和沉积GPC示于表9中。可以看出,GPC在8秒或更长的前体脉冲下显示饱和。
表8.使用2,2,4,4,6,6-六甲基环三硅氮烷在商业侧流PEALD反应器中PEALD氧化硅沉积的工艺
表9.通过2,2,4,4,6,6-六甲基环三硅氮烷的PEALD氧化硅膜沉积参数和沉积GPC
实施例15. 在具有27.1 MHz等离子体的层流反应器中使用1,2,3,4,5,6-六甲基 环三硅氮烷的PEALD氧化硅。
在如上表8中所述的条件下,用1,2,3,4,5,6-六甲基环三硅氮烷作为硅前体和O2等离子体进行沉积。前体用200sccm的载气Ar流输送到腔室中。步骤b至e重复多次以获得期望厚度的氧化硅用于计量。膜沉积参数和沉积GPC示于表10。
表10.通过1,2,3,4,5,6-六甲基环三硅氮烷的PEALD氧化硅膜沉积参数和沉积GPC
实施例16.在具有27.1MHz等离子体的层流反应器中使用1-二甲基氨基-1,2,3,4, 5,6-六甲基环三硅氮烷的PEALD氧化硅
在表8中所述的条件下,用1-二甲基氨基-1,2,3,4,5,6-六甲基环三硅氮烷作为硅前体和O2等离子体进行沉积。将前体用200sccm的载气Ar流输送到腔室中。步骤b至e重复多次以获得期望厚度的氧化硅用于计量。表11示出了膜沉积参数和沉积GPC。
表11.通过1-二甲基氨基-1,2,3,4,5,6-六甲基环三硅氮烷的PEALD氧化硅膜沉积参数和沉积GPC
实施例17.使用1-二甲基氨基-1,2,3,4,5,6-六甲基环三硅氮烷和Ar/N2等离子体 的PEALD氮化硅。
使用1-二甲基氨基-1,2,3,4,5,6-六甲基环三硅氮烷作为硅前体和Ar/N2等离子体沉积含硅膜。硅前体使用100sccm Ar载气从保持在55℃的容器输送。基座(susceptor)温度设定为300℃,并且反应器配备有平行板原位电极。等离子体频率和功率分别为13.56MHz和200W。如表12中所述进行沉积工艺步骤,其中步骤b至e重复多次以得到期望厚度的氧化硅用于计量。
表12.使用1-二甲基氨基-1,2,3,4,5,6-六甲基环三硅氮烷的商业侧向流动PEALD反应器中的PEALD氮化硅沉积工艺。
得到的沉积膜具有0.24埃/循环的GPC,折射率为1.97。
前述描述主要旨在于用于说明的目的。尽管已经就本发明的示例性实施方式显示和描述了本发明,但本领域技术人员应理解,可以在不脱离本发明的精神和范围的情况下对本发明的形式和细节进行前述和各种其他改变、省略和增加。

Claims (17)

1.根据式B、C、D或E之一的硅前体化合物:
其中
R1-3各自独立地选自氢、甲基和有机氨基(NR’R”),其中R’和R”各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢;
R4和R5各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基;
R6-8各自独立地选自氢、甲基、如上定义的有机氨基(NR’R”)、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢;
其中取代基R1-8、R’和R”中的两个或更多个可连接以形成取代或未取代的、饱和或不饱和的环状基团,并且
其中R6-8中的至少一个必须是氢,且R6-8中的至少两个必须不是甲基。
2.根据权利要求1所述的组合物,其还包含至少一种吹扫气体。
3.根据权利要求1所述的组合物,其中选自式B至E之一的所述至少一种硅前体化合物包括选自以下中的至少一种:1-甲硅烷基-2,2,4,4,6,6-六甲基环三硅氮烷、1-(异丙基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(二甲基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(异丙基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(甲基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(二甲基氨基甲基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(二甲基氨基-甲基甲硅烷基)-2,4,6-三甲基环三硅氮烷、1,2,3-三甲硅烷基-2,2,4,4,6,6-六甲基环三硅氮烷、1,2,3-三甲硅烷基-2,4,6-三甲基环三硅氮烷、2,2,4,4,5,6,6-七甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-乙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三杂硅环己烷、5-正丙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-异丙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-甲硅烷基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-甲基甲硅烷基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-(二甲基氨基甲硅烷基)-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-(二甲基氨基甲基甲硅烷基)-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷。
4.一种组合物,其包含选自式B、C、D或E之一的至少一种硅前体化合物:
其中
R1-3各自独立地选自氢、甲基和有机氨基(NR’R”),其中R’和R”各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢;
R4和R5各自独立地选自氢、C1-10直链烷基、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基;
R6-8各自独立地选自氢、甲基、如上定义的有机氨基(NR’R”)、C3-10支链烷基、C3-10环烷基、C2-10烯基、C4-10芳基和C4-10杂环基,条件是R’和R”不可均为氢;
其中取代基R1-8、R’和R”中的两个或更多个可连接以形成取代或未取代的、饱和或不饱和的环状基团,并且
其中R6-8中的至少一个必须是氢,且R6-8中的至少两个必须不是甲基。
5.一种将含硅膜沉积到衬底上的方法,所述方法包括以下步骤:
a)在反应器中提供衬底;
b)将至少一种根据权利要求1所述的硅前体化合物引入所述反应器中;
c)用吹扫气体吹扫所述反应器;
d)将含氧或含氮源(或其组合)引入所述反应器中;和
e)用吹扫气体吹扫所述反应器,
其中重复步骤b至e,直到沉积期望厚度的膜,并且
其中所述方法在约25℃至600℃范围的一个或多个温度下进行。
6.根据权利要求5所述的方法,其中所述至少一种硅前体化合物选自1-甲硅烷基-2,2,4,4,6,6-六甲基环三硅氮烷、1-(异丙基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(二甲基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(异丙基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(甲基氨基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(二甲基氨基甲基甲硅烷基)-2,2,4,4,6,6-六甲基环三硅氮烷、1-(二甲基氨基-甲基甲硅烷基)-2,4,6-三甲基环三硅氮烷、1,2,3-三甲硅烷基-2,2,4,4,6,6-六甲基环三硅氮烷、1,2,3-三甲硅烷基-2,4,6-三甲基环三硅氮烷、2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、2,2,4,4,5,6,6-七甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-乙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-正丙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-异丙基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-甲硅烷基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-甲基甲硅烷基-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-(二甲基氨基甲硅烷基)-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷、5-(二甲基氨基甲基甲硅烷基)-2,2,4,4,6,6-六甲基-1,3-二氧杂-5-氮杂-2,4,6-三硅杂环己烷。
7.根据权利要求5所述的方法,其中所述含氧源选自臭氧、氧等离子体、包含氧和氩的等离子体、包含氧和氦的等离子体、臭氧等离子体、水等离子体、一氧化二氮等离子体、二氧化碳等离子体及其组合。
8.根据权利要求5所述的方法,其中所述含氮源选自氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氮/氩等离子体、氮/氦等离子体、氨等离子体、氮等离子体、氮/氢等离子体、有机胺如叔丁胺、二甲胺、二乙胺、异丙胺、二乙胺等离子体、二甲胺等离子体、三甲基等离子体、三甲胺等离子体、乙二胺等离子体和烷氧基胺如乙醇胺等离子体,及其混合物。
9.根据权利要求5所述的方法,其中所述含氧源和/或所述含氮源包含等离子体。
10.根据权利要求9所述的方法,其中所述等离子体原位产生。
11.根据权利要求9所述的方法,其中所述等离子体远程产生。
12.根据权利要求5所述的方法,其中所述膜的密度为约2.1g/cc或更高。
13.根据权利要求5所述的方法,其中所述膜还包含碳。
14.根据权利要求5所述的方法,其中所述膜的密度为约1.8g/cc或更高。
15.根据权利要求5所述的方法,其中通过X射线光谱法测量,所述膜的碳含量为0.5原子重量%(at.%)或更高。
16.一种通过根据权利要求5所述的方法形成的膜。
17.一种通过根据权利要求9所述的方法形成的膜。
CN202311033176.2A 2017-05-24 2018-05-23 作为用于高生长速率含硅膜的前体的官能化环硅氮烷 Pending CN117050106A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762510506P 2017-05-24 2017-05-24
US62/510,506 2017-05-24
US15/986,030 2018-05-22
US15/986,030 US11177127B2 (en) 2017-05-24 2018-05-22 Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
CN201880047342.5A CN110891956B (zh) 2017-05-24 2018-05-23 作为用于高生长速率含硅膜的前体的官能化环硅氮烷
PCT/US2018/034111 WO2018217877A1 (en) 2017-05-24 2018-05-23 Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880047342.5A Division CN110891956B (zh) 2017-05-24 2018-05-23 作为用于高生长速率含硅膜的前体的官能化环硅氮烷

Publications (1)

Publication Number Publication Date
CN117050106A true CN117050106A (zh) 2023-11-14

Family

ID=64397021

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202311033176.2A Pending CN117050106A (zh) 2017-05-24 2018-05-23 作为用于高生长速率含硅膜的前体的官能化环硅氮烷
CN201880047342.5A Active CN110891956B (zh) 2017-05-24 2018-05-23 作为用于高生长速率含硅膜的前体的官能化环硅氮烷

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201880047342.5A Active CN110891956B (zh) 2017-05-24 2018-05-23 作为用于高生长速率含硅膜的前体的官能化环硅氮烷

Country Status (7)

Country Link
US (1) US11177127B2 (zh)
EP (1) EP3630785A4 (zh)
JP (2) JP7193478B2 (zh)
KR (1) KR102430845B1 (zh)
CN (2) CN117050106A (zh)
TW (1) TWI680982B (zh)
WO (1) WO2018217877A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10354923B2 (en) * 2017-05-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for atomic layer deposition of a dielectric over a substrate
US20220013365A1 (en) * 2018-11-19 2022-01-13 Lam Research Corporation Molybdenum templates for tungsten
JP2022523689A (ja) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション 金属膜の蒸着
CN113243042B (zh) * 2019-03-05 2024-04-09 株式会社国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
CN112186253B (zh) * 2020-09-30 2022-09-02 香河昆仑新能源材料股份有限公司 一种锂离子电池非水电解液以及锂离子电池
JP2022120263A (ja) * 2021-02-05 2022-08-18 富士電機株式会社 炭化珪素半導体装置
WO2023147382A1 (en) * 2022-01-26 2023-08-03 Versum Materials Us, Llc Halide-functionalized cyclotrisilazanes as precursors for deposition of silicon-containing films

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3444127A (en) 1967-09-15 1969-05-13 Webb James E Preparation of ordered poly(arylenesiloxane)polymers
US4103045A (en) 1972-07-31 1978-07-25 Rhone-Poulenc, S.A. Process for improving the adhesion of coatings made of photoresistant polymers to surfaces of inorganic oxides
US4788309A (en) * 1985-04-26 1988-11-29 Sri International Method of forming compounds having Si-N groups and resulting products
US4719125A (en) * 1985-10-11 1988-01-12 Allied Corporation Cyclosilazane polymers as dielectric films in integrated circuit fabrication technology
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5424095A (en) 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
JPH0827162A (ja) * 1994-07-12 1996-01-30 Shin Etsu Chem Co Ltd 環状有機ケイ素化合物の製造方法
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7470450B2 (en) * 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
KR101593352B1 (ko) * 2007-06-28 2016-02-15 인티그리스, 인코포레이티드 이산화규소 간극 충전용 전구체
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
JP2010275602A (ja) 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
EP2363512A1 (en) 2010-02-04 2011-09-07 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8460753B2 (en) 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8474164B2 (en) 2011-03-23 2013-07-02 Tracer Imaging Llc Checkout divider with optical effect
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US9460912B2 (en) 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
TWI449802B (zh) 2012-06-06 2014-08-21 Univ Nat Chiao Tung 掺碳氮化矽薄膜及其製造方法與裝置
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
TW201522696A (zh) 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
US20160032452A1 (en) 2014-08-04 2016-02-04 Veeco Ald Inc. Atomic Layer Deposition Method Using Source Precursor Transformed by Hydrogen Radical Exposure
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
TWI693295B (zh) * 2015-02-06 2020-05-11 美商諾發系統有限公司 碳化矽膜之保形沉積
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9793108B2 (en) 2015-06-25 2017-10-17 Applied Material, Inc. Interconnect integration for sidewall pore seal and via cleanliness

Also Published As

Publication number Publication date
EP3630785A4 (en) 2021-01-20
US11177127B2 (en) 2021-11-16
EP3630785A1 (en) 2020-04-08
CN110891956B (zh) 2023-08-25
JP2020521749A (ja) 2020-07-27
KR102430845B1 (ko) 2022-08-08
TW201900660A (zh) 2019-01-01
CN110891956A (zh) 2020-03-17
US20180342390A1 (en) 2018-11-29
JP2022033162A (ja) 2022-02-28
JP7193478B2 (ja) 2022-12-20
KR20190143489A (ko) 2019-12-30
WO2018217877A1 (en) 2018-11-29
TWI680982B (zh) 2020-01-01

Similar Documents

Publication Publication Date Title
JP7141426B2 (ja) ケイ素含有膜の堆積のための有機アミノ官能化直鎖状及び環状オリゴシロキサン
CN109963963B (zh) 用于沉积氧化硅膜的组合物和方法
CN110891956B (zh) 作为用于高生长速率含硅膜的前体的官能化环硅氮烷
US11049714B2 (en) Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US11702743B2 (en) Trisilylamine derivatives as precursors for high growth rate silicon-containing films
KR102270182B1 (ko) 규소 포함 필름의 증착을 위한, 유기 아미노로 작용화된 환식 올리고실록산
CN109804101B (zh) 用于高生长速率含硅膜的碳桥联氨基硅烷化合物
US20220044929A1 (en) Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
CN110462097B (zh) 用于沉积含硅膜的有机氨基聚硅氧烷
TW202239761A (zh) 用於沉積含矽膜的有機胺官能化環寡矽氧烷
CN115443347A (zh) 用于沉积含硅膜的有机氨基官能化环状低聚硅氧烷

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination