CN112447499A - 半导体器件的制造方法、衬底处理装置及记录介质 - Google Patents

半导体器件的制造方法、衬底处理装置及记录介质 Download PDF

Info

Publication number
CN112447499A
CN112447499A CN202010720739.5A CN202010720739A CN112447499A CN 112447499 A CN112447499 A CN 112447499A CN 202010720739 A CN202010720739 A CN 202010720739A CN 112447499 A CN112447499 A CN 112447499A
Authority
CN
China
Prior art keywords
group
substrate
raw material
semiconductor device
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010720739.5A
Other languages
English (en)
Inventor
桥本良知
原田胜吉
中谷公彦
广濑义朗
永户雅也
尾崎贵志
清水富介
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of CN112447499A publication Critical patent/CN112447499A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Abstract

本发明涉及半导体器件的制造方法、衬底处理装置及记录介质,课题为提高在衬底上形成的氧化膜的特性。半导体器件的制造方法具有将非同时进行下述工序的循环进行规定次数从而在衬底上形成含有X的氧化膜的工序:(a)向衬底供给具有在中心原子X上键合有第1基团和第2基团的分子结构且第1基团与X的键能高于第2基团与X的键能的原料,在衬底上形成含有在X上键合有第1基团的成分的第1层的工序;和(b)向衬底供给氧化剂,使第1层氧化,形成含有X的第2层的工序,在(a)中,在第1基团不从原料中所含的X脱离而第2基团脱离并且第2基团脱离且与第1基团的键合被维持的状态的X向衬底的表面吸附的条件下供给原料。

Description

半导体器件的制造方法、衬底处理装置及记录介质
技术领域
本发明涉及半导体器件的制造方法、衬底处理装置及记录介质。
背景技术
作为半导体器件的制造工序的一个工序,存在进行下述工序的情况:通过交替重复进行向衬底供给原料的工序和向衬底供给氧化剂的工序,在衬底上形成氧化膜(例如参见专利文献1、2)。
现有技术文献
专利文献
专利文献1:日本特开2008-135633号公报
专利文献2:日本特开2010-153776号公报
发明内容
发明要解决的课题
本发明目的在于,提高在衬底上形成的氧化膜的特性。
解决课题的手段
根据本发明的一方案,提供下述技术,其具有将非同时进行下述工序的循环进行规定次数从而在衬底上形成含有X的氧化膜的工序:
(a)向衬底供给原料,在所述衬底上形成含有在所述X上键合有第1基团的成分的第1层的工序,所述原料为具有在中心原子X上键合有第1基团和第2基团的分子结构且所述第1基团与所述X的键能高于所述第2基团与所述X的键能的原料;和
(b)向所述衬底供给氧化剂,使所述第1层氧化,形成含有所述X的第2层的工序,
在(a)中,在所述第1基团不从所述原料中所含的所述X脱离而所述第2基团脱离、并且所述第2基团脱离且与所述第1基团的键合被维持的状态的所述X向所述衬底的表面吸附的条件下,供给所述原料。
发明效果
根据本发明,能够提供能提高在衬底上形成的氧化膜的特性的技术。
附图说明
图1是本发明的一方案优选使用的衬底处理装置的纵型处理炉的概略构成图,是以纵剖视图示出处理炉部分的图。
图2是本发明的一方案优选使用的衬底处理装置的纵型处理炉的概略构成图,是以图1的A-A线剖视图示出处理炉部分的图。
图3是本发明的一方案优选使用的衬底处理装置的控制器的概略构成图,是以框图示出控制器的控制系统的图。
图4是示出本发明一方案中的气体供给时序的图。
图5是示出本发明一方案中的气体供给时序的变形例的图。
图6的(a)是示意性示出成膜步骤开始前的衬底的表面状态的图,图6的(b)是示意性地示出实施了步骤A时的衬底的表面状态的图,图6的(c)是示意性地示出实施了步骤B时的衬底的表面状态的图。
图7是分别示出实施例及比较例中的SiO膜的膜厚等各种测定结果的图。
图8的(a)是示出实施例中的SiO膜的衬底面内膜厚分布的测定结果的图,图8的(b)是示出比较例中的SiO膜的衬底面内膜厚分布的测定结果的图。
图9是分别示出其他实施例中的SiO膜的衬底面内膜厚均匀性及湿式蚀刻速率的测定结果的图。
具体实施方式
<本发明的第1方案>
以下,主要使用图1~图4、图6的(a)~图6的(c)说明本发明的第1方案。
(1)衬底处理装置的构成
如图1所示,处理炉202具有作为加热机构(温度调节部)的加热器207。加热器207为圆筒形状,通过支承在保持板上而垂直安装。加热器207也作为通过热而使气体活化(激发)的活化机构(激发部)发挥作用。
在加热器207的内侧,以与加热器207呈同心圆状地配置有反应管203。反应管203由例如石英(SiO2)或碳化硅(SiC)等耐热性材料构成,形成为上端闭塞且下端开口的圆筒形状。在反应管203的下方,以与反应管203呈同心圆状地配置有歧管209。歧管209由例如不锈钢(SUS)等金属材料构成,形成为上端及下端开口的圆筒形状。歧管209的上端部与反应管203的下端部卡合,以支承反应管203的方式构成。在歧管209与反应管203之间设有作为密封部件的O型圈220a。反应管203与加热器207同样地垂直安装。主要由反应管203和歧管209构成处理容器(反应容器)。在处理容器的筒中空部形成处理室201。处理室201以能够收容作为衬底的晶片200的方式构成。在该处理室201内进行针对晶片200的处理。
在处理室201内以贯通歧管209的侧壁的方式分别设有作为第1供给部、第2供给部的喷嘴249a、249b。也将喷嘴249a、249b称为第1喷嘴、第2喷嘴。喷嘴249a、249b分别由例如石英或SiC等作为耐热性材料的非金属材料构成。喷嘴249a、249b分别构成为多种气体的供给用的共用喷嘴。
在喷嘴249a、249b上,分别连接有作为第1配管、第2配管的气体供给管232a、232b。气体供给管232a、232b分别构成为多种气体的供给用的共用配管。在气体供给管232a、232b上,从气流的上游侧起依次分别设有作为流量控制器(流量控制部)的质量流量控制器(MFC)241a、241b及作为开闭阀的阀243a、243b。在气体供给管232a的比阀243a靠下游侧连接有气体供给管232c、232d。在气体供给管232c、232d上,从气体流的上游侧起依次分别设有MFC241c、241d、阀243c、243d。在气体供给管232b的比阀243b靠下游侧连接有气体供给管232e。在气体供给管232e上,从气体流的上游侧起依次设有MFC241e、阀243e。气体供给管232a~232e由例如SUS等金属材料构成。
如图2所示,喷嘴249a、249b分别从反应管203的内壁的下部至上部以朝向晶片200的排列方向上方立起的方式,设置在反应管203的内壁与晶片200之间的俯视下为圆环状的空间。即,喷嘴249a、249b以沿着晶片排列区域的方式分别设置在供晶片200排列的晶片排列区域的侧方的、水平包围晶片排列区域的区域中。在喷嘴249a、249b的侧面分别设有供给气体的气体供给孔250a、250b。气体供给孔250a、250b在俯视观察时分别朝向晶片200的中心开口,以能够朝向晶片200供给气体。气体供给孔250a、250b从反应管203的下部到上部设有多个。
从气体供给管232a经由MFC241a、阀243a、喷嘴249a向处理室201内供给具有在作为中心原子X的硅(Si)上键合有作为第1基团的烷氧基和作为第2基团的氨基的分子结构的原料(原料气体)。原料气体是气体状态的原料,例如为通过使在常温常压下为液体状态的原料气化而得到的气体、常温常压下为气体状态的原料等。
烷氧基具有作为第3基团的烷基(R)与氧(O)原子键合的结构,是以-OR的结构式表示的1价的官能团。烷氧基包括甲氧基、乙氧基、丙氧基、丁氧基等。烷氧基不仅可以是它们的直链状烷氧基,也可以是异丙氧基、异丁氧基、仲丁氧基、叔丁氧基等支链状烷氧基。另外,上述的烷基包括甲基、乙基、丙基、丁基等。烷基不仅是它们的直链状烷基,也可以是异丙基、异丁基、仲丁基、叔丁基等支链状烷基。
氨基具有从氨(NH3)、伯胺、仲胺中的任一者除去氢(H)而成的结构,是以-NH2、-NHR、-NRR’中的任一结构式表示的1价的官能团。结构式中示出的R、R’为包括甲基、乙基、丙基、丁基等在内的烷基。R、R’不仅是它们的直链状烷基,也可以是异丙基、异丁基、仲丁基、叔丁基等支链状烷基。R、R’既可以是相同的烷基,也可以是不同的烷基。
作为原料气体,例如能够使用作为中心原子X含有Si、作为第1基团含有作为烷氧基的甲氧基(-OMe)、作为第2基团含有作为氨基的二甲氨基(-N(CH3)2)的气体,例如能够使用三甲氧基二甲氨基硅烷((CH3)2NSi(OCH3)3、简称:TMDMAS)气体。TMDMAS中含有的Si具有4个结合键,在Si的4个结合键中的3个结合键上键合有甲氧基,在Si的4个结合键中的剩余1个结合键上键合有二甲氨基。TMDMAS分子中含有的氨基的数量与烷氧基的数量的比率为1:3。甲氧基与Si的键能EO高于二甲氨基与Si的键能EA。即,二甲氨基与甲氧基相比,具有容易从Si脱离的活泼的特性。
作为氧化剂,从气体供给管232b经由MFC241b、阀243b、喷嘴249b向处理室201内供给含O气体。作为含O气体,例如能够使用氧(O2)气体。O2气体作为氧化气体即O源发挥作用。
从气体供给管232c经由MFC241c、阀243c、气体供给管232a、喷嘴249a向处理室201内供给含H气体。含H气体在其单独时无法获得氧化作用,但在后述的衬底处理工序中,在特定的条件下与含O气体反应而生成原子状氧(atomic oxygen、O)等氧化种,以提高氧化处理的效率的方式发挥作用。作为含H气体,例如能够使用氢(H2)气体。
作为非活性气体,从气体供给管232d、232e分别经由MFC241d、241e、阀243d、243e、气体供给管232a、232b、喷嘴249a、249b向处理室201内供给例如氮(N2)气体。N2气体作为吹扫气体、载气、稀释气体等发挥作用。
原料供给系统主要由气体供给管232a、MFC241a、阀243a构成。氧化剂供给系统主要由气体供给管232b、MFC241b、阀243b构成。也可以考虑将气体供给管232c、MFC241c、阀243c包含在氧化剂供给系统中。非活性气体供给系统主要由气体供给管232d、232e、MFC241d、241e、阀243d、243e构成。
上述各种供给系统中的任一者或全部供给系统也可以构成为由阀243a至243e、MFC241a至241e等集成而成的集成型供给系统248。集成型供给系统248构成为分别与气体供给管232a至232e连接,通过后述的控制器121来控制各种气体向气体供给管232a至232e内的供给动作,即阀243a至243e的开闭动作及由MFC241a至241e进行的流量调节动作等。集成型供给系统248以一体型或分体型的集成单元的形式构成,构成为能够以集成单元单位相对于气体供给管232a至232e等进行拆装,能够以集成单元单位进行集成型供给系统248的维护、更换、增设等。
在反应管203的侧壁下方设有对处理室201内的气氛进行排气的排气口231a。排气口231a也可以从反应管203的侧壁的下部沿着上部即沿着晶片排列区域设置。在排气口231a上连接有排气管231。排气管231由例如SUS等金属材料构成。在排气管231上,经由作为检测处理室201内的压力的压力检测器(压力检测部)的压力传感器245及作为压力调节器(压力调节部)的APC(Auto Pressure Controller:自动压力控制)阀244而连接有作为真空排气装置的真空泵246。APC阀244构成为通过在使真空泵246工作的状态下使阀开闭,能够进行处理室201内的真空排气及真空排气停止,此外,通过在使真空泵246动作的状态下基于由压力传感器245检测到的压力信息调节阀开度,能够调节处理室201内的压力。排气系统主要由排气管231、APC阀244、压力传感器245构成。也可以考虑将真空泵246包含在排气系统中。
在歧管209的下方,设有能够将歧管209的下端开口气密封闭的作为炉口盖体的密封盖219。密封盖219由例如SUS等金属材料构成,形成为圆盘状。在密封盖219的上表面设有与歧管209的下端抵接的作为密封部件的O型圈220b。在密封盖219的下方设置用于使后述的晶舟217旋转的旋转机构267。旋转机构267的旋转轴255由例如SUS等金属材料构成,并贯通密封盖219而与晶舟217连接。旋转机构267构成为通过使晶舟217旋转而使晶片200旋转。密封盖219构成为,通过在反应管203的外部设置的作为升降机构的晶舟升降机115而在垂直方向上升降。晶舟升降机115构成为搬运系统(搬运机构),其通过使密封盖219升降而将晶片200向处理室201的内外搬入及搬出(搬运)。
在歧管209的下方设有作为炉口盖体的闸板219s,该闸板219s能够在使密封盖219下降并将晶舟217从处理室201内搬出后的状态下气密封闭歧管209的下端开口。闸板219s由例如SUS等金属材料构成,形成为圆盘状。在闸板219s的上表面设有与歧管209的下端抵接的作为密封部件的O型圈220c。闸板219s的开闭动作(升降动作、转动动作等)由闸板开闭机构115s控制。
作为衬底支承件的晶舟217构成为将多片例如25至200片晶片200以水平姿态且使中心相互对齐的状态在垂直方向上排列并以多层方式支承,即隔开间隔排列。晶舟217由例如石英、SiC等耐热性材料构成。在晶舟217的下部以多层方式支承由例如石英、SiC等耐热性材料构成的隔热板218。
在反应管203内设置有作为温度检测器的温度传感器263。通过基于由温度传感器263检测到的温度信息调节向加热器207的通电状态,处理室201内的温度变为希望的温度分布。温度传感器263沿反应管203的内壁设置。
如图3所示,作为控制部(控制部件)的控制器121以具备CPU(Central ProcessingUnit:中央处理器)121a、RAM(Random Access Memory:随机存取存储器)121b、存储装置121c、I/O端口121d的计算机的形式构成。RAM121b、存储装置121c、I/O端口121d构成为能够经由内部总线121e与CPU121a进行数据交换。在控制器121上,连接有例如构成为触摸面板等的输入输出装置122。
存储装置121c由例如闪存、HDD(Hard Disk Drive:硬盘驱动器)等构成。在存储装置121c内以能够读取的方式储存有对衬底处理装置的动作进行控制的控制程序、记载有后述的衬底处理的步骤、条件等的处理制程等。处理制程是使控制器121执行后述衬底处理中的各步骤并能够获得规定结果的方式组合而成的,作为程序发挥作用。以下也将控制程序、处理制程等一并简称为程序。另外,也将处理制程简称为制程。在本说明书中,使用程序这一用语的情况包括仅包含制程的情况、仅包含控制程序的情况或包含这两者的情况。RAM121b构成为暂时保持由CPU121a读取到的程序及数据等的存储器区域(工作区)。
I/O端口121d与上述的MFC241a至241e、阀243a至243e、压力传感器245、APC阀244、真空泵246、温度传感器263、加热器207、旋转机构267、晶舟升降机115、闸板开闭机构115s等连接。
CPU121a构成为从存储装置121c读取并执行控制程序,并根据来自输入输出装置122的操作命令的输入等从存储装置121c读取制程。CPU121a构成为按照所读取的制程的内容控制以下动作:由MFC241a至241e进行的各种气体的流量调节动作、阀243a至243e的开闭动作、APC阀244的开闭动作及基于压力传感器245的利用APC阀244进行的压力调节动作、真空泵246的起动及停止、基于温度传感器263的加热器207的温度调节动作、利用旋转机构267进行的晶舟217的旋转及旋转速度调节动作、利用晶舟升降机115进行的晶舟217的升降动作、利用闸板开闭机构115s进行的闸板219s的开闭动作等。
控制器121能够通过将储存在外部存储装置123中的上述程序安装在计算机中而构成。外部存储装置123包括例如HDD等磁盘、CD等光盘、MO等光磁盘、USB存储器等半导体存储器等。存储装置121c、外部存储装置123以计算机能够读取的记录介质的形式构成。以下也将它们一并简称为记录介质。在本说明书中,使用记录介质这一用语情况包括仅包含存储装置121c的情况、仅包含外部存储装置123的情况或包含这两者的情况。需要说明的是,向计算机的程序提供也可以不使用外部存储装置123而使用互联网、专用线路等通信手段进行。
(2)衬底处理工序
主要使用图4、图6的(a)至图6的(c)说明下述衬底处理顺序例:作为半导体器件的制造工序的一个工序,使用上述衬底处理装置,在作为衬底的晶片200上形成氧化膜。在以下说明中,构成衬底处理装置的各部分的动作由控制器121控制。
在本方案的衬底处理时序中,通过将非同时进行下述步骤的循环进行规定次数(n次、n为1以上的整数)而在晶片200上形成含有Si的氧化膜、即,含有Si、O的硅氧化膜(SiO膜):
作为原料向晶片200供给TMDMAS气体,在晶片200上形成含有在Si上键合有甲氧基的成分的第1层的步骤A;和
作为氧化剂向晶片200供给O2气体,使第1层氧化,形成含有Si的第2层的步骤B。
在步骤A中,在甲氧基不从TMDMAS中含有的Si脱离而二甲氨基脱离、并且二甲氨基脱离且与甲氧基的键合被维持的状态的Si向晶片200的表面吸附的条件下,供给TMDMAS气体。
在本说明书中,方便起见,也存在将图4所示的气体供给时序如下表示的情况。在以下的变形例、其他方案的说明中也使用相同的表述。
Figure BDA0002599894860000091
在本说明书中,使用“晶片”这一用语的情况包括表示晶片本身的情况和表示晶片与在其表面形成的规定层或膜的层合体的情况。在本说明书中,使用“晶片的表面”这一用语的情况包括表示晶片本身的表面的情况和表示在晶片上形成的规定层等的表面的情况。在本说明书中,记为“在晶片上形成规定层”的情况包括表示在晶片本身的表面上直接形成规定层的情况和在晶片上形成的层等之上形成规定层的情况。在本说明书中,使用“衬底”这一用语的情况也与使用“晶片”这一用语的情况含义相同。
(晶片填充、晶舟装载)
在将多片晶片200向晶舟217装填(晶片填充)后,通过闸板开闭机构115s使闸板219s移动,使歧管209的下端开口开放(闸板打开)。然后,如图1所示,支承有多片晶片200的晶舟217通过晶舟升降机115而被抬升并被搬入处理室201内(晶舟装载)。在该状态下,密封盖219成为借助O型圈220b将歧管209的下端密封的状态。
(压力调节及温度调节步骤)
通过真空泵246进行真空排气(减压排气),以使处理室201内即晶片200所在的空间变为希望的压力(真空度)。此时,处理室201内的压力由压力传感器245测量,基于该测量到的压力信息对APC阀244进行反馈控制(压力调节)。另外,由加热器207加热以使处理室201内的晶片200变为希望的温度。此时,基于温度传感器263检测到的温度信息对向加热器207的通电状态进行反馈控制,以使处理室201内变为希望的温度分布(温度调节)。另外,使利用旋转机构267进行的晶舟217、晶片200的旋转开始。真空泵246的运行、晶片200的加热及旋转均至少在直至针对晶片200的处理结束的期间持续进行。
如图6的(a)所示,晶片200的表面成为由羟基(-OH)封端(OH封端)的状态。在晶片200的表面存在的OH封端具有作为分子、原子的吸附位点的功能。
(成膜步骤)
然后,依次执行以下的步骤A、B。
[步骤A]
在该步骤中,向处理室201内的晶片200供给TMDMAS气体(TMDMAS气体供给)。具体来说,将阀243a打开,使TMDMAS气体流向气体供给管232a内。TMDMAS气体通过MFC241a进行流量调节,经由喷嘴249a向处理室201内供给并从排气口231a排气。此时向晶片200供给TMDMAS气体。此时也可以将阀243d、243e打开,经由喷嘴249a、249b向处理室201内供给N2气体。
通过在后述的处理条件下进行本步骤,从而能够不使甲氧基(OMe)从TMDMAS气体中含有的Si脱离而使二甲氨基(NMe2)脱离。另外,能够使二甲氨基脱离且与甲氧基的键合被维持的状态的Si向晶片200的表面吸附(化学吸附)。即,能够在Si的4个结合键中的3个结合键上键合有甲氧基的状态下,使Si吸附于晶片200的表面中的吸附位点的一部分。像这样,能够在晶片200的最外表面上形成含有在Si上键合有甲氧基的成分的第1层。
另外,通过在后述的处理条件下进行本步骤,从而能够使得从TMDMAS气体中含有的Si脱离的二甲氨基不会吸附于晶片200的表面。作为结果,能够使在晶片200上形成的第1层中不含从TMDMAS气体中含有的Si脱离的二甲氨基。即,能够将在晶片200上形成的第1层设为C、N等杂质少的层。
在本步骤中,利用吸附于晶片200的表面的Si上所键合的甲氧基,即,通过将吸附于晶片200的表面的Si的3个结合键由甲氧基包埋,从而能够抑制原子或分子向吸附于晶片200的表面的Si的吸附。另外,在本步骤中,使吸附于晶片200的表面的Si上所键合的甲氧基作为空间位阻发挥作用,能够抑制吸附于晶片200的表面的Si的周边的、原子或分子向晶片200的表面中的吸附位点(OH封端)的吸附。另外,由此,在本步骤中,能够对吸附于晶片200的表面的Si的周边的、晶片200的表面中的吸附位点(OH封端)进行保持。
在本步骤中,优选持续供给TMDMAS气体,直至Si向晶片200的表面的吸附反应(化学吸附反应)饱和。即使像这样持续供给TMDMAS气体,也由于Si上所键合的甲氧基作为空间位阻发挥作用,而能够使Si不连续地吸附于晶片200的表面。具体来说,能够使Si以小于一个原子层的厚度的方式吸附于晶片200的表面。
如图6的(b)所示,在使Si向晶片200的表面的吸附反应饱和的状态下,晶片200的表面成为由Si上所键合的甲氧基覆盖的状态,晶片200的表面的一部分成为吸附位点(OH封端)被保持而不被消耗的状态。在使Si向晶片200的表面的吸附反应饱和的状态下,由吸附于晶片200的表面的Si构成的层成为小于一个原子层的厚度的不连续层。
在形成了第1层后,将阀243a关闭,使向处理室201内的TMDMAS气体的供给停止。然后对处理室201内进行真空排气,将处理室201内残留的气体等从处理室201内排除(吹扫)。此时,将阀243d、243e打开,向处理室201内供给N2气体。N2气体作为吹扫气体发挥作用。
作为原料气体,不限于TMDMAS气体,只要是第1基团与Si的键能EO高于第2基团与Si的键能EN的气体,则能够优选使用相同的构成、即,具有在作为中心原子的Si上键合有第1基团和第2基团的分子结构的多种气体。即,作为原料气体,能够适当地使用具有在作为中心原子的Si上键合有第1基团和第2基团的分子结构且作为第1基团包含烷氧基、作为第2基团包含氨基、烷基、卤代基、羟基、氢基、芳基、乙烯基及硝基中的至少任一者的多种气体。在此,烷基包含甲基、乙基、丙基、丁基等。另外,卤代基包含氯基、氟基、溴基、碘基等。即,卤代基含有氯(Cl)、氟(F)、溴(Br)、碘(I)等卤族元素。
作为非活性气体,除了N2气体以外,能够使用Ar气体、He气体、Ne气体、Xe气体等稀有气体。这一点在后述的步骤B中也相同。
[步骤B]
在步骤A结束后,向处理室201内的晶片200、即,在晶片200上形成的第1层供给O2气体(O2气体供给)。具体来说,将阀243b打开,使O2气体流向气体供给管232b内。O2气体MFC241b通过进行流量调节,经由喷嘴249b向处理室201内供给并从排气口231a排气。此时向晶片200供给O2气体。此时也可以将阀243d、243e打开,经由喷嘴249a、249b向处理室201内供给N2气体。
通过在后述的处理条件下进行本步骤,从而能够使第1层中含有的Si上所键合的甲氧基(OMe)中含有的甲基(Me)及与Si键合的甲氧基(OMe)中的至少任一者从第1层脱离。并且,能够使在晶片200上形成的第1层的至少一部分氧化(改性),作为第2层形成含有Si及O的层即硅氧化层(SiO层)。如图6的(c)所示,第2层成为不含有甲基、甲氧基的层、即,不含有C等杂质的层。另外,第2层的表面利用使用O2气体的氧化处理,结果成为经OH封端的状态。需要说明的是,从第1层脱离的C等杂质构成二氧化碳(CO2)等气体状物质,被从处理室201内排出。
在形成第2层后,将阀243b关闭,使向处理室201内的O2气体的供给停止。然后,通过与步骤A中的吹扫相同的处理步骤,将处理室201内残留的气体等从处理室201内排除(吹扫)。
作为氧化剂,除了O2气体以外,例如能够使用等离子激发的O2气体(O2 )、臭氧(O3)气体、O2气体+H2气体、水蒸气(H2O气体)、一氧化氮(NO)气体、一氧化二氮(N2O)气体等含O气体。
[实施规定次数]
通过将非同时、即,不同步进行上述步骤A、B的循环进行规定次数(n次、n为1以上的整数),从而能够在晶片200上形成规定组成及规定膜厚的SiO膜。优选上述循环重复多次。即,优选使进行一次上述循环而形成的第2层的厚度小于希望的膜厚,并重复多次上述循环,直到将第2层层叠而形成的SiO膜的膜厚达到希望的膜厚。
作为步骤A中的处理条件,可例示:
TMDMAS气体供给流量:0.01~2slm,优选0.1~1slm
N2气体供给流量(各气体供给管):0~10slm
各气体供给时间:1~120秒,优选1~60秒
处理温度(晶片200的温度):550~700℃,优选600~650℃处理压力(处理室201内的压力):1~2666Pa,优选67~1333Pa。
作为步骤B中的处理条件,可例示:
O2气体供给流量:0.1~10slm
O2气体供给时间:1~120秒,优选1~60秒
处理压力:1~4000Pa,优选1~3000Pa。
其他处理条件设为与步骤A中的处理条件相同的处理条件。
本说明书中的“1~2666Pa”这样的数值范围的表述表示下限值及上限值包含在其范围内。由此,例如,“1~2666Pa”表示“1Pa以上且2666Pa以下”。其他数值范围也相同。
若处理温度低于550℃,则在步骤A中,存在从TMDMAS气体中含有的Si脱离的二甲氨基吸附于晶片200的表面的情况。另外,在步骤B中,存在难以使第1层中含有的与Si键合的甲氧基中含有的甲基及与Si键合的甲氧基中的至少任一者从第1层脱离的情况。作为结果,容易在晶片200上形成的SiO膜中残留来自于二甲氨基、甲氧基的C、N等杂质,存在在晶片200上形成的SiO膜的耐加工性(耐湿式蚀刻性等)降低的情况。
通过将处理温度设为550℃以上的温度,从而在步骤A中,能够避免从TMDMAS气体中含有的Si脱离的二甲氨基吸附于晶片200的表面。另外,在步骤B中,能够促进第1层中含有的与Si键合的甲氧基中含有的甲基及与Si键合的甲氧基中的至少任一者从第1层脱离。作为结果,能够提高在晶片200上形成的SiO膜的耐加工性。通过将处理温度设为600℃以上的温度,从而能够进一步增强上述效果,能够进一步提高在晶片200上形成的SiO膜的耐加工性。
另外,若处理温度超过700℃,则在步骤A中,TMDMAS气体变得容易热分解,存在难以使二甲氨基脱离且与甲氧基的键合被维持的状态的Si向晶片200的表面吸附的情况。作为结果,变得难以获得由与Si键合的甲氧基带来的上述各作用,难以使由吸附于晶片200的表面的Si构成的层成为小于一个原子层的厚度的不连续层,存在在晶片200上形成的SiO膜的晶片面内膜厚均匀性、台阶覆盖性恶化的情况。
通过将处理温度设为700℃以下的温度,从而在步骤A中,能够抑制TMDMAS气体的热分解,使二甲氨基脱离且与甲氧基的键合被维持的状态的Si吸附于晶片200的表面。作为结果,能够获得由与Si键合的甲氧基带来的上述各作用,能够使由吸附于晶片200的表面的Si构成的层成为厚度小于一个原子层的厚度的不连续层,能够提高在晶片200上形成的SiO膜的晶片面内膜厚均匀性、台阶覆盖性。通过将处理温度设为650℃以下的温度,从而能够进一步增强上述效果,能够进一步提高在晶片200上形成的SiO膜的晶片面内膜厚均匀性、台阶覆盖性。
(后吹扫及大气压恢复)
在向晶片200上的SiO膜的形成结束后,分别从喷嘴249a、249b将作为吹扫气体的N2气体向处理室201内供给,并从排气口231a排气。由此,处理室201内被吹扫,残留在处理室201内的气体及反应副生成物被从处理室201内除去(后吹扫)。其后,处理室201内的气氛被置换为非活性气体(非活性气体置换),处理室201内的压力恢复至常压(大气压恢复)。
(晶舟卸载、晶片取出)
通过晶舟升降机115使密封盖219下降,歧管209的下端打开。并且,处理完成的晶片200在支承于晶舟217的状态下被从歧管209的下端搬出到反应管203的外部(晶舟卸载)。在晶舟卸载后,使闸板219s移动,歧管209的下端开口借助O型圈220c由闸板219s密封(闸板关闭)。处理完成的晶片200在搬出到反应管203的外部后被从晶舟217取出(晶片取出)。
(3)本方案的效果
根据本方案,能够获得下述的一个或多个效果。
(a)在步骤A中,通过不使甲氧基从TMDMAS中含有的Si脱离而使二甲氨基脱离、且使二甲氨基脱离且与甲氧基的键合被维持的状态的Si向晶片200的表面吸附,从而能够利用由Si所键合的甲氧基带来的作用,来抑制原子或分子向吸附于晶片200的表面的Si及其周边的、晶片200的表面中的吸附位点的吸附。由此,能够使由吸附于晶片200的表面的Si构成的层成为小于一个原子层的厚度的不连续层。并且,由此能够提高在晶片200上形成的SiO膜的晶片面内膜厚均匀性、台阶覆盖性,能够使该膜成为保形(conformal)的膜。需要说明的是,在作为原料气体使用例如三(二甲氨基)硅烷(Si[N(CH3)2]3H、简称:3DMAS)气体这样的在中心原子Si上键合有氨基而没有键合烷氧基的气体的情况下,无法获得本方案中的由烷氧基(甲氧基)带来的作用,存在在晶片200上形成的SiO膜的晶片面内膜厚均匀性、台阶覆盖性降低的情况。
(b)在步骤A中,通过使从TMDMAS气体中含有的Si脱离的二甲氨基不向晶片200的表面吸附,从而能够使第1层成为C、N等杂质少的层。由此能够使在晶片200上形成的SiO膜成为耐加工性优异的膜。
(c)在步骤B中,通过使第1层中含有的与Si键合的甲氧基中含有的甲基及与Si键合的甲氧基中的至少任一者脱离,从而能够使第2层成为C等杂质少的层。由此能够使在晶片200上形成的SiO膜成为耐加工性优异的膜。
(d)在步骤B中,通过使用经热激发的O2气体、即,非等离子体激发状态的O2气体作为氧化剂,即,通过在非等离子体的气氛下进行成膜步骤,从而能够避免对在晶片200上形成的SiO膜的等离子体损伤。另外,能够避免对处理室201内的构件等造成等离子体损伤。另外,能够在抑制氧化力的状态下进行氧化处理,从而能够抑制形成SiO膜时的基底(晶片200的表面)的氧化。
(e)本方案的效果在使用TMDMAS气体以外的原料情况下、使用O2气体以外的氧化剂的情况下、使用N2气体以外的非活性气体的情况下也能够同样地获得。
(4)变形例
本方案中的衬底处理时序能够如下述变形例所示进行变更。
(变形例1)
如下述的气体供给时序所示,在成膜步骤中,也可以先向晶片200供给(预流动)O2气体,然后将非同时地依次进行步骤A和步骤B的循环进行规定次数(n次、n为1以上的整数)。
Figure BDA0002599894860000161
根据本变形例,能够获得与上述方案相同的效果。另外,通过向晶片200预流动O2气体,从而能够将进行步骤A前的晶片200的表面上的吸附位点(OH封端)优化。由此,能够促进步骤A中的第1层的形成,缩短形成SiO膜时的潜伏期。
(变形例2)
如图5、下述的气体供给时序所示,在步骤B中,作为氧化剂也可以使用O2气体+H2气体。
Figure BDA0002599894860000171
具体来说,在步骤B中,将阀243b、243c打开,使O2气体、H2气体分别流向气体供给管232b、232c内。在气体供给管232b、232c内流动的O2气体、H2气体分别通过MFC241b、241c进行流量调节,并经由喷嘴249b、249a向处理室201内供给。O2气体与H2气体在处理室201内混合并反应,然后被从排气口231a排气。此时,向晶片200供给通过O2气体与H2气体的反应产生的原子状氧(atomic oxygen、O)等含有氧而不含水分(H2O)的氧化种。
作为本步骤中的处理条件,可例示:
O2气体供给流量:0.1~10slm
H2气体供给流量:0.1~10slm
气体供给时间:1~120秒,优选1~60秒
处理压力:1~3000Pa,优选1~2000Pa。
其他处理条件设为与步骤A中的处理条件相同。
根据本变形例,能够获得与上述方案相同的效果。另外,根据本变形例,利用原子状氧等氧化种所具有的强氧化力,能够在步骤B中进一步促进第1层中含有的与Si键合的甲氧基中含有的甲基及与Si键合的甲氧基中的至少任一者的脱离,结果,能够进一步提高在晶片200上形成的SiO膜的耐加工性。
<本发明的其他方案>
以上对本发明的方案进行了具体说明。但本发明不限定于上述方案,能够在不脱离其要旨的范围内进行多种变更。
如下述的气体供给时序所示,作为氧化剂,也可以使用等离子激发的O2气体(O2 )、O3气体。供给这些氧化剂的情况下的处理步骤、处理条件能够设为与上述方案的步骤B中的处理步骤、处理条件相同。
Figure BDA0002599894860000181
Figure BDA0002599894860000182
在这些情况下,也能够获得与上述方案相同的效果。另外,在这些氧化剂发挥的强氧化力的作用下,在步骤B中,能够进一步促进第1层中含有的与Si键合的甲氧基中含有的甲基及与Si键合的甲氧基中的至少任一者的脱离,结果,能够进一步提高在晶片200上形成的SiO膜的耐加工性。
另外,如下述的气体供给时序(n、n1、n2分别为1以上的整数)所示,作为原料气体,也可以在TMDMAS气体的基础上进一步使用作为卤代硅烷系气体(氯硅烷系气体)的六氯乙硅烷(Si2Cl6、简称:HCDS)气体等含有卤素(Cl)和Si的气体。供给HCDS气体时的处理步骤、处理条件能够设为与上述方案的步骤A中的处理步骤、处理条件相同。也可以取代HCDS气体使用四氯硅烷(SiCl4)气体、三氯硅烷(SiHCl3)气体、二氯硅烷(SiH2Cl2)气体、单氯硅烷(SiH3Cl)气体等。
Figure BDA0002599894860000183
Figure BDA0002599894860000184
Figure BDA0002599894860000185
Figure BDA0002599894860000186
Figure BDA0002599894860000187
Figure BDA0002599894860000188
Figure BDA0002599894860000189
Figure BDA00025998948600001810
在这些情况下也能够获得与上述方案相同的效果。另外,通过使用多种原料气体,还能够提高成膜速率。另外,也可以提高SiO膜中的Si浓度等进行组成的调整。
原料气体中含有的中心原子X也可以是铝(Al)、钛(Ti)、锆(Zr)、铪(Hf)等金属元素。在这些情况下,能够在晶片200上形成铝氧化膜(AlO)膜、钛氧化膜(TiO膜)、锆氧化膜(ZrO膜)、铪氧化膜(HfO膜)等金属氧化膜。这些情况下的处理步骤、处理条件能够设为与上述方案中的处理步骤、处理条件相同。在这些情况下,也能够获得与上述方案相同的效果。
各处理使用的制程优选根据处理内容单独准备,预先经由电通信线路、外部存储装置123储存在存储装置121c内。并且,优选在各处理开始时,CPU121a根据处理内容从在存储装置121c内储存的多个制程中选择适当的制程。由此,能够在1台衬底处理装置中再现性良好地形成各种膜种、组成比、膜质、膜厚的膜。且能够减轻操作者的负担,避免操作失误并迅速开始进行各处理。
上述制程不限于新创建的情况,例如,也可以通过变更已安装在衬底处理装置中的现有制程来准备。在变更制程的情况下,也可以将变更后的制程经由电通信线路、记录有相应制程的记录介质安装在衬底处理装置中。另外,也可以对现有衬底处理装置所具有的输入输出装置122进行操作,直接对已安装在衬底处理装置中的现有制程进行变更。
在上方案中,对使用一次处理多片衬底的分批式衬底处理装置形成膜的例子进行了说明。本发明不限定于上述方案,例如在使用一次处理一片或几片衬底的单片式衬底处理装置形成膜的情况下也能够适当应用。另外,在上述方案中,对使用具有热壁型处理炉的衬底处理装置形成膜的例子进行了说明。本发明不限定于上述方案,在使用具有冷壁型处理炉的衬底处理装置形成膜的情况下也能够适当应用。
在使用以上衬底处理装置的情况下,也能够按照与上述方案相同的处理步骤、处理条件进行各处理,能够获得与上述方案相同的效果。
另外,上述方案能够适当组合使用。此时的处理步骤、处理条件例如能够设为与上述方案的处理步骤、处理条件相同。
实施例
作为实施例,使用图1所示的衬底处理装置,按照图4所示的成膜时序在晶片上形成SiO膜。另外,作为比较例,通过交替重复进行使用图1所示的衬底处理装置供给HCDS气体的步骤和供给O2+H2气体的步骤而在晶片上形成SiO膜。作为晶片,使用表面未形成有凹凸图案的裸晶片(w/o PTN)和表面形成有凹凸图案的图案晶片。关于图案晶片,在将裸晶片的表面积设为1时,分别使用具有10倍表面积的晶片(w/x10 PTN)、具有23倍表面积的晶片(w/x23 PTN)、具有50倍表面积的晶片(w/x50 PTN)。在实施例及比较例中,各步骤中的处理条件均设为上述方案记载的处理条件范围内的规定条件。
然后,针对实施例及比较例,分别测定在裸晶片上形成的SiO膜的晶片面内平均膜厚
Figure BDA0002599894860000202
晶片面内膜厚均匀性(WiW)[±%]、晶片间膜厚均匀性(WtW)[±%]、折射率(R.I.)、密度[g/cm3]、表面粗糙度RMS[nm]、表面粗糙度Rmax[nm]及晶片表面的氧化厚度(基底氧化厚度)
Figure BDA0002599894860000203
将其结果示于图7。图7中的顶部、中央部、底部表示被测定晶片的垂直方向上的位置,分别表示晶片排列区域中的上部、中央部、下部。如图7所示,可知实施例中的SiO膜与比较例中的SiO膜相比,WiW及WtW均较小、即,晶片面内膜厚均匀性及晶片间膜厚均匀性均良好。另外,可知实施例中的SiO膜与比较例中的SiO膜相比,RMS及Rmax均较小、即,表面平滑(表面粗糙度良好)。另外,实施例中的基底氧化厚度为比较例中的基底氧化厚度的1/2以下,可知实施例与比较例相比能够抑制基底的氧化。关于R.I.及密度,可知在实施例中的SiO膜和比较例中的SiO膜中分别为相同程度。
另外,关于实施例及比较例,分别测定了在晶片上形成的SiO膜的晶片面内膜厚分布。在图8的(a)中示出实施例的测定结果,在图8的(b)中示出比较例的测定结果。在各图中,横轴均表示与晶片中心的距离(mm),纵轴均表示SiO膜的厚度
Figure BDA0002599894860000201
根据这些图可知,比较例中的SiO膜的晶片面内膜厚分布成为随着晶片的表面积增大而晶片的中央部变得最薄且随着趋近周缘部而逐渐变厚的分布(中央凹分布)的倾向很强。与此相对,可知实施例中的SiO膜的晶片面内膜厚分布即使在使晶片的表面积增大的情况下,也不易成为中央凹分布。
另外,关于实施例及比较例,调查了在晶片上形成的SiO膜的组成,可知比较例中的SiO膜含有Cl,与此相对,实施例中的SiO膜不含Cl。另外,可知实施例中的SiO膜中的C浓度低至与比较例中的SiO膜中的C浓度同等。
作为其他实施例,使用图1所示的衬底处理装置按照图4所示的成膜时序在晶片上形成SiO膜。处理温度设为500、600、650℃。其他处理条件设为上述方案记载的处理条件范围内的规定条件。然后,分别测定在晶片上形成的SiO膜的WiW[±%]及使用1%氢氟酸(HF)水溶液蚀刻时的SiO膜的湿式蚀刻速率(WER)
Figure BDA0002599894860000211
将其结果示于图9。根据图9可知,通过提高处理温度,从而SiO膜的WiW变小、即,晶片面内膜厚均匀性变得良好。另外,可知通过提高处理温度,从而SiO膜的WER变小,即耐加工性提高。另外,根据图9可知,通过将处理温度设为600℃以上,从而SiO膜的晶片面内膜厚均匀性及耐加工性大幅提高。需要说明的是,在将处理温度设为550℃以上的情况下,也确认到这些特性大幅提高。

Claims (20)

1.半导体器件的制造方法,其具有将非同时进行下述工序的循环进行规定次数从而在衬底上形成含有X的氧化膜的工序:
(a)向衬底供给原料,在所述衬底上形成含有在所述X上键合有第1基团的成分的第1层的工序,所述原料为具有在中心原子X上键合有第1基团和第2基团的分子结构且所述第1基团与所述X的键能高于所述第2基团与所述X的键能的原料;和
(b)向所述衬底供给氧化剂,使所述第1层氧化,形成含有所述X的第2层的工序,
在(a)中,在所述第1基团不从所述原料中所含的所述X脱离而所述第2基团脱离、并且所述第2基团脱离且与所述第1基团的键合被维持的状态的所述X向所述衬底的表面吸附的条件下,供给所述原料。
2.根据权利要求1所述的半导体器件的制造方法,其中,所述X具有4个结合键,在所述X的4个结合键中的3个结合键上键合有所述第1基团,在所述X的4个结合键中的剩余1个结合键上键合有所述第2基团,
在(a)中,在所述X的3个结合键上键合有所述第1基团的状态下,在所述X向所述衬底的表面吸附的条件下供给所述原料。
3.根据权利要求1所述的半导体器件的制造方法,其中,在(a)中,在从所述原料中所含的所述X脱离的所述第2基团不向所述衬底的表面吸附的条件下供给所述原料。
4.根据权利要求1所述的半导体器件的制造方法,其中,在(a)中,利用吸附于所述衬底的表面的所述X上所键合的所述第1基团来抑制原子或分子向吸附于所述衬底的表面的所述X的吸附,并且抑制原子或分子向所述X的周边的所述衬底的表面中的吸附位点的吸附。
5.根据权利要求1所述的半导体器件的制造方法,其中,在(a)中,利用吸附于所述衬底的表面的所述X上所键合的所述第1基团来保持所述X的周边的所述衬底的表面中的吸附位点。
6.根据权利要求1所述的半导体器件的制造方法,其中,在(a)中,使所述X不连续地吸附于所述衬底的表面。
7.根据权利要求1所述的半导体器件的制造方法,其中,在(a)中,使所述X以成为小于一个原子层的厚度的方式吸附于所述衬底的表面。
8.根据权利要求1所述的半导体器件的制造方法,其中,在(a)中,持续供给所述原料直至所述X向所述衬底的表面的吸附反应饱和为止。
9.根据权利要求8所述的半导体器件的制造方法,其中,在所述X向所述衬底的表面的吸附反应饱和的状态下,由吸附于所述衬底的表面的X构成的层为小于一个原子层的厚度。
10.根据权利要求8所述的半导体器件的制造方法,其中,在所述X向所述衬底的表面的吸附反应饱和的状态下,由吸附于所述衬底的表面的X构成的层为不连续层。
11.根据权利要求8所述的半导体器件的制造方法,其中,在所述X向所述衬底的表面的吸附反应饱和的状态下,使所述衬底的表面的一部分保持吸附位点。
12.根据权利要求8所述的半导体器件的制造方法,其中,在所述X向所述衬底的表面的吸附反应饱和的状态下,所述衬底的表面成为由所述第1基团覆盖的状态。
13.根据权利要求1所述的半导体器件的制造方法,其中,在(b)中,在与所述第1层中所含的所述X键合的所述第1基团中含有的第3基团及与所述X键合的所述第1基团中的至少任一者脱离的条件下供给所述氧化剂。
14.根据权利要求1所述的半导体器件的制造方法,其中,在(b)中,在与所述第1层中所含的所述X键合的所述第1基团中含有的第3基团及与所述X键合的所述第1基团脱离的条件下供给所述氧化剂。
15.根据权利要求1所述的半导体器件的制造方法,其中,所述第1基团包含烷氧基,
所述第2基团包含氨基、烷基、卤代基、羟基、氢基、芳基、乙烯基及硝基中的至少任一者。
16.根据权利要求1所述的半导体器件的制造方法,其中,将形成所述氧化膜的工序中的处理温度设为550℃以上且700℃以下。
17.根据权利要求1所述的半导体器件的制造方法,其中,将形成所述氧化膜的工序中的处理温度设为600℃以上且650℃以下。
18.根据权利要求1所述的半导体器件的制造方法,其中,在形成所述氧化膜的工序中,在进行了(b)之后,将非同时地依次进行(a)和(b)的循环进行规定次数。
19.衬底处理装置,其具有:
处理室,其供衬底被处理;
原料供给系统,其向所述处理室内的衬底供给原料,所述原料为具有在中心原子X上键合有第1基团和第2基团的分子结构且所述第1基团与所述X的键能高于所述第2基团与所述X的键能的原料;
氧化剂供给系统,其向所述处理室内的衬底供给氧化剂;
加热器,其对所述处理室内的衬底进行加热;和
控制部,其构成为能够控制所述原料供给系统、所述氧化剂供给系统及所述加热器,以在所述处理室内实施将非同时进行下述处理的循环进行规定次数而在所述衬底上形成含有所述X的氧化膜的处理:(a)向衬底供给所述原料,在所述衬底上形成含有在所述X上键合有所述第1基团的成分的第1层的处理;和(b)向所述衬底供给所述氧化剂,使所述第1层氧化,形成含有所述X的第2层的处理,在(a)中,在所述第1基团不从所述原料中所含的所述X脱离而所述第2基团脱离、并且所述第2基团脱离且与所述第1基团的键合被维持的状态的所述X向所述衬底的表面吸附的条件下,供给所述原料。
20.计算机能够读取的记录介质,其记录有通过计算机使衬底处理装置在所述衬底处理装置的处理室内执行下述步骤的程序:
将非同时进行下述步骤的循环进行规定次数从而在衬底上形成含有X的氧化膜的步骤:(a)向衬底供给原料,在所述衬底上形成含有在所述X上键合有第1基团的成分的第1层的步骤,所述原料为具有在中心原子X上键合有第1基团和第2基团的分子结构且所述第1基团与所述X的键能高于所述第2基团与所述X的键能的原料,和(b)向所述衬底供给氧化剂,使所述第1层氧化,形成含有所述X的第2层的步骤;以及
在(a)中,在所述第1基团不从所述原料中所含的所述X脱离而所述第2基团脱离、并且所述第2基团脱离且与所述第1基团的键合被维持的状态的所述X向所述衬底的表面吸附的条件下,供给所述原料的步骤。
CN202010720739.5A 2019-08-30 2020-07-24 半导体器件的制造方法、衬底处理装置及记录介质 Pending CN112447499A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019-158563 2019-08-30
JP2019158563A JP7023905B2 (ja) 2019-08-30 2019-08-30 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Publications (1)

Publication Number Publication Date
CN112447499A true CN112447499A (zh) 2021-03-05

Family

ID=74681798

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010720739.5A Pending CN112447499A (zh) 2019-08-30 2020-07-24 半导体器件的制造方法、衬底处理装置及记录介质

Country Status (6)

Country Link
US (2) US11527402B2 (zh)
JP (1) JP7023905B2 (zh)
KR (2) KR102450410B1 (zh)
CN (1) CN112447499A (zh)
SG (1) SG10202008356TA (zh)
TW (2) TW202333234A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7023905B2 (ja) * 2019-08-30 2022-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110262642A1 (en) * 2006-05-23 2011-10-27 Air Products And Chemicals, Inc. Process for Producing Silicon and Oxide Films from Organoaminosilane Precursors
KR20130116210A (ko) * 2012-04-12 2013-10-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 옥사이드 박막의 고온 원자층 증착
US20140349492A1 (en) * 2013-05-24 2014-11-27 C/O Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method, substrate processing apparatus and recording medium
WO2018088003A1 (ja) * 2016-11-11 2018-05-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2018152554A (ja) * 2017-02-14 2018-09-27 ラム リサーチ コーポレーションLam Research Corporation シリコン酸化物の選択的堆積
TW201903372A (zh) * 2015-02-25 2019-01-16 日商日立國際電氣股份有限公司 溫度感測器、基板處理裝置及半導體裝置之製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4836761B2 (ja) 2006-11-29 2011-12-14 株式会社日立国際電気 半導体デバイスの製造方法
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
CA2920646A1 (en) 2016-02-12 2017-08-12 Seastar Chemicals Inc. Organometallic compound and method
JP6777614B2 (ja) * 2017-09-26 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6756689B2 (ja) * 2017-10-13 2020-09-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6806719B2 (ja) * 2018-01-17 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7023905B2 (ja) * 2019-08-30 2022-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110262642A1 (en) * 2006-05-23 2011-10-27 Air Products And Chemicals, Inc. Process for Producing Silicon and Oxide Films from Organoaminosilane Precursors
KR20130116210A (ko) * 2012-04-12 2013-10-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 옥사이드 박막의 고온 원자층 증착
US20130295779A1 (en) * 2012-04-12 2013-11-07 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
JP2013236073A (ja) * 2012-04-12 2013-11-21 Air Products & Chemicals Inc 酸化ケイ素薄膜の高温原子層堆積
US20140349492A1 (en) * 2013-05-24 2014-11-27 C/O Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method, substrate processing apparatus and recording medium
TW201903372A (zh) * 2015-02-25 2019-01-16 日商日立國際電氣股份有限公司 溫度感測器、基板處理裝置及半導體裝置之製造方法
WO2018088003A1 (ja) * 2016-11-11 2018-05-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2018152554A (ja) * 2017-02-14 2018-09-27 ラム リサーチ コーポレーションLam Research Corporation シリコン酸化物の選択的堆積

Also Published As

Publication number Publication date
KR102450410B1 (ko) 2022-10-05
JP7023905B2 (ja) 2022-02-22
KR20210027191A (ko) 2021-03-10
JP2021039970A (ja) 2021-03-11
TW202333234A (zh) 2023-08-16
US20210066073A1 (en) 2021-03-04
US11978623B2 (en) 2024-05-07
US20230067218A1 (en) 2023-03-02
TW202119499A (zh) 2021-05-16
SG10202008356TA (en) 2021-03-30
US11527402B2 (en) 2022-12-13
KR20220136980A (ko) 2022-10-11
TWI800746B (zh) 2023-05-01

Similar Documents

Publication Publication Date Title
CN107818911B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
US11848203B2 (en) Methods of processing substrate and manufacturing semiconductor device by forming film, substrate processing apparatus, and recording medium
CN109671611B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
US11923193B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2018087370A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP2017191909A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JPWO2018088003A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
CN108630574B (zh) 衬底处理装置,半导体器件的制造方法及记录介质
JPWO2017158848A1 (ja) 半導体装置の製造方法、基板処理装置、および記録媒体
US11978623B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP7166431B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP6224258B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US20230093981A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing system, and recording medium
CN109155254B (zh) 半导体器件的制造方法、衬底处理装置及程序
CN117882176A (zh) 基板处理方法、半导体装置的制造方法、基板处理装置以及程序
CN115224102A (zh) 衬底处理方法、半导体器件的制造方法、衬底处理装置及记录介质
US20230360904A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
TWI831204B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
JP6731527B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US20230175116A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2021040060A (ja) 半導体装置の製造方法、基板処理システム、およびプログラム
CN113113284A (zh) 半导体器件的制造方法、衬底处理装置及记录介质

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination