JP2019501528A - 五置換ジシランを使用するケイ素含有フィルムの蒸着 - Google Patents

五置換ジシランを使用するケイ素含有フィルムの蒸着 Download PDF

Info

Publication number
JP2019501528A
JP2019501528A JP2018530071A JP2018530071A JP2019501528A JP 2019501528 A JP2019501528 A JP 2019501528A JP 2018530071 A JP2018530071 A JP 2018530071A JP 2018530071 A JP2018530071 A JP 2018530071A JP 2019501528 A JP2019501528 A JP 2019501528A
Authority
JP
Japan
Prior art keywords
silicon
film
forming composition
range
containing film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2018530071A
Other languages
English (en)
Other versions
JP2019501528A5 (ja
Inventor
ジャン−マルク・ジラード
チャンヒー・コ
イヴァーン・オシェプコフ
和孝 柳田
和孝 柳田
清吾 大窪
清吾 大窪
野田 直人
直人 野田
ジュリアン・ガティノ
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2019501528A publication Critical patent/JP2019501528A/ja
Publication of JP2019501528A5 publication Critical patent/JP2019501528A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Acyclic And Carbocyclic Compounds In Medicinal Compositions (AREA)

Abstract

ペンタハロジシランまたはペンタキス(ジメチルアミノ)ジシランなどの五置換ジシランを使用する蒸着プロセスによる基体上でのケイ素含有フィルムの析出方法が開示される。
【選択図】図13

Description

関連出願への相互参照
本出願は、全ての目的に関して、参照によって全体的に本明細書に組み込まれる、2015年12月28日出願の米国特許出願第14/979,816号明細書の利益を請求する。
ペンタクロロジシランまたはペンタキス(ジメチルアミノ)ジシランなどの五置換ジシランを使用する蒸着プロセスによる、基体上でのケイ素含有フィルムの析出方法が開示される。
ケイ素含有フィルムは、半導体デバイスにおいて最も重要な構成部品の1つであり、それらの種々の製作プロセスの開発が大いに調査されている。酸化ケイ素(SiO)フィルムは、コンデンサおよび絶縁層として使用され得る。D.Tahirら,“Electronic and optical properties of Al2O3/SiO2 films grown on Si substrate”,J.Phys.D:Appl.Phys.43,255301(2010)。窒化ケイ素(SiN)、炭化ケイ素(SiC)および炭窒化ケイ素(SiCN)フィルムは、ハードマスク、拡散バリアまたはトレンチエッチストップ層として使用され得る。Si−Yi Liら,“Selectivity Control in Plasma Etching for Dual Damascene with OSG films”,Abstract #242,199th ECS Meeting(2001);Ping Xuら,“A Breakthrough in Low−k Barrier/Etch Stop Films for Copper Damascene Applications”,Semiconductor FABTECH,11,239(2000);Y.L.Wangら,“Integration of SiCN as a Low k Etch Stop and Cu Passivation in a High Performance Cu/Low k Interconnect”,Materials 5,377−384(2012)。
蒸着前駆体としてのペンタクロロジシラン(PCDSまたはSiHCl)およびペンタキス(ジメチルアミノ)ジシラン(SiH(NMe)の使用が従来技術において記載されている。例えば、Singhらへの米国特許公開第2004/224089号明細書、Dussarratへの国際公開第2007/112780号パンフレットおよびTomasiniらへの米国特許公開第2008/026149号明細書を参照のこと。
しかしながら、ケイ素含有フィルムの商業的析出に適切なケイ素含有前駆体を見い出すことが課題として残っている。
基体上でのケイ素含有フィルムの析出のための蒸着プロセスが開示される。Si含有フィルム形成前駆体の蒸気は、基体を含有する反応器中に導入される。Si含有フィルム形成組成物は、五置換ジシラン前駆体を含んでなる。五置換ジシランフィルム形成前駆体の少なくとも一部を基体上に析出させ、ケイ素含有フィルムを形成する。開示されたプロセスは、次の態様の1つまたはそれ以上をさらに含み得る。
●五置換ジシラン前駆体が、ペンタクロロジシラン(PCDSまたはSiHCl)である;
●五置換ジシラン前駆体が、ペンタヨードジシラン(SiHI)である;
●五置換ジシラン前駆体が、ペンタブロモジシラン(SiHBr)である;
●五置換ジシラン前駆体が、ペンタフルオロジシラン(SiHF)である;
●五置換ジシラン前駆体が、ペンタキス(ジメチルアミノ)ジシラン[SiH(NMe]である;
●Si含有フィルム形成組成物が、約99%w/w〜約100%w/wの五置換ジシラン前駆体を含んでなる;
●Si含有フィルム形成組成物が、約95%w/w〜約100%w/wの五置換ジシラン前駆体を含んでなる;
●Si含有フィルム形成組成物が、約0%w/w〜約5%w/wの不純物を含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約2.0%w/wの不純物を含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの不純物を含んでなる;
●不純物が、式Si(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1−C4アルキル基であり、x=0、2または3であり、かつx+y=6である)を有する六、四および三置換ジシラン;式SiX(式中、X=Cl、Br、IまたはNRであり、かつR=HまたはC1−C4アルキル基である)を有する四置換シラン;式SiHX(式中、X=Cl、Br、IまたはNRであり、かつR=HまたはC1−C4アルキル基)を有する三置換シラン;式Si(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1−C4アルキル基であり、x+y=8であり、かつx=1〜6である)を有する部分的に置換されたトリシラン;式SiHX−O−SiX(式中、X=Cl、Br、IまたはNRであり、かつR=HまたはC1〜C4アルキル基である)を有するシロキサン;式HSi−O−SiH(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1−C4アルキル基であり、x=0、2または3であり、かつx+y=3である)を有するシロキサン;式SiH−O−SiH(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1−C4アルキル基、水素であり、x+y=3であり、かつx=0または1である)を有するシロキサン;式HSi−O−(Si)(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1−C4アルキル基であり、x+y=3であり、a+b=6であり、x=1〜3であり、かつa=0、2または3である)を有するシロキサン;式HSi−O−SiH−O−SiH(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1−C4アルキル基であり、x+y=3であり、a+b=2であり、x=1〜3であり、かつa=0〜2である)を有するシロキサン;ヨウ素;臭素;塩素;ジメチルアミンまたはエチルアミンなどのジアルキルアミン;THF;エーテル;ペンタン;ヘキサン;シクロヘキサン;ヘプタン;ベンゼン;トルエン;またはその組合せを含む;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの、式Si(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1〜C4アルキル基である)を有する六置換ジシランを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの、式Si(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1−C4アルキル基である)を有する四置換ジシランを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの、式Si(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1−C4アルキル基である)を有する三置換ジシランを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの、式SiX(式中、X=Cl、Br、IまたはNRであり、かつR=HまたはC1−C4アルキル基である)を有する四置換シランを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの、式SiHX(式中、X=Cl、Br、IまたはNRであり、かつR=HまたはC1−C4アルキル基である)を有する三置換シランを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの、式Si(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1−C4アルキル基であり、x+y=8であり、かつx=1〜6である)を有する部分的に置換されたトリシランを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの、式SiHX−O−SiX(式中、X=Cl、Br、IまたはNRであり、かつR=HまたはC1−C4アルキル基である)を有するシロキサンを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの、式HSi−O−SiH(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1−C4アルキル基であり、x=0、2または3であり、かつx+y=3である)を有するシロキサンを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの、式SiH−O−SiH(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1−C4アルキル基、水素であり、x+y=3であり、かつx=0または1である)を有するシロキサンを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの、式HSi−O−(Si)(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1−C4アルキル基であり、x+y=3であり、a+b=5であり、x=1〜3であり、かつa=0、2または3である)を有するシロキサンを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの、式HSi−O−SiH−O−SiH(式中、X=Cl、Br、IまたはNRであり、R=HまたはC1−C4アルキル基であり、x+y=3であり、a+b=2であり、x=1〜3であり、かつa=0〜2である)を有するシロキサンを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの、ジメチルアミンまたはエチルアミンなどのジアルキルアミンを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wのTHFを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wのエーテルを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wのペンタンを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wのヘキサンを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wのシクロヘキサンを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wのヘプタンを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wのベンゼンを含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wのトルエンを含んでなる;
●Si含有フィルム形成組成物が、約0ppbw〜約1ppmwの金属不純物を含んでなる;
●Si含有フィルム形成組成物が、約0ppbw〜約500ppbwの金属不純物を含んでなる;
●蒸着プロセスが、原子層析出(ALD)プロセスである;
●蒸着プロセスが、化学蒸着(CVD)プロセスである;
●反応器中に酸素含有反応物を導入することをさらに含んでなる;
●酸素含有反応物が、O、O、HO、H、NO、NO、NO、カルボン酸、ギ酸、酢酸、プロピオン酸、その酸素含有ラジカル、またはその混合物である;
●酸素含有反応物が、O、O、HO、その酸素含有ラジカル、またはその混合物である;
●酸素含有反応物が、O、O、その酸素含有ラジカル、またはその混合物である;
●酸素含有反応物が、OおよびOの混合物である;
●混合物が、少なくとも5%v/vのOを含んでなる;
●酸素含有反応物が、O中Oの7.2%v/v混合物である;
●ケイ素含有フィルムが、酸化ケイ素である;
●ケイ素含有フィルムが、希釈HF溶液(0.5〜1%のHF)を使用する溶着によって析出された酸化ケイ素のウェットエッチレートの10倍以下のウェットエッチレートを有する;
●ケイ素含有フィルムが、約450℃〜約800℃の範囲の温度において析出される;
●ケイ素含有フィルムが、約500℃〜約800℃の範囲の温度において析出される;
●ケイ素含有フィルムが、約500℃〜約700℃の範囲の温度において析出される;
●ケイ素含有フィルムが、プラズマを使用することなく析出される;
●熱ALDプロセスが、約550℃〜約600℃の範囲の温度において酸化ケイ素フィルムを析出する;
●熱ALDプロセスが、約100℃〜約400℃の範囲の温度において酸化ケイ素フィルムを析出する;
●ケイ素含有フィルムが、約0.75トル(100Pa)〜約1.25トル(167Pa)の範囲の圧力において析出される;
●プラズマALDプロセスが、約室温〜約400℃の範囲の温度において酸化ケイ素フィルムを析出する;
●ケイ素含有フィルムが、約0原子%〜約5原子%の炭素を含有する;
●ケイ素含有フィルムが、約0原子%〜約2.5原子%の炭素を含有する;
●ケイ素含有フィルムが、約0原子%〜約1原子%の炭素を含有する;
●ケイ素含有フィルムが、約0原子%〜約1原子%の窒素を含有する;
●ケイ素含有フィルムが、約0原子%〜約1原子%の塩素を含有する;
●酸化ケイ素フィルムが、1:7のアスペクト比に関して、約70%〜約100%の段差被覆を示す;
●酸化ケイ素フィルムが、1:20のアスペクト比に関して、約70%〜約100%の段差被覆を示す;
●酸化ケイ素フィルムが、1:7のアスペクト比に関して、約90%〜約100%の段差被覆を示す;
●酸化ケイ素フィルムが、1:20のアスペクト比に関して、約90%〜約100%の段差被覆を示す;
●基体が、約10:1〜約100:1の範囲のアスペクト比を有するホールまたはトレンチを含んでなる;
●基体が、約10:1〜約40:1の範囲のアスペクト比を有するホールまたはトレンチを含んでなる;
●ケイ素含有フィルムが、約80%〜約100%の範囲のホールまたはトレンチの段差被覆を得る;
●ケイ素含有フィルムが、約0.75Å(0.075nm)〜約1Å(0.1nm)の範囲のサイクルあたりの成長を有する;
●反応器中に窒素含有反応物を導入することをさらに含んでなる;
●窒素含有反応物が、NH、N、N(SiH、N(CH)H、N(C)H、N(CHH、N(CH、N(CH、N(C、(SiMeNH、(CH)HNNH、(CHNNH、その窒素含有ラジカル種、およびその混合物である;
●窒素含有反応物が、NHである;
●ケイ素含有フィルムが、窒化ケイ素である;
●窒化ケイ素フィルムが、約450℃〜約650℃の範囲の温度において析出される;
●窒化ケイ素フィルムが、約0.1トル(13Pa)〜約100トル(13,332Pa)の範囲の圧力において析出される;
●窒化ケイ素フィルムが、約4.75トル(633Pa)〜約5.25トル(700Pa)の範囲の圧力において析出される;
●窒化ケイ素フィルムが、プラズマを使用することなく析出される;
●窒化ケイ素フィルムが、約0.3Å(0.03nm)〜約2Å(0.2nm)の範囲のサイクルあたりの成長を有する;
●ケイ素含有フィルムが約1Å(0.1nm)〜約2Å(0.2nm)の範囲のサイクルあたりの成長を有する;
●窒化ケイ素フィルムが、約1.7〜約2.2の範囲の屈折率を有する;
●窒化ケイ素フィルムが、約1.8〜約2.1の範囲の屈折率を有する;
●窒化ケイ素フィルムが、約2.0〜約2.1の範囲の屈折率を有する;
●反応器中に、アミン、アルキルアミノシランまたはジシラザンを導入することをさらに含んでなる;
●アミンがアンモニアである;
●アルキルアミノシランが、ビス(ジエチルアミノ)シランである;
●アルキルアミノシランが、トリス(ジメチルアミノ)シランである;
●ジシラザンが、ヘキサメチルジシラザンである;
●ケイ素含有フィルムが、炭素ドープされた窒化ケイ素フィルムである;
●炭素ドープされた窒化ケイ素フィルムが、約5原子%〜約40原子%の炭素濃度を有する;
●炭素ドープされた窒化ケイ素フィルムが、約20原子%〜約60原子%の窒素濃度を有する;
●炭素ドープされた窒化ケイ素フィルムが、約0原子%〜約5原子%の酸素濃度を有する;
●プロセスが、プラズマ強化原子層析出(PEALD)プロセスである;
●反応器中に窒素含有反応物を導入することをさらに含んでなる;
●窒素含有反応物が、N、NH、N、N(SiH、N(CH)H、N(C)H、N(CHH、N(CH、N(CH、N(C、(SiMeNH、(CH)HNNH、(CHNNH、NおよびHの混合物、その窒素含有ラジカル種、ならびにその混合物である;
●窒素含有反応物が、NH、N、NおよびHの混合物、ならびにその混合物である;
●NおよびHの混合物が、約0%v/v〜約70%v/vのHを含有する;
●NおよびHの混合物が、約50%v/vのHを含有する;
●ケイ素含有フィルムが、炭素ドープされた窒化ケイ素フィルムである;
●PEALDプロセスが、約100℃〜約350℃の範囲の温度において、炭素ドープされた窒化ケイ素フィルムを析出する;
●炭素ドープされた窒化ケイ素フィルムが、約1.6〜約2.1の範囲の屈折率を有する;
●炭素ドープされた窒化ケイ素フィルムが、約1原子%〜約15原子%の範囲の炭素濃度を有する;
●ケイ素含有フィルムが、非晶質または多結晶質ケイ素フィルムである;
●非晶質または多結晶質ケイ素フィルムが、約550℃〜約800℃の範囲の温度において析出される;
●非晶質または多結晶質ケイ素フィルムが、約0.1トル(13Pa)〜約100トル(13,332Pa)の範囲の圧力において析出される;
●反応器中に不活性気体を導入することをさらに含んでなる;
●反応器中に還元気体を導入することをさらに含んでなる;
●ケイ素フィルムが、約0原子%〜約5原子%の炭素を含有する;
●ケイ素フィルムが、約0原子%〜約1原子%の窒素を含有する;
●ケイ素フィルムが、約0原子%〜約1原子%の塩素を含有する;
●ケイ素フィルムが、非晶質ケイ素フィルムである;
●ケイ素フィルムが、多結晶質ケイ素フィルムである;
●基体が、約1:10〜約1:100の範囲のアスペクト比を有するホールまたはトレンチを含んでなる;
●基体が、約1:10〜約1:40の範囲のアスペクト比を有するホールまたはトレンチを含んでなる;あるいは
●ケイ素フィルムが、約80%〜約100%の範囲のホールまたはトレンチの段差被覆を得る。
また、基体上での炭化ケイ素フィルムの蒸着プロセスが開示される。ケイ素含有フィルム形成組成物含有の蒸気は、基体を含有する反応器中に導入される。ケイ素含有フィルム形成組成物は、ハロゲン化ケイ素を含んでなる。ハロゲン化ケイ素物の少なくとも一部を基体上に析出させ、炭化ケイ素フィルムを形成する。アルキル置換金属またはメタロイドが反応器に導入される。アルキル置換金属またはメタロイドの少なくとも一部を基体上に析出させ、炭化ケイ素フィルムを形成する。開示されたプロセスは、次の態様の1つまたはそれ以上をさらに含み得る。
●Si含有フィルム形成組成物が、約99%w/w〜約100%w/wのハロゲン化ケイ素を含んでなる;
●Si含有フィルム形成組成物が、約95%w/w〜約100%w/wのハロゲン化ケイ素を含んでなる;
●Si含有フィルム形成組成物が、約0%w/w〜約5%w/wの不純物を含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約2.0%w/wの不純物を含んでなる;
●Si含有フィルム形成組成物が、約0.0%w/w〜約1.0%w/wの不純物を含んでなる;
●不純物が、六置換ジシラン;アルキルアミン;ジアルキルアミン;アルキルイミン;ヨードシラン;アミノシラン;ヨウ化リチウム,ナトリウム,またはカリウム;ヨウ素;THF;エーテル;ペンタン;シクロヘキサン;ヘプタン;ベンゼン;トルエン;ハロゲン化金属化合物を含む;
●Si含有フィルム形成組成物が、約0ppbw〜約1ppmwの金属不純物を含んでなる;
●Si含有フィルム形成組成物が、約0ppbw〜約500ppbwの金属不純物を含んでなる;
●ハロゲン化ケイ素が、少なくとも1つのハロゲン原子を含有する;
●ハロゲン化ケイ素が、少なくとも1つのケイ素−ハロゲン結合を含有する;
●ハロゲン化ケイ素が、式SiX(式中、各Xは、独立して、Cl、Br、I、HまたはRであり、かつRは脂肪族基である)を有する;
●ハロゲン化ケイ素が、SiClHである;
●ハロゲン化ケイ素が、SiHClである;
●ハロゲン化ケイ素が、SiHClである;
●ハロゲン化ケイ素が、SiIである;
●ハロゲン化ケイ素が、SiHMeIである;
●ハロゲン化ケイ素が、SiMeである;
●ハロゲン化ケイ素が、SiHCl3−x(CHCl)(式中、x=0〜3である)である;
●ハロゲン化ケイ素が、SiH(CHCl)である;
●ハロゲン化ケイ素が、SiHCl(CHCl)である;
●ハロゲン化ケイ素が、SiHCl(CHCl)である;
●ハロゲン化ケイ素が、SiCl(CHCl)である;
●ハロゲン化ケイ素が、式Si(式中、各Xは、独立して、Cl、Br、IまたはHである)を有する;
●ハロゲン化ケイ素が、ペンタクロロジシランである;
●ハロゲン化ケイ素が、ペンタブロモジシランである;
●ハロゲン化ケイ素が、ペンタヨードジシランである;
●ハロゲン化ケイ素が、ペンタフルオロジシランである;
●ハロゲン化ケイ素が、ヘキサクロロジシラン;
●ハロゲン化ケイ素が、ヘキサヨードジシランである;
●ハロゲン化ケイ素が、モノクロロジシランである;
●ハロゲン化ケイ素が、モノブロモジシランである;
●ハロゲン化ケイ素が、モノヨードジシランである;
●ハロゲン化ケイ素が、1,1−ジクロロジシラン[ClHSi−SiH]である;
●ハロゲン化ケイ素が、ジブロモジシラン[HBrSi−SiBrH]である;
●ハロゲン化ケイ素が、ジヨードジシラン[HISi−SiIH]である;
●ハロゲン化ケイ素が、ジクロロジシラン[HSi−SiHCl]である;
●ハロゲン化ケイ素が、ジブロモジシラン[HSi−SiHBr]である;
●ハロゲン化ケイ素が、ジヨードジシラン[HSi−SiHI]である;
●ハロゲン化ケイ素が、式XSi−CH−SiX(式中、各Xは、独立して、Cl、Br、IまたはHである)を有する;
●ハロゲン化ケイ素が、ビス(ジクロロシリル)メタン[(SiClHCH]である;
●ハロゲン化ケイ素が、モノクロロトリシラペンタン[HSi−CH−SiHCl]である;
●ハロゲン化ケイ素が、式XSi−CH−CH−SiX(式中、各Xは、独立して、Cl、Br、IまたはHである)を有する;
●ハロゲン化ケイ素が、式XSi−CH−SiX−CH−SiX(式中、各Xは、独立して、ClまたはHであるが、ただし少なくとも1つの末端XはClである)を有する;
●ハロゲン化ケイ素が、式ClSi−CH−SiCl−CH−SiClを有する;
●ハロゲン化ケイ素が、式HSi−CH−SiH−CH−SiClHを有する;
●ハロゲン化ケイ素が、式(−SiX−CH−)(式中、各Xは、独立して、Cl、BrまたはIである)を有する;
●ハロゲン化ケイ素が、式(−SiHX−CH−)(式中、各Xは、独立して、Cl、BrまたはIである)を有する;
●ハロゲン化ケイ素が、オクタクロロトリシラン(OCTS)である;
●ハロゲン化ケイ素が、デカクロロテトラシラン(SiCl10)である;
●ハロゲン化ケイ素が、ドデカクロロペンタシラン(DCPSまたはSiCl12)である;
●アルキル置換金属またはメタロイドが、式AlR(式中、各Rは、独立して、H、Me、Et、nPr、iPr、nBu、iBuまたはRであるが、ただしR=Hである場合、分子は、アミンまたはボロヒドリドによって付加されてもよい)を有する;
●アルキル置換金属またはメタロイドが、トリエチルアルミニウムである;
●アルキル置換金属またはメタロイドが、トリメチルアルミニウムである;
●アルキル置換金属またはメタロイドが、AlH・NHである;
●アルキル置換金属またはメタロイドが、式BR(式中、各Rは、独立して、アルキルまたはアリル基である)を有する;
●アルキル置換金属またはメタロイドが、トリエチルホウ素である;
●アルキル置換金属またはメタロイドが、トリメチルホウ素である;
●アルキル置換金属またはメタロイドが、式AlClR(式中、各Rは、独立して、H、Me、Et、nPr、iPr、nBuまたはiBuあるいはNRである)を有する;
●アルキル置換金属またはメタロイドが、式BXR(式中、Xは、Cl、BrまたはIであり、かつ各Rは、独立して、アルキルまたはアリル基である)を有する;
●アルキル置換金属またはメタロイドが、式ZnR(式中、各Rは、独立して、Me、Et、nPr、iPr、nBuまたはiBuである)を有する;
●アルキル置換金属またはメタロイドが、式GaR(式中、各Rは、独立して、Me、Et、nPr、iPr、nBu、iBuまたはNRである)を有する;
●アルキル置換金属またはメタロイドが、トリメチルガリウムである;ならびに
●アルキル置換金属またはメタロイドが、式InR(式中、各Rは、独立して、Me、Et、nPr、iPr、nBu、iBuまたはNRである)を有する。
入口導管および出口導管を有するキャニスターを含んでなり、かつ上記で開示されたいずれかのSi含有フィルム形成組成物を含有する、Si含有フィルム形成組成物デリバリーデバイスも開示される。開示されたデバイスは、次の態様の1つまたはそれ以上を有してもよい:
●Si含有フィルム形成組成物は、10ppmw未満の金属汚染物全濃度を有し;
●入口導管端部の端部は、Si含有フィルム形成組成物の表面上に配置され、かつ出口導管の端部は、Si含有フィルム形成組成物の表面下に配置され;
●入口導管端部の端部はSi含有フィルム形成組成物の表面下に配置され、かつ出口導管の端部は、Si含有フィルム形成組成物上に配置され;
●入口および出口上にダイアフラムバルブをさらに含んでなり;
●キャニスターの内面上に1層またはそれ以上のバリア層をさらに含んでなり;
●キャニスターの内面上に1〜4層のバリア層をさらに含んでなり;
●キャニスターの内面上に1層または2層のバリア層をさらに含んでなり;
●各バリア層が、酸化ケイ素層、窒化ケイ素層、酸窒化ケイ素層、炭窒化ケイ素、酸炭窒化ケイ素層またはその組合せを含んでなり;
●各バリア層が、5〜1000nmの厚さであり;
●各バリア層が、50〜500nmの厚さであり;
●Si含有フィルム形成組成物が、ペンタクロロジシラン(PCDSまたはSiHCl)を含んでなる;
●Si含有フィルム形成組成物が、ペンタヨードジシラン(SiHI)を含んでなる;
●Si含有フィルム形成組成物が、ペンタブロモジシラン(SiHBr)を含んでなる;
●Si含有フィルム形成組成物が、ペンタフルオロジシラン(SiHF)を含んでなる;
●Si含有フィルム形成組成物が、ペンタキス(ジメチルアミノ)ジシラン[SiH(NMe]を含んでなる;
●Si含有フィルム形成組成物が、SiClHを含んでなる;
●Si含有フィルム形成組成物が、SiHClを含んでなる;
●Si含有フィルム形成組成物が、SiHClを含んでなる;
●Si含有フィルム形成組成物が、SiIを含んでなる;
●Si含有フィルム形成組成物が、SiHMeIを含んでなる;
●Si含有フィルム形成組成物が、SiMeを含んでなる;
●Si含有フィルム形成組成物が、SiHCl3−x(CHCl)(式中、X=0〜3である)を含んでなる;
●Si含有フィルム形成組成物が、SiH(CHCl)を含んでなる;
●Si含有フィルム形成組成物が、SiHCl(CHCl)を含んでなる;
●Si含有フィルム形成組成物が、SiHCl(CHCl)を含んでなる;
●Si含有フィルム形成組成物が、SiCl(CHCl)を含んでなる;
●Si含有フィルム形成組成物が、式Si(式中、各Xは、独立して、Cl、Br、IまたはHである)を有するハロゲン化ケイ素を含んでなる;
●Si含有フィルム形成組成物が、ペンタクロロジシランを含んでなる;
●Si含有フィルム形成組成物が、ペンタブロモジシランを含んでなる;
●Si含有フィルム形成組成物が、ペンタヨードジシランを含んでなる;
●Si含有フィルム形成組成物が、ペンタフルオロジシランを含んでなる;
●Si含有フィルム形成組成物が、ヘキサクロロジシランを含んでなる;
●Si含有フィルム形成組成物が、ヘキサヨードジシランを含んでなる;
●Si含有フィルム形成組成物が、モノクロロジシランを含んでなる;
●Si含有フィルム形成組成物が、モノブロモジシランを含んでなる;
●Si含有フィルム形成組成物が、モノヨードジシランを含んでなる;
●Si含有フィルム形成組成物が、1,1−ジクロロジシラン[ClHSi−SiH]を含んでなる;
●Si含有フィルム形成組成物が、ジブロモジシラン[HBrSi−SiBrH]を含んでなる;
●Si含有フィルム形成組成物が、ジヨードジシラン[HISi−SiIH]を含んでなる;
●Si含有フィルム形成組成物が、ジクロロジシラン[HSi−SiHCl]を含んでなる;
●Si含有フィルム形成組成物が、ジブロモジシラン[HSi−SiHBr]を含んでなる;
●Si含有フィルム形成組成物が、ジヨードジシラン[HSi−SiHI]を含んでなる;
●Si含有フィルム形成組成物が、式XSi−CH−SiX(式中、各Xは、独立して、Cl、Br、IまたはHである)を有するハロゲン化ケイ素を含んでなる;
●Si含有フィルム形成組成物が、ビス(ジクロロシリル)メタン[(SiClHCH]を含んでなる;
●Si含有フィルム形成組成物が、モノクロロトリシラペンタン[HSi−CH−SiHCl]を含んでなる;
●Si含有フィルム形成組成物が、式XSi−CH−CH−SiX(式中、各Xは、独立して、Cl、Br、IまたはHである)を有するハロゲン化物を含んでなる;
●Si含有フィルム形成組成物が、式XSi−CH−SiX−CH−SiX(式中、各Xは、独立して、ClまたはHであるが、ただし少なくとも1つの末端Xは、Clを含んでなる)を有するハロゲン化ケイ素を含んでなる;
●Si含有フィルム形成組成物が、式ClSi−CH−SiCl−CH−SiClを有するハロゲン化ケイ素を含んでなる;
●Si含有フィルム形成組成物が、式HSi−CH−SiH−CH−SiClHを有するハロゲン化ケイ素を含んでなる;
●Si含有フィルム形成組成物が、式(−SiX−CH−)(式中、各Xは、独立して、Cl、BrまたはIである)を有するハロゲン化ケイ素を含んでなる;
●Si含有フィルム形成組成物が、式(−SiHX−CH−)(式中、各Xは、独立して、Cl、BrまたはIである)を有するハロゲン化ケイ素を含んでなる;
●Si含有フィルム形成組成物が、オクタクロロトリシラン(OCTS)を含んでなる;
●Si含有フィルム形成組成物が、デカクロロテトラシラン(SiCl10)を含んでなる;ならびに
●Si含有フィルム形成組成物が、ドデカクロロペンタシラン(DCPSまたはSiCl12)を含んでなる。
表記法および命名法
特定の略語、記号および用語は、次の明細および請求項全体で使用され、かつ次のものを含む。
本明細書で使用される場合、不定冠詞「a」または「an」は、1またはそれ以上を意味する。
本明細書で使用される場合、「およそ(approximately)」または「約(about)」という用語は、明記された値の±10%を意味する。
本明細書で使用される場合、「独立して」という用語は、R基を記載する関連で使用される場合、対象R基が、同一または異なる下付き文字または上付き文字を有する他のR基と比較して独立して選択されないのみならず、その同一R基のいずれの追加的種と比較しても独立して選択されることを示すと理解されるべきである。例えば、xが2または3である式MR (NR(4−x)において、2つまたは3つのR基は、互いに、またはRもしくはRと同一であってもよいが、同一である必要はない。さらに、他に特記されない限り、異なる式において使用される場合、R基の値は、互いに独立していることは理解されるべきである。
本明細書で使用される場合、「アルキル基」という用語は、炭素および水素原子のみを含有する飽和官能基を意味する。さらに、「アルキル基」という用語は、直鎖、分枝鎖または環式アルキル基を意味する。直鎖アルキル基の例としては、限定されないが、メチル基、エチル基、プロピル基、ブチル基などが含まれる。分岐鎖アルキル基の例としては、限定されないが、t−ブチルが含まれる。環式アルキル基の例としては、限定されないが、シクロプロピル基、シクロペンチル基、シクロヘキシル基などが含まれる。
本明細書で使用される場合、「Me」という略語は、メチル基を意味し;「Et」という略語は、エチル基を意味し;「Pr」という略語は、プロピル基を意味し;「iPr」という略語は、イソプロプル基を意味し;「Bu」という略語は、ブチル基を意味し;「tBu」という略語は、tert−ブチル基を意味し;かつ「sBu」という略語は、sec−ブチル基を意味する。
酸化ケイ素または窒化ケイ素などの析出されたフィルムまたは層が、それらの適切な化学量論(すなわち、SiO、SiO、Si)を参照せずに、明細書および請求項全体で列挙され得ることを留意されたい。層は、かつk、l、m、n、oおよびpが、包括的に1〜6の範囲である、純粋(Si)層、炭化物(Si)層、窒化物(Si)層、酸化物(Si)層またその混合物を含み得る。例えば、酸化ケイ素はSiであり、nが0.5〜1.5の範囲であり、mが1.5〜3.5の範囲である。より好ましくは、酸化ケイ素層は、SiOまたはSiOである。酸化ケイ素層は、Applied Materials,Inc.によるBlack Diamond IIまたはIII材料などの有機ベースまたは酸化ケイ素ベースの低k誘電体材料などの酸化ケイ素ベースの誘電体材料であってもよい。代わりに、いずれの参照されたケイ素含有層も、純粋なケイ素であってもよい。いずれのケイ素含有層も、B、C、P、Asおよび/またはGeなどのドーパントを含んでもよい。
本明細書に記載されるいずれかの、および全ての範囲は、「包括的」という用語が使用されているかどうかに関係なく、それらの終点を含む(すなわち、x=1〜4またはxは1〜4の範囲であるとは、x=1、x=4およびx=その間のいずれの数も含む)。
本明細書中、元素の周期表からの元素の標準的な略語が使用される。元素はこれらの略語によって示されてもよいことは理解されるべきである(例えば、Mnはマンガンを意味し、Siはケイ素を意味し、Cは炭素を意味する、など)。
本発明の性質および目的をさらに理解するために、添付の図面と一緒に、以下の詳細な説明が参照されるべきである。
本明細書に開示されるSi含有フィルム形成組成物デリバリーデバイスの一実施形態の側面図である。 本明細書に開示されるSi含有フィルム形成組成物デリバリーデバイスの第2の実施形態の側面図である。 実施例10以外の全ての実施例の試験のために使用される蒸着装置の概略図である。 図4aは、約400℃におけるPCDSの熱分解から得られるSiフィルムのエックス線光電子分光(XPS)深さ分布である。図4bは、約500℃におけるPCDSの熱分解から得られるSiフィルムのXPS深さ分布である。図4cは、約600℃におけるPCDSの熱分解から得られるSiフィルムのXPS深さ分布である。図4dは、約700℃におけるPCDSの熱分解から得られるSiフィルムのXPS深さ分布である。 図5aは、約400℃におけるHCDSの熱分解から得られるSiフィルムのXPS深さ分布である。図5bは、約500℃におけるHCDSの熱分解から得られるSiフィルムのXPS深さ分布である。図5cは、約600℃におけるHCDSの熱分解から得られるSiフィルムのXPS深さ分布である。図5dは、約700℃におけるHCDSの熱分解から得られるSiフィルムのXPS深さ分布である。 約500℃〜約700℃の範囲の温度に対するPCDS(円形)またはHCDS(三角形)およびオゾンを使用して析出されたSiOフィルムのオングストローム/サイクルの平均ALD析出レートのグラフである。 秒でのPCDSパルス持続時間に対する約60℃(正方形)または約550℃(ひし形)におけるPCDSおよびオゾンを使用するSiOフィルムのオングストローム/サイクルの平均ALD成長のグラフである。 図8aは、約500℃におけるSi基体上でのPCDSおよびオゾンを使用するALD析出から得られるSiOフィルムのXPS深さ分布である。図8bは、約600℃におけるSi基体上でのPCDSおよびオゾンを使用するALD析出から得られるSiOフィルムのXPS深さ分布である。図8cは、約650℃におけるSi基体上でのPCDSおよびオゾンを使用するALD析出から得られるSiOフィルムのXPS深さ分布である。図8dは、約700℃におけるSi基体上でのPCDSおよびオゾンを使用するALD析出から得られるSiOフィルムのXPS深さ分布である。 約600℃におけるPCDSおよびオゾンを使用する350ALDサイクルによる、40:1のアスペクト比を有するディープホールパターンウエハ上で析出されたSiOフィルムの段差被覆を示す走査電子顕微鏡(SEM)写真である。 図9aは、図9の構造の上部のSiO段差被覆を示す拡大SEM写真である。図9bは、図9の構造の中央部のSiO段差被覆を示す拡大SEM写真である。図9cは、図9の構造の底部のSiO段差被覆を示す拡大SEM写真である。 約50℃〜約100℃の範囲の温度に対するPCDS、ウエハおよびトリエチルアミン(TEA)を使用して析出されたSiOフィルムのオングストローム/サイクルの平均ALD析出レート(ひし形)および屈折率(正方形)のグラフである。 PCDS、ウエハおよびTEAを使用するALD析出から得られる酸化ケイ素フィルムのXPS深さ分布である。 約450℃〜約600℃の範囲の温度に対するPCDS(円形)およびHCDS(正方形)およびNHを使用して析出されたSiNフィルムのオングストローム/サイクルの平均CVD析出レート(白抜き)および屈折率(黒塗り)のグラフである。 約350℃〜約600℃の範囲の温度に対するPCDS(円形)、HCDS(正方形)およびオクタクロロトリシラン(三角形)およびNHを使用して析出されたSiNフィルムのオングストローム/サイクルの平均ALD析出レート(白抜き)および屈折率(黒塗り)のグラフである。 PCDSおよびNHを使用して、600℃において析出されたSiNフィルムのXPS深さ分布である。 約400℃におけるPCDSおよびトリメチルアルミニウムを使用するALD析出から得られるSiCフィルムのXPS深さ分布である。 Si供給源としてPCDS(正方形)またはHCDS(三角形)および炭素供給源としてトリメチルアルミニウム(TMA)を使用するALDによって析出されたSiCフィルムの測定位置に対するフィルムの厚さおよび屈折率を示すグラフである。 実施例10で使用されるプラズマ蒸着装置の概略図である。 275℃におけるペンタキス(ジメチルアミノ)ジシランおよびNプラズマを使用するALD析出から得られるSi(C)NフィルムのXPS深さ分布である。 秒での曝露時間に対するペンタキス(ジメチルアミノ)ジシランおよびNプラズマを使用して析出されたSi(C)Nフィルムのオングストローム/サイクルの平均ALD析出レート(正方形)および屈折率(円形)のグラフである。
基体上でのケイ素含有フィルムの析出プロセスが開示される。ケイ素含有フィルム形成組成物の蒸気は、基体を含有する反応器中に導入される。ケイ素含有フィルム形成組成物は、五置換ジシラン前駆体を含んでなる。五置換ジシラン前駆体の少なくとも一部を基体上に析出させ、ケイ素含有フィルムを形成する。
五置換ジシラン前駆体は、ペンタクロロジシラン(PCDSまたはSiHCl)、ペンタフルオロジシラン(SiHF)、ペンタブロモジシラン(SiHBr)あるいはペンタヨードジシラン(SiHI)であり得る。
ペンタクロロジシランは現在商業的に入手可能ではない。しかしながら、ペンタクロロジシランの製造方法は当該技術において知られている。1つの好ましい方法は、参照によってその全内容が本明細書に組み込まれる、2014年9月25日出願の特開2014−194723号公報に開示されている。より特に、PCDSは、トリクロロシランの合成において製造されたクロロシラン副産物からの蒸留によって単離され得る。対応するペンタハロジシランは、同一方法で合成され得る。ペンタハロジシランは、約95%w/w〜約100%w/w、好ましくは約99%w/w〜約100%w/wの範囲の純度まで、連続または分別バッチ蒸留によって精製され得る。当業者は、そのハロジシラン類似体からペンタヨードジシランを精製するために、蒸留塔が多数の理論段を必要とするであろうことを認識するであろう。
精製されたペンタハロジシラン中のヘキサハロジシランの濃度は、約0%w/w〜約5%w/w、好ましくは、約0%w/w〜約1%w/wの範囲であり得る。精製されたペンタハロジシラン中のOの濃度は、約0ppmw〜約50ppmw、好ましくは、約0ppmw〜約4ppmw、より好ましくは、約0ppmw〜約1ppmwの範囲であり得る。精製されたペンタハロジシランは、水を含有するべきではなく、0ppmwであり、さもなければこの2種は反応するであろう。精製されたペンタハロジシラン中のCrの濃度は、約0ppmw〜約25ppmwの範囲であり得る。精製されたペンタハロジシラン中のFeの濃度は、約0ppmw〜約100ppmwの範囲であり得る。精製されたペンタハロジシラン中のNaの濃度は、約0ppmw〜約50ppmwの範囲であり得る。精製されたペンタハロジシラン中のNiの濃度は、約0ppmwおよび約25ppmwの範囲であり得る。
あるいは、五置換ジシラン前駆体は、ペンタキス(ジメチルアミノ)ジシラン[PDMADS−SiH(NMe]であってもよい。PDMADSも現在商業的に入手可能ではない。しかしながら、それを合成する方法は、例えば、参照によってその全内容が本明細書に組み込まれる、Dussarratへの国際公開第2007/112780号パンフレットに開示されている。より特に、ペンタキス(ジメチルアミノ)クロロジシランは、−30℃〜50℃の温度において有機溶媒中でヘキサクロロジシランを5倍より多いモルのジメチルアミン(MeNH)と反応させることによって製造される。PDMADSは、水素化リチウムアルミニウムまたは水素化ホウ素ナトリウムを使用して、ペンタキス(ジメチルアミノ)クロロジシランの還元によって形成される。
PDMADSは、約95%w/w〜約100%w/w、好ましくは約99%w/w〜約100%w/wの範囲の純度まで、連続または分別バッチ蒸留によって精製され得る。当業者は、そのアミノシラン類似体からPDMADSを精製するために、蒸留塔が多数の理論段を必要とするであろうことを認識するであろう。
精製されたPDMADS中のヘキサ(ジメチルアミノ)ジシランの濃度は、約0%w/w〜約5%w/w、好ましくは、約0%w/w〜約1%w/wの範囲であり得る。精製されたPDMADS中のOの濃度は、約0ppmw〜約50ppmw、好ましくは、約0ppmw〜約4ppmw、より好ましくは、約0ppmw〜約1ppmwの範囲であり得る。PDMADS中に水が含まれることは不可能であり(0ppmw)、さもなければこの2種は反応するであろう。精製されたPDMADS中のCrの濃度は、約0ppmw〜約25ppmwの範囲であり得る。精製されたPDMADS中のFeの濃度は、約0ppmw〜約100ppmwの範囲であり得る。精製されたPDMADS中のNaの濃度は、約0ppmw〜約50ppmwの範囲であり得る。精製されたPDMADS中のNiの濃度は、約0ppmwおよび約25ppmwの範囲であり得る。
次の実施例で例示されるように、出願人は、驚くべきことに、ケイ素含有フィルム析出プロセスに五置換ジシランフィルム形成前駆体を使用することによって、HCDSまたはSi(NHMe)などの類似の六置換ジシランによって析出されたフィルムと比較して、より速いALD成長速度、および高純粋で有用なフィルムが析出される合理的なALD温度窓がもたらされることを見出した。出願人は、全ての配位子が同一である場合、六置換ジシランの1つの配位子をHで置換することにより、より反応性の分子が生じると考える(すなわち、SiCl→SiHClまたはSi(NMe→SiH(NMe)。五置換ジシランフィルム形成前駆体の反応性の増加は、それぞれ、HCDSおよびSi(NMeの場合、塩素またはジメチルアミノ配位子のより大きい原子径と比較して、水素配位子のより小さい原子径に起因し得る。より小さな径の水素配位子は、より少ない立体障害をもたらし得、したがって、基体上に、または以前に形成された層上により高い物理的または化学的吸着をもたらし、それによって、より速い成長挙動をもたらし得る。また、水素配位子は、五置換ジシランフィルム形成前駆体を、HCDSおよびSi(NMeより酸性にさせ得、それによって、より高い反応性をもたらし得る。また、反応性の増加は、対称分子から非対称分子への変化によってケイ素−ケイ素(Si−Si)結合をわずかに分極化する、Hによる六置換ジシランの1つの配位子の置換に起因し得る。
開示された基体上でのケイ素含有層を形成するためのプロセスは、半導体、光起電力、LCD−TFTまたフラットパネル型デバイスの製造において有用であり得る。開示されたSi含有フィルム形成組成物は、当業者に既知のいずれかの析出方法を使用して、ケイ素含有フィルムを析出させるために使用されてよい。適切な析出方法の例としては、化学蒸着(CVD)または原子層析出(ALD)が含まれる。例示的なCVD法としては、熱CVD、プラズマ強化CVD(PECVD)、パルスCVD(PCVD)、減圧CVD(LPCVD)、低大気圧CVD(SACVD)または大気圧CVD(APCVD)、熱線CVD(HWCVD、熱線が析出プロセスのためにエネルギー源の役割を果たす、cat−CVDとしても知られる)、ラジカル関与析出、超臨界流体析出およびそれらの組合せが含まれる。例示的なALD法としては、熱ALD、プラズマ強化ALD(PEALD)、空間的単離ALD、熱線ALD(HWALD)およびその組合せが含まれる。析出方法は、適切な段差被覆およびフィルム厚制御を提供するために、好ましくは、ALD、PE−ALDまたは空間的単離ALDである。
開示されたSi含有フィルム形成組成物は、五置換ジシラン前駆体のみを含んでなってもよい。あるいは、Si含有フィルム形成組成物は、トルエン、キシレン、メシチレン、デカン、ドデカンまたは他の適切な炭化水素などの溶媒をさらに含んでなってもよい。開示された前駆体は、溶媒中で様々な濃度で存在し得る。
開示されたSi含有フィルム形成組成物は、チューブおよび/またはフローメーターなどの従来の手段によって、蒸気の形態で反応器に導入される。組成物の蒸気形態は、直接蒸発、蒸留などの従来的な蒸発ステップを通して、あるいはバブリングによって生成され得る。組成物は、それが反応器に導入される前に、それが蒸発される蒸発器(直接液体注入または「DLI」)へと液体形態で供給されてもよい。あるいは、組成物は、組成物を含有する容器中にキャリア気体を通過させることによって、または組成物中にキャリア気体をバブリングすることによって蒸発されてもよい。キャリア気体としては、限定されないが、Ar、He、Nおよびその混合物が挙げられてよい。キャリア気体によるバブリングは、組成物に存在するいずれかの溶解酸素も除去し得る。次いで、キャリア気体および組成物は、蒸気として反応器に導入される。
必要であれば、開示されたSi含有フィルム形成組成物の容器は、組成物が十分な蒸気圧を有することを可能にする温度まで加熱されてもよい。容器は、例えば、約0℃〜約150℃度の範囲の温度で維持されてよい。当業者は、蒸発する組成物の量を制御するために、容器の温度は既知の方法で調整され得ることを認識する。
例えば、Si含有フィルム形成組成物は、開示されたSi含有フィルム形成組成物デリバリーデバイスを使用して、反応器に導入されてよい。図1および2は、開示されたデリバリーデバイス1の2つの実施形態を示す。
図1は、Si含有フィルム形成組成物デリバリーデバイス1の一実施形態の側面図である。図1中、開示されたSi含有フィルム形成組成物10は、2つの導管、入口導管30および出口導管40を有する容器20内に含まれる。前駆体技術における当業者は、容器20、入口導管30および出口導管40が、高温および高圧でもSi含有フィルム形成組成物10の気体形態の漏れを防ぐように製造されることを認識するであろう。
デリバリーデバイスは、密閉されるべきであり、かつ材料の放出を防ぐバルブが備えられるべきである。適切なバルブとしては、ばね懸架式または結合ダイアフラムバルブが含まれる。バルブは、制限フローオリフィス(RFO)をさらに含んでなってもよい。デリバリーデバイスは、気体マニフォールドまたはエンクロージャに連結されていてもよい。気体マニフォールドは、いずれの材料の残留量も反応しないように、デリバリーデバイスが置き換えられるときに空気に暴露され得る配管の安全な排気およびパージングを可能にすべきである。エンクロージャは、材料放出の場合に燃焼を制御するセンサーおよび燃焼制御能力が備えられていてもよい。気体マニフォールドは、単離バルブ、減圧発生器も備えるべきであり、かつ最小限でパージ気体の導入を可能にするべきである。
デリバリーデバイスは、上記で開示された気体キャビネット、ビアバルブ35および45などの、半導体プロセスツールの他の構成要素に流体的に連結する。好ましくは、デリバリーデバイス20、入口導管30、バルブ35、出口導管40およびバルブ45は、316L EPまたは304ステンレススチール製である。しかしながら、当業者は、本明細書中の教示において、他の非反応性材料も使用されてもよいこと、およびいずれの腐食性Si含有フィルム形成組成物10も、HastelloyまたはInconelなどのより腐食耐性の材料の使用を必要とし得ることを認識するであろう。
図1中、入口導管30の端部31は、Si含有フィルム形成組成物10の表面の上に位置し、それに対して、出口導管40の端部41は、Si含有フィルム形成組成物10の表面の下に位置する。本実施形態において、Si含有フィルム形成組成物10は、好ましくは、液体形態である。限定されないが、窒素、アルゴン、ヘリウムおよびその混合物を含む不活性気体が入口導管30に導入されてよい。液体Si含有フィルム形成組成物10が出口導管40を通って、そして(示されていない)半導体プロセスツールの構成要素に強制されるように、不活性気体がデリバリーデバイス20を加圧する。半導体プロセスツールは、修復されるウエハが位置し、かつ処理が蒸気相で生じるチャンバーに蒸気を送達するために、ヘリウム、アルゴン、窒素またはその混合物などのキャリア気体の使用の有無にかかわらず、液体Si含有フィルム形成組成物10を蒸気へと変換する蒸発器を含んでもよい。代わりに、液体Si含有フィルム形成組成物10は、ジェットまたはエーロゾルとしてウエハ表面に直接送達されてもよい。
図2は、Si含有フィルム形成組成物デリバリーデバイス1の第2の実施形態の側面図である。図2中、入口導管30の端部31は、Si含有フィルム形成組成物10の表面の下に位置し、それに対して、出口導管40の端部41は、Si含有フィルム形成組成物10の表面の上に位置する。図2は、Si含有フィルム形成組成物10の温度を増加させ得る任意の加熱エレメント25も含む。本実施形態において、Si含有フィルム形成組成物10は、固体または液体形態であり得る。限定されないが、窒素、アルゴン、ヘリウムおよびその混合物を含む不活性気体が入口導管30に導入される。Si含有フィルム形成組成物10を通して不活性気体をバブリングし、そして不活性気体および蒸発したSi含有フィルム形成組成物10の混合物は、出口導管40へ、そして半導体プロセスツールの構成要素へ運ばれる。
図1および2は、両方とも、バルブ35および45を含む。当業者は、バルブ35および45が、それぞれ、導管30および40を通して流動させるために、開または閉位置に配置され得ることを認識するであろう。図1および2中のいずれのデリバリーデバイス1、あるいは存在するいずれかの固体または液体の表面上で単一導管端部を有するより単純なデリバリーデバイスは、Si含有フィルム形成組成物10が蒸気形態にある場合、または固体/液体相上の十分な蒸気圧が存在する場合、使用されてよい。この場合、Si含有フィルム形成組成物10は、それぞれ、図1のバルブ35または図2の45を単に開放することによって、導管30または40を通して蒸気形態で送達される。デリバリーデバイス1は、例えば、任意の加熱エレメント25の使用によって、Si含有フィルム形成組成物10が蒸気形態で送達されるために十分な蒸気圧を提供するために適切な温度に維持され得る。
図1および2は、Si含有フィルム形成組成物デリバリーデバイス1の2つの実施形態を開示するが、当業者は、入口導管30および出口導管40は、本明細書の開示から逸脱することなく、Si含有フィルム形成組成物10の表面の上または下の両方に位置し得ることを認識するであろう。さらに、入口導管30は、充てんポートであってもよい。最終的に、当業者は、開示されたSi含有フィルム形成組成物が、本明細書の教示から逸脱することなく、Jurcikらへの国際公開第2006/059187号パンフレットに開示されたアンプルなどの他のデリバリーデバイスを使用して半導体プロセスツールに送達されてもよいことを認識するであろう。
開示されたSi含有フィルム形成組成物の蒸気は、半導体、光起電力、LCD−TFT、フラットパネル型デバイス、耐火材料または航空部品の反応器に送達される。反応器は、限定されないが、パラレルプレート型反応器、冷壁型反応器、熱壁型反応器、シングルウエハ反応器、マルチウエハ(すなわち、バッチ式)反応器、または前駆体が反応して層を形成するために適切な他のそのような種類の析出システムなどの蒸着法が実行されるデバイスのいずれかのエンクロージャまたはチャンバーであってよい。これらの全ての例示的な反応チャンバーは、ALDおよび/またはCVD反応器として使用することができる。
一般に、反応器は、フィルムが析出されるであろう1つまたはそれ以上の基体を含有する。基体は、一般に、プロセスが実行される材料として定義される。基体は、半導体、光起電力、フラットパネルまたはLCD−TFTデバイス製造において使用されるいずれかの適切な基体であってよい。適切な基体の例としては、ケイ素、シリカ、ガラスまたはGaAsウエハなどのウエハが含まれる。ウエハは、以前の製造ステップから析出された異なる材料の1つまたはそれ以上の層をその上に有していてもよい。例えば、ウエハは、窒化ケイ素層、酸窒化ケイ素層、炭素ドープされた酸化ケイ素(SiCOH)層またはその組合せを含んでよい。さらに、ウエハとしては、銅層、タングステン層または貴金属層(例えば、白金、パラジウム、ロジウムまたは金)を含んでもよい。ポリ(3,4−エチレンジオキシチオフェン)ポリ(スチレンスルホネート)[PEDOT:PSS]などのプラスチック層も使用されてよい。この層は、平面であってもよく、またはパターン化されていてもよい。層は、その中に形成されるホールまたはトレンチが得られるプロセスを受けてもよい。ホールおよびトレンチは、10:1〜100:1の範囲のアスペクト比を有し得る。開示されたプロセスは、ウエハ上に直接、(パターン化された層が基体を形成する場合)ウエハの上部の層の1つまたはそれ以上の層上に直接、そして/またはホールおよびトレンチ中にケイ素含有層を析出させ得る。明細書および請求の範囲を通して、ウエハおよびその上のいずれの関連層も基体と呼ばれる。例えば、Cuフィルムは、SiC層上に析出されてよい。次のプロセスにおいて、SiC層がCu層上に析出されて、配線工程(back end of the line)(BEOL)においてダマスク構造に使用されるSiC/Cu/SiC積層体が形成され得る。
反応器内の温度および圧力は、蒸着に適切な条件において保持される。換言すれば、蒸発した組成物をチャンバーに導入した後、チャンバー内の条件は、五置換ジシラン前駆体の少なくとも一部が基体上に析出され、ケイ素含有層が形成されるようなものである。例えば、反応器中の圧力は、析出パラメータあたりに必要とされる、約1Pa〜約10Pa、より好ましくは、約25Pa〜約10Paに維持され得る。同様に、反応器中の温度は、約100℃〜約800℃に維持され得る。当業者は、「五置換ジシラン前駆体の少なくとも一部が析出する」とは、前駆体のいくつか、または全てが基体と反応するか、あるいは基体に接着することを意味することを認識するであろう。
反応器の温度は、基体ホルダーの温度を制御するか、または反応器壁部の温度を制御することによって制御され得る。基体を加熱するために使用されるデバイスは、当該技術において既知である。反応器壁部は、十分な成長速度で、そして望ましい物理的状態および組成の望ましいフィルムを得るために十分な温度まで加熱される。反応器壁部が加熱され得る非限定的な例示的温度範囲には、約室温(20℃)〜約800℃が含まれる。プラズマ析出プロセスが利用される場合、析出温度は、約室温(20℃)〜約400℃の範囲であってよい。代わりに、オゾンが反応物として使用される場合、析出温度は、約100℃〜約400℃の範囲であってよい。別の選択肢において、熱プロセスが実行される場合、析出温度は、約450℃〜約800℃の範囲であってよい。
開示されたSi含有フィルム形成組成物に加えて、反応物も反応器に導入されてよい。反応物は、O、O、HO、H、NO、NO、NOの1種などの酸素含有気体;O・またはOH・、NO、NOなどの酸素含有ラジカル;カルボン酸、ギ酸、酢酸、プロピオン酸;およびその混合物であり得る。典型的に、酸素含有気体は、O、O、HO、H、O・またはOH・などのその酸素含有ラジカル、ならびにその混合物からなる群から選択される。より典型的には、酸素含有気体は、O、O、Oプラズマ、またはその組合わせである。好ましくは、酸素含有気体は、酸素およびオゾンの混合物である。この混合物は、好ましくは、少なくとも5%v/vのオゾンおよびより好ましくは、7.2%v/vのオゾンを含有する。
反応物は、反応物をその酸素含有ラジカル型に分解するために、プラズマによって処理されてもよい。例えば、プラズマは、約50W〜約500W、好ましくは、約100W〜約200Wのパワーで発生され得る。プラズマは、発生され得るか、またそれ自体、反応器内で存在し得る。代わりに、プラズマは、一般に、反応器から取り外された位置、例えば遠位プラズマシステムに存在し得る。当業者は、そのようなプラズマ処理に適切な方法および装置を認識するであろう。
例えば、反応物は、反応チャンバーでプラズマ処理された反応物を製造するために、反応チャンバー中でプラズマを発生する直接プラズマ反応器中に導入されてもよい。例示的な直接プラズマ反応器には、Trion Technologies製のTitan(商標)PECVD Systemが含まれる。プラズマ処理の前に反応物が導入され、そして反応チャンバーに保持されてもよい。代わりに、プラズマ処理は、反応物の導入と同時に生じてもよい。その場プラズマは、典型的に、シャワーヘッドおよび基体ホルダーの間に発生する、13.56MHz RF誘導結合プラズマである。基体またはシャワーヘッドは、陽イオン衝撃が生じるかどうかに依存して、電源電極であり得る。その場プラズマ発生器中の典型的に適用されたパワーは、約30W〜約1000Wである。好ましくは、開示された方法において、約30W〜約600Wまでのパワーが使用される。より好ましくは、パワーは、約100W〜約500Wの範囲である。その場プラズマを使用する反応物の非会合は、同一パワーインプットに関して遠隔プラズマ供給源を使用して達成されるより典型的に低く、したがって、プラズマによって容易に損傷を与えられる基体上のSi含有フィルムの析出に有益であり得る遠隔プラズマシステムほど反応物非会合において効率的ではない。
代わりに、プラズマ処理された反応物は、反応チャンバーの外部で製造され得る。MKS InstrumentsのASTRONi(登録商標)反応性気体発生器は、反応チャンバー中の通過の前に反応物を処理するために使用されてよい。2.45GHz、7kWプラズマパワーおよび約3トル〜約10トルの範囲の圧力で操作される場合、反応物Oは、2つのO・ラジカルへと分解され得る。好ましくは、約1kW〜約10kWの範囲、より好ましくは、約2.5kW〜約7.5kWの範囲のパワーを用いて遠隔プラズマが発生され得る。
得られる酸化ケイ素フィルムは、コンデンサおよび絶縁層として使用され得る。
酸化ケイ素は、ALDまたはCVDプロセスにおいてペンタキス(ジメチルアミノ)ジシランおよび酸素含有気体を使用して析出されてもよい。このプロセスは、オゾン反応物を使用する場合、約100℃〜約400℃の範囲の温度における熱ALDプロセスであってよい。代わりに、このプロセスは、約室温〜400℃の範囲の温度におけるプラズマ強化ALDプロセスであってよい。ペンタキス(ジメチルアミノ)ジシラン前駆体を使用する酸化ケイ素フィルムのALD析出は、約1オングストローム/サイクル程度の析出レートを生じることが予想される。ほとんどのアルキルアミノモノシランは、典型的に約0.5オングストローム/サイクルより低い析出レートを示す。
実施例において例示されるように、酸化ケイ素は、ALDまたはCVDプロセスにおいてペンタクロロジシランおよび酸素含有気体を使用して、高温で析出されてもよい。圧力は、約0.75トル〜約1.25トルの範囲であってよい。温度は、約500℃〜約800℃、好ましくは、約500℃〜約600℃の範囲であり得る。高温酸化ケイ素フィルム析出は、高密度、低いウェットエッチレートおよび低い汚染物質レベル、例えば、10〜1017原子/cmの範囲の金属不純物を有するフィルムを製造するために重要である。ペンタクロロジシラン前駆体およびオゾン/酸素混合物を使用する酸化ケイ素フィルムのALD析出は、約0.4オングストローム/サイクル〜約1.5オングストローム/サイクルの範囲の析出レートを生じることが予想される。実際に、実施例2〜5に示すように、ペンタクロロジシランおよび約7.2%v/vのオゾン/酸素混合物を使用するALD析出は、約0.75オングストローム/サイクル〜約1オングストローム/サイクルの範囲の析出レートにおいて、約550℃〜約650℃の範囲の温度において、自己制限成長を示した。結果として生じるフィルムは、窒素または塩素汚染がわずかであるか、または汚染がなかった。結果として生じる酸化ケイ素フィルムは、好ましくは、約0原子%〜約1原子%の窒素を含有する。結果として生じる酸化ケイ素フィルムは、また、約0原子%〜約1原子%の塩素を含有する。出願人は、プロセス条件の最適化によって、約10:1〜約100:1の範囲のアスペクト比を有するホールまたはトレンチを有する基体上での約80%〜約100%の段差被覆を有する酸化ケイ素フィルムの析出を生じるであろうと考える。
あるいは、反応物は、H、HCO、NH、SiH、Si、Si、(CHSiH、(CSiH、(CH)SiH、(C)SiH、フェニルシラン、N、N(SiH、N(CH)H、N(C)H、N(CHH、N(CH、N(CH、N(C、(SiMeNH、(CH)HNNH、(CHNNH、フェニルヒドラジン、N含有分子、B、9−ボラビシクロ[3,3,1]ノナン、ジヒドロベンゾフラン、ピラゾリン、トリメチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛、そのラジカル種およびその混合物の1つなどの還元気体であってもよい。好ましくは、還元気体は、H、NH、SiH、Si、Si、SiHMe、SiHEt、N(SiH、その水素ラジカルまたはその混合物である。当業者は、還元気体の使用が、非晶質ケイ素または多ケイ素(多結晶質ケイ素としても知られる)などの元素ケイ素フィルムの析出のために好ましいことを認識するであろう。
例えば、実施例1で例示されるように、約550℃〜約800℃の範囲の温度および約0.1トル〜約100トルの範囲の圧力に設定された反応器中にSiClHの蒸気を導入することによって、基体上にケイ素フィルムが析出され得る。Ar、Ne、Heなどの不活性気体も反応器に導入されてよい。上記で開示された還元気体のいずれも、好ましくは、Hも反応器に導入されてよい。結果として生じるSiフィルムは、非晶質ケイ素または多ケイ素であり得る。出願人は、結果として生じるSiフィルムが、約0原子%〜5原子%のC;約0原子%〜1原子%のN;および約0原子%〜1原子%のClを含有するであろうと考える。出願人は、さらに、プロセス条件の最適化によって、約10:1〜約100:1の範囲のアスペクト比を有するホールまたはトレンチを有する基体上での約80%〜約100%の段差被覆を有するケイ素フィルムの析出を生じるであろうと考える。
別の選択肢において、反応物は、NH、N、N(SiH、N(CH)H、N(C)H、N(CHH、N(CH、N(CH、N(C、(SiMeNH、(CH)HNNH、(CHNNH、その窒素含有ラジカル種およびその混合物の1つなどの窒素含有反応物であってもよい。当業者は、窒素含有気体の使用が、窒化ケイ素または炭窒化ケイ素フィルムの析出のために好ましいことを認識するであろう。
酸化気体反応物に関して上記で開示されるように、還元気体または窒素含有反応物も、反応物をそのラジカル型に分解するために、プラズマによって処理されてよい。プラズマで処理される場合、Nも還元気体として利用され得、かつ遠隔プラズマプロセスにおいては、NおよびHのブレンドが使用されてもよい。プラズマは、上記においてさらに詳細に記載されたように、その場または遠位において発生し得る。
例えば、実施例において例示されるように、窒化ケイ素フィルムは、ALDまたはCVDプロセスにおいてペンタクロロジシランおよびN含有気体を使用して、中間範囲温度において析出されてもよい。圧力は、約0.1トル〜約100トル、好ましくは、約4.75トル〜約5.25トルの範囲であり得る。温度は、約350℃〜約650℃、好ましくは、約450℃〜約650℃、より好ましくは、約550℃〜約600℃の範囲であり得る。下の基体が、損傷を受けることなく、より高いプロセス温度に耐えることが可能であり得ないため、この中間範囲温度は、窒化ケイ素析出プロセスに関して重要である。実際に、実施例8に示すように、ペンタクロロジシランおよびアンモニア反応物を使用する熱ALD析出は、約0.3オングストローム/サイクル〜約2オングストローム/サイクル、好ましくは、約1オングストローム/サイクル〜約2オングストローム/サイクルの範囲の成長速度において、約1.7〜約2.2、好ましくは、約1.8〜約2.1、より好ましくは、約2.0〜約2.1の屈折率を有する窒化ケイ素フィルムを生じる。純粋な窒化ケイ素の屈折率は2.0である。酸素の取り込みまたは多孔性フィルムの析出は、屈折率を低下させ、そして過剰量のケイ素は、屈折率を増加させるであろう。実施例8および図14に示すように、結果として生じるフィルムは、炭素をわずかに有したか、または全く有さず、かつ酸素および塩素汚染の痕跡量を有した。窒化ケイ素フィルムは、約0原子%〜約5原子%の炭素、好ましくは、約0原子%〜約2.5原子%の炭素;より好ましくは、約0原子%〜約1原子%の炭素を含有し得る。窒化ケイ素フィルムは、約0.1原子%〜約1原子%の酸素も含有し得る。最終的に、窒化ケイ素フィルムは、約0.1原子%〜約1原子%の塩素を含有し得る。出願人は、さらに、プロセス条件の最適化によって、約10:1〜約100:1の範囲のアスペクト比を有するホールまたはトレンチを有する基体上での約80%〜約100%の段差被覆を有する窒化ケイ素フィルムの析出を生じるであろうと考える。
開示された五置換ジシランおよび窒素含有反応物を使用して製造された窒化ケイ素フィルムは、フィンダブルパターン化プロセスのスペーサーとして、デュアルEpiサイドウォールのスペーサーとして、接触エッチストップ層として、またはFinFETにおける自己配列接触のためのキャッピング層として使用されてもよい。フィンダブルパターン化プロセスのスペーサーとして使用される場合、窒化ケイ素の成長速度は、パターンローディング効果を示さない(成長速度は、基体の平坦領域と比較して、密集特徴においてより低い)。デュアルEpiサイドウォールのスペーサーとして、または接触エッチストップ層として使用される場合、窒化ケイ素フィルムは、低い誘電率(約3〜約6、好ましくは、約4.5〜約5.5)および低いHFウェットエッチレート(室温において1%HFに関して0Ang/秒〜10Ang/秒)を有する。
開示された五置換ジシランおよび窒素含有反応物を使用して製造された窒化ケイ素フィルムは、ドラム製造においても使用されてよい。より特に、窒化ケイ素層は、ドラムスペーサー、Buried Word Line(BWL)窒化物キャップ、ビットラインスペーサーまたはコンデンサ用の低kエッチストップ層(ESL)として使用され得る。
開示された五置換ジシランおよび窒素含有反応物を使用して製造された窒化ケイ素層は、3D NAND製造において窒化物トラップ層として使用されてもよい。窒化物トラップ層は、シームを有するべきではなく、かつ低い誘電率(約3〜約6、好ましくは、約4.5〜約5.5)を有するべきである。
開示された五置換ジシランおよび窒素含有反応物を使用して製造された窒化ケイ素層は、MRAM製造におけるカプセル化のために使用されてもよい。フィルムは、低温(約200℃〜約500℃、好ましくは、約275℃〜約350℃)において析出される必要があり、かつハロゲン化物を含んではならない(約0.1原子%〜約1原子%のハロゲン化物を含有する)。PEALDは、特に、これらの用途に適している。
開示された五置換ジシランおよび窒素含有反応物を使用して製造された窒化ケイ素層は、先進的インタコネクト製造においてエアギャップラインとしても使用されてよい。エアギャップラインは、低温(約200℃〜約500℃、好ましくは、約275℃〜約350℃)において析出される必要があり、低い誘電率(約3〜約6、好ましくは、約4.5〜約5.5)を有し、低いウェットエッチレート(室温において1%HFに関して0Ang/秒〜10Ang/秒)を有し、かつハロゲン化物を含んではならない(約0.1原子%〜約1原子%のハロゲン化物を含有する)。
実施例10に示されるように、炭素ドープされた窒化ケイ素も、低温プラズマ強化ALDプロセスにおいて、ペンタキス(ジメチルアミノ)ジシランなどの五置換ジシランおよび窒素含有反応物を使用して析出され得る。好ましくは、窒素含有反応物は、NH、NまたはNおよびHの組合せである。NおよびHの組合せにおけるH濃度は、約0%体積/体積〜約70%体積/体積の範囲であり得る。例えば、N:Hの比率は1:1であり得る。プロセス温度は、約100℃〜約350℃の範囲であり得る。炭素ドープされた窒化ケイ素フィルムにおける炭素濃度は、約1原子%〜約15原子%の範囲であり得る。
別の選択肢において、反応物は、炭素ドープされた窒化ケイ素フィルムを製造するために、アミン、アルキルアミノシランまたはジシラザンであってよい。例示的なアミンとしては、アンモニアが含まれる。例示的なアルキルアミノシランとしては、ビス(ジエチルアミノ)シランまたはトリス(ジメチルアミノ)シランが含まれる。例示的なジシラザンとしては、ヘキサメチルジシラザンが含まれる。炭素ドープされた窒化ケイ素フィルムは、好ましくは、約2原子%〜約15原子%の範囲の炭素濃度、約10原子%〜約50原子%の範囲の窒素濃度および約0原子%〜約5原子%の範囲の酸素濃度を有する。
別の選択肢において、反応物は、アルキル置換金属またはメタロイドであってもよい。アルキル置換金属またはメタロイドは、各Rが、独立して、H、Me、Et、nPr、iPr、nBu、iBuまたはNRである、式AlRを有し得、R=Hである場合、分子は、アミンまたはホウ化水素で付加され得る。例えば、アルキル置換金属またはメタロイドは、トリエチルアルミニウム、トリメチルアルミニウムまたはAlH・NHである。あるいは、アルキル置換金属またはメタロイドは、各Rが、独立して、アルキルまたはアリル基である、式BRを有し得る。例えば、アルキル置換金属またはメタロイドは、トリエチルホウ素またはトリメチルホウ素であり得る。あるいは、アルキル置換金属またはメタロイドは、各Rが、独立して、H、Me、Et、nPr、iPr、nBuもしくはiBuまたはNRである、式AlClRを有し得る。別の選択肢において、アルキル置換金属またはメタロイドは、Xが、Cl、BrまたはIであり、かつ各Rが、独立して、アルキルまたはアリル基である、式BXRを有し得る。さらに別の選択肢において、アルキル置換金属またはメタロイドは、各Rが、独立して、Me、Et、nPr、iPr、nBuまたはiBuである、式ZnRを有する。別の選択肢において、アルキル置換金属またはメタロイドは、各Rが、独立して、Me、Et、nPr、iPr、nBu、iBuまたはNRである、式GaRを有する。例えば、アルキル置換金属またはメタロイドは、トリメチルガリウムである。さらに別の選択肢において、アルキル置換金属またはメタロイドは、各Rが、独立して、Me、Et、nPr、iPr、nBu、iBuまたはNRである、式InRを有する。
実施例9に示されるように、五置換ジシランおよびアルキル置換金属またはメタロイドは、ALDプロセスを使用する炭化ケイ素フィルムの析出のために使用されてもよい。しかしながら、開示された五置換ジシランに加えて、出願人は、ハロゲン化物が、揮発性ハロゲン化物を形成する金属またはメタロイドと反応するため、前駆体が少なくとも1種のハロゲン化物を含有することを条件として、いずれのハロゲン化ケイ素前駆体も、このプロセスにおいて有用であり得ると考える。
炭化ケイ素フィルムのALD析出のために適切であり得る追加的なハロゲン化ケイ素としては、各Xが、独立して、Cl、Br、I、HまたはRであり、Rが脂肪族基である、式SiXを有する、ハロゲン化ケイ素が含まれる。例示的なハロゲン化ケイ素としては、SiClH、SiHCl、SiHCl、SiI、SiHMeIまたはSiMeが含まれる。別の選択肢において、ハロゲン化ケイ素は、各Xが、独立して、Cl、Br、IまたはHである、式Siを有し得る。例示的なハロゲン化ケイ素としては、ペンタクロロジシラン、ヘキサクロロジシラン、ヘキサヨードジシラン、モノクロロジシラン、モノブロモジシラン、モノヨードジシラン、ジクロロジシラン[HClSi−SiClH]、ジブロモジシラン[HBrSi−SiBrH]、ジヨードジシラン[HISi−SiIH]、ジクロロジシラン[HSi−SiHCl]、ジブロモジシラン[HSi−SiHBr]またはジヨードジシラン[HSi−SiHI]が含まれる。別の選択肢において、ハロゲン化ケイ素は、ビス(トリクロロシリル)メタン[ClSi−CH−SiCl]またはビス(ジクロロシリル)メタン[(SiClHCH]などの、各Xが、独立して、Cl、Br、IまたはHである、式XSi−CH−SiXを有し得る。別の選択肢において、ハロゲン化ケイ素は、ClSi−CH−CH−SiClなどの、各Xが、独立して、Cl、Br、IまたはHである、式XSi−CH−CH−SiXを有し得る。別の選択肢において、ハロゲン化ケイ素は、少なくとも1つの末端XがClであるという条件で、各Xが、独立して、ClまたはHである、式XSi−CH−SiX−CH−SiXを有し得る。例示的なハロゲン化ケイ素としては、ClSi−CH−SiCl−CH−SiClまたはHSi−CH−SiH−CH−SiClHが含まれる。別の選択肢において、ハロゲン化ケイ素は、(−SiCl−CH−)などの、各Xが、独立して、Cl、BrまたはIである、環式の式(−SiX−CH−)を有し得る。別の選択肢において、ハロゲン化ケイ素は、(−SiHCl−CH−)などの、各Xが、独立して、Cl、Br、またはIである、環式の式(−SiHX−CH−)を有し得る。ハロゲン化ケイ素は、オクタクロロトリシラン(OCTSまたはSiCl)、デカクロロテトラシラン(SiCl10)またはドデカクロロペンタシラン(DCPSもしくはSiCl12)であってもよい。これらのハロゲン化ケイ素は、商業的に入手可能であるか、または当該技術において知られている方法によって合成され得る。
チャンバー内の蒸着条件は、開示された前駆体および反応物を反応させて、そして基体上にケイ素含有フィルムを形成させる。いくつかの実施形態において、出願人は、反応物をプラズマ処理することは、開示された前駆体と反応するために必要なエネルギーを反応物に提供し得ると考える。
いずれの種類のフィルムが析出されることが望ましいかによって、追加の前駆体化合物が反応器に導入されてよい。前駆体は、ケイ素含有フィルムに追加元素を提供するために使用されてもよい。追加元素は、ランタニド(イッテルビウム、エルビウム、ジスプロシウム、ガドリニウム、プラセオジム、セリウム、ランタン、イットリウム)、ゲルマニウム、ケイ素、チタン、マンガン、ルテニウム、ビスマス、鉛、マグネシウム、アルミニウム、またはこれらの混合物を含み得る。追加の前駆体化合物が利用される場合、基体上に析出された結果のフィルムは、少なくとも1つの追加元素と一緒にケイ素を含有する。
Si含有フィルム形成組成物および反応物は、同時(化学蒸着)、経時的(原子層析出)またはその異なる組合わせで反応器に導入されてよい。反応器は、組成物の導入および反応物の導入の間に不活性気体でパージされてもよい。あるいは、反応物および組成物は、反応物/組成物混合物を形成するために一緒に混合され、次いで、混合物の形態で反応器に導入されてもよい。別の例は、連続的に反応物を導入すること、そしてパルスによってSi含有フィルム形成組成物を導入することである(パルス化学蒸着)。
蒸発されたSi含有フィルム形成組成物および反応物は、反応器中に経時的または同時(例えば、パルスCVD)にパルスされてもよい。組成物の各パルスは、約0.01秒〜約10秒、あるいは約0.3秒〜約3秒、あるいは約0.5秒〜約2秒の範囲の期間、継続されてよい。別の実施形態において、反応物は、反応器中にパルスされてもよい。このような実施形態において、各気体のパルスは、約0.01秒〜約10秒、あるいは約0.3秒〜約3秒、あるいは約0.5秒〜約2秒の範囲の期間、継続されてよい。別の選択肢において、蒸発された組成物および1種またはそれ以上の反応物は、その下で、いくつかのウエハを保持するサセプターがスピンするシャワーヘッドから同時にスプレーされてもよい(空間的ALD)。
特定のプロセスパラメーター次第で、析出は、様々な時間で実行されてよい。一般に、析出は、必要な特性を有するフィルムを形成するために望ましい、または必要である限り、継続されてよい。典型的なフィルムの厚さは、特定の析出プロセス次第で、数オングストローム〜数百ミクロンまで変動し得る。析出プロセスは、所望のフィルムを入手するために必要な回数で実行されてもよい。
1つの非限定的な例示的CVD型プロセスにおいて、開示されたSi含有フィルム形成組成物の蒸気相および反応物は、同時に反応器に導入される。2つは反応して、結果としてケイ素含有フィルムが形成する。この例示的なCVDプロセスにおいて反応物がプラズマ処理される場合、例示的なCVDプロセスは、例示的PECVDプロセスになる。反応物は、チャンバー中への導入の前または後にプラズマ処理されてよい。
1つの非限定的な例示的ALD型プロセスにおいて、開示されたSi含有フィルム形成組成物の蒸気相は反応器中に導入され、そこで適切な基体と接触する。次いで、過剰量の組成物は、反応器をパージして、および/または排気することによって反応器から除去され得る。望ましい気体(例えば、H)を反応器中に導入し、そこで自己制限様式で、化学または物理吸着した前駆体と反応する。いずれの過剰量の還元気体も、反応器をパージして、および/または排気することによって反応器から除去される。望ましいフィルムがSiフィルムである場合、この2ステッププロセスは、望ましいフィルムの厚さを提供し得るか、または必要な厚さを有するフィルムが得られるまで繰り返されてもよい。
あるいは、望ましいフィルムが、ケイ素および第2の元素を含有する場合、上記の2ステッププロセスは、その後、反応器中への追加の前駆体化合物の蒸気の導入が実行され得る。追加の前駆体化合物は、析出されるケイ素含有フィルムの特性次第で選択されるであろう。反応器中への導入後、追加の前駆体化合物は基体と接触する。いずれの過剰量の組成物も、反応器をパージして、および/または排気することによって反応器から除去される。再び、望ましい気体を反応器中に導入し、物理または化学吸着した前駆体化合物と反応させてよい。過剰量の気体は、反応器をパージして、および/または排気することによって反応器から除去される。望ましいフィルムの厚さに達成したら、プロセスを終了してよい。しかしながら、より厚いフィルムが望ましい場合、全4ステップのプロセスを繰り返してよい。Si含有フィルム形成組成物、追加の前駆体化合物および反応物の条件を変更することによって、望ましい組成物および厚さのフィルムを析出することができる。
この例示的なALDプロセスにおいて、反応物がプラズマ処理される場合、例示的なALDプロセスは例示的PEALDプロセスになる。反応物は、チャンバー中への導入の前または後にプラズマ処理されてよい。
上記プロセスから得られるケイ素含有フィルムは、ケイ素、酸化ケイ素、窒化ケイ素、炭化ケイ素および炭素ドープされた窒化ケイ素フィルムを含み得る。当業者は、適切なSi含有フィルム形成組成物、任意の前駆体化合物および反応物種の適切な選択によって、望ましいフィルム組成物が入手され得ることを認識するであろう。
望ましいフィルムの厚さが得られたら、フィルムは、熱的焼き鈍し、燃焼室焼き鈍し、急速熱的焼き鈍し、UVまたはeビーム硬化および/またはプラズマ気体暴露などのさらなるプロセスを受けてもよい。当業者は、これらの追加的プロセスステップを実行するために利用されるシステムおよび方法を認識する。例えば、ケイ素含有フィルムは、不活性雰囲気、H含有雰囲気、N含有雰囲気、O含有雰囲気またはその組合わせの下、約0.1秒〜約7200秒の範囲の期間、約200℃および約1000℃の範囲の温度に暴露されてよい。最も好ましくは、温度は、H含有雰囲気またはO含有雰囲気下、3600秒で400℃である。あるいは、プロセスが、ケイ素含有化合物の分解温度未満の温度で実行されることを条件として、Si含有雰囲気下で焼き鈍しが実行されてもよい。ケイ素含有雰囲気を形成するために使用され得る例示的なケイ素含有分子としては、SiH、Si、MeSiH、MeSiH、アミノシラン、イソシアナトシラン(R−Si−NCO)、例えば、テトラ(イソシアナト)シラン(TICS)が含まれる。結果として生じるフィルムは、より少ない不純物を含有し得、したがって、改善された密度を有し、それによって、改善されたリーク電流が生じる。焼き鈍しステップは、析出プロセスが実行されるものと同じ反応チャンバー中で実行されてよい。代わりに、基体を反応チャンバーから取り出し、焼き鈍し/フラッシュ焼き鈍しプロセスが別の装置で行なわれてもよい。上記の後処理方法のいずれも、特に熱的焼き鈍しは、フィルムを高密度化し、かつウェットエッチレートを減少させるために効果的であることが見出された。これは、次いで、フィルムの抵抗率を改善する傾向がある。
次の実施例は、本明細書の開示と関連して実行される実験を例証する。実施例は、包括的であるように意図されず、かつ本明細書に記載される開示の範囲を限定するように意図されない。
実施例1:ペンタクロロジシラン[PCDSまたはSiHCl]の熱分解試験
図3は、次の試験のために使用された析出装置の概略図である。装置は、基体クーポン105を含有する中空管反応器100を含む。ポンプ110によって、含有物を中空管反応器100から取り出す。
開示されたSi含有フィルム形成組成物の蒸気は、ライン201を経由してデリバリーデバイス200から中空管反応器100まで導入される。Nなどの不活性気体205は、ライン206を経由してデリバリーデバイス200に送達される。不活性気体205は、ライン207を経由して反応器100にも送達されてよい。
酸化気体は、ライン301を経由してデリバリーデバイス300から中空管反応器100まで導入され得る。酸化気体がオゾンである場合、ライン301は、オゾン発生器303およびオゾンモニター304を含み得る。酸化気体は、排気311に送達されてもよい。
窒素含有気体は、ライン401を経由してデリバリーデバイス400から中空管反応器100まで導入され得る。
当業者は、ライン201、206、207、301および401が、複数の圧力ゲージ、チェックバルブ、バルブおよび圧力調節器を含み得ること、ならびに圧力調節またはバイパス流のための追加のラインは、図面の簡略化のために含まれないことを認識するであろう。
PCDSの熱分解挙動を試験するために、熱分解試験を、4つの異なる温度(400、500、600および700℃)において、30分間、SiO基体またはクーポン上で、図3の析出装置において実行した。熱壁管反応器を1トルに保持し、キャリア気体として50sccmのNを使用して、反応チャンバー中へPCDSの4sccmの連続流を用いた。熱分解は、反応物のない化学蒸着を模倣し、そして前駆体が自己分解する温度を例証する。
図4a、b、cおよびdは、結果として生じるSiフィルムのX線光電子分光法(XPS)深さ分布である。図4aおよびbから見ることができるように、ケイ素層が形成されないため、PCDSの熱分解は生じず、試験によって最初のSiO基体が明らかにされた。図4cは、PCDSの部分的分解が、600℃においてSi豊富SiO層をもたらすことを明らかにする。図4dから見ることができるように、O、ClまたはC含有がほとんどないか、または全くない、ほぼ100%のSi層が、600〜700℃におけるPCDS単独での分解からSiO基体上に形成された。このフィルムは、非晶質または多結晶質フィルムのいずれかである。当業者は、基体が、いずれのケイ素の形態が析出されるかを決定するであろうことを認識するであろう。
比較例1
ヘキサクロロジシラン(HCDSまたはSiCl6)の比較熱分解試験を、4つの異なる温度(400、500、600および700℃)において、30分間、SiO基体上で、図3の析出装置において実行した。熱壁管反応器を1トルに保持し、キャリア気体として50sccmのNを使用して、反応チャンバー中へHCDSの4sccmの連続流を用いた。
図5a、b、cおよびdは、結果として生じるSi含有フィルムのX線光電子分光法(XPS)深さ分布である。これらから見られるように、純粋なSi層は、いずれの温度においても、HCDS分解によって形成されなかった。
実施例2 PCDSまたはHCDSおよびO/Oを使用するSiO ALD
Si基体上で、500〜700℃の温度範囲において酸化剤としてOを用いて、PCDSを使用してSiO ALDを実行した。図3の反応燃焼室を1トルで制御し、そして50sccmのNを連続的に流した。ALDプロセスは、次のステップを含んだ:1)10秒間、反応チャンバー中に4sccmのPCDSのパルスを供給し、2)90秒間、50sccmのNによって過剰量の前駆体をパージし、3)10秒、約7.2%のO/O(O:100sccm)を供給し、4)30秒間、50sccmのNによって過剰量のO/Oをパージする。析出された層が、フィルム特徴に関して適切な厚さ(すなわち、100Å以上)を達成するまで、順序1)〜4)を200サイクル繰り返した。この方法は、PCDSと同一のALDプロセス条件で、PCDSをHCDSで置き換えて繰り返した。PCDSを使用する4つの別個のクーポン基体位置(円形)およびHCDSを使用する4つの別個のクーポン基体位置(三角形)からの平均析出レートを図6に示す。
PCDSの析出レートは、500から600℃まで増加し、そして600℃と650℃の間で平坦を示し、次いで、700℃まで再び増加した。ALDプロセスにおけるPCDSの析出レートのこのような温度依存性から、ALD窓は600℃〜650℃で観察されてよい。しかしながら、同じ図において、HCDSの析出レートは、500℃から700℃の温度範囲において連続的に増加した。結果として、重要なALD窓は、HCDSに関して観察されなかった。出願人は、PCDSから得られたSiO ALD窓(すなわち、600〜650℃)は、モノクロロシランまたはヘキサクロロジシランのようなクロロシランベースのSi前駆体を使用して、最高温度ALD窓を提供すると考える。当業者は、このALD析出窓を変更するために、温度および圧力が操作され得ることを認識するであろう。
実施例3 PCDSとOのSiOALD飽和挙動
実施例2の結果に基づき、SiO ALD成長挙動のPCDSパルス時間依存性は、2つの温度、550℃および600℃において試験され、そして結果を図7に示す。PCDSのパルス時間が550℃(ひし形)および600℃(正方形)の両方において変動したことを除き、実施例2における温度依存性試験のために使用されたものと同一プロセス条件をこの評価に適応した。両温度において、安定な平均析出レートが、600℃においてクーポン:0.91〜0.94Å/サイクルおよび550℃において0.50〜0.52Å/サイクルで、3〜5の位置から、10〜15秒のPCDSのパルス時間で観察される。安定な析出レートは、ALD飽和の特徴であると考えられる。
実施例4 XPSによるSiO ALDフィルム組成物
550、600、650および700℃においてSi基体上でPCDSから実施例2において析出されたSiO ALDフィルムに関して、フィルム組成物を試験するために、XPS分析が実行された。図8a〜dは、5元素、Si、Cl、C、NおよびOのXPS深さ分布の結果を示す。Cl、CおよびNは、フィルムで検出されなかった。XPSスパッタエネルギーは、550℃および600℃において析出されたものよりも、650℃および700℃において析出されたフィルムに関して、より高く設定された。結果として、650℃および700℃において析出されたフィルムのエッチ時間に関する分析結果は、550℃および600℃において析出されたフィルムの同等のエッチ時間よりも、より深く侵入する。
実施例5 PCDSを使用するSiO ALDフィルムの段差被覆
ALDによって析出されたSiOフィルムの段差被覆は、600℃において、8マイクロメートルの深さを有するアスペクト比(AR)=40を有するホールを有するパターンウエハ上で試験された。図3の反応燃焼室を1トルで制御し、そして50sccmのNを連続的に流した。ALDプロセスは、次のステップを含んだ:1)10秒間、反応チャンバー中に4sccmのPCDSのパルスを供給し、2)90秒間、50sccmのNによって過剰量の前駆体をパージし、3)10秒、約7.2%のO/O(O:100sccm)を供給し、4)10秒間、50sccmのNによって過剰量のO/Oをパージする。順序1)〜4)を350サイクル繰り返した。図9および9a〜cは、全ホール(図9)および図9内の3つの異なる位置:(図9a)上部段差被覆=93%、(図9b)中央(上部から約2マイクロメートルの深さ)段差被覆=77%および(図9c)低部段差被覆=73%における得られた段差被覆を示す走査電子顕微鏡写真である。
実施例6 触媒低温SiO ALD
SiOフィルムは、PCDS、酸化剤としてHOおよび触媒としてトリエチルアミン(TEA)を用いるALDを使用して析出された。図3の反応燃焼室を5トル、50〜100℃の温度で制御し、そして200sccmのArを連続的に流した。析出プロセスは、次のステップを含んだ:1)10秒間、反応燃焼室中に1sccmのPCDSおよび50sccmのTEAのパルスを導入し、2)10秒間、1slmのArによって過剰量のPCDSおよびTEAをパージし、3)20秒、燃焼室に15sccmのHOおよび50sccmのTEAおよび1slmのArを導入し、4)20秒間、1slmのArによって過剰量のHOおよびTEAをパージする。順序1)〜4)を300サイクル繰り返した。
図10は、析出レート(白抜きのひし形)および屈折率(黒塗りの正方形)のプロセス温度依存を示すグラフである。約1.4Å/サイクルの析出レートおよび約1.39の屈折率が70℃において得られ、犠牲的使用のために適切であり得る低密度フィルムを示す。図11は、70℃における析出されたSiOフィルムのXPS深さ分布を示すグラフであり、これは、その結果として生じるフィルムが不純物を含有しないことを示す。
実施例7 SiNの低温CVD
SiNフィルムは、Si供給源としてPCDS(円形)またはHCDS(正方形)、および窒化物形成供給源としてアンモニア(NH)を使用して、CVDによって析出された。図3の反応燃焼室を1トルで制御し、温度を450℃〜600℃で変動させ、そして100sccmのArを連続的に流した。析出された層が適切な厚さに達するまで、1sccmのSi供給源および25sccmのNHの混合気体流を60〜120分間、反応燃焼室に供給した。図12は、HCDS+NHよりも、PCDS+NHによって得られた析出レート(白抜き)および屈折率(黒塗り)を例示するグラフである。
実施例8 SiNの中温ALD
SiNフィルムは、Si供給源としてPCDS(円形)、HCDS(正方形)またはOCTS(オクタクロロトリシラン)(三角形)、および窒化物形成供給源としてアンモニア(NH)を使用して、ALDによって析出された。図3の反応燃焼室を5トルで制御し、温度を350℃〜600℃で変動させ、そして100sccmのArを連続的に流した。析出プロセスは、次のステップを含む:1)10秒間、反応燃焼室中に1sccmのSi供給源のパルスを導入し、2)10秒間、1slmのArによって反応燃焼室から過剰量のSi供給源をパージし、3)反応燃焼室に50sccmのNHを導入し、4)20秒間、1slmのArによって過剰量のNHをパージする。析出された層が、100Å以上の適切な厚さを達成するまで、順序1)〜4)を200〜400サイクル繰り返した。
図13は、このプロセスからのPCDS(円形)、HCDS(正方形)およびOCTS(三角形)析出レート(白抜き)および屈折率(黒塗り)の温度依存性を示すグラフである。
HCDSの屈折率値が400℃および550℃においてより高かったことを除き、PCDSは、HCDSまたはOCTSと比較して、より高い析出レートおよび屈折率を示した。PCDSおよびHCDSの析出レートは、550℃〜600℃において平坦を示し、自己制限ALD挙動を示すが、OCTSでは平坦は見られなかった。
図14は、54%のSi、45%のNならびに痕跡量のO、CおよびCl不純物を含む、PCDSおよびNHを用いて600℃において析出されたSiNフィルムのXPS深さ分布を示すグラフである。
図13に示されるように、半導体デバイスの製造での使用のために容認可能となり得る屈折率を有する窒化ケイ素フィルムは、PCDSを使用して450℃〜600の範囲、そしてHCDSを使用して500℃〜600℃の範囲の基体温度において析出される。OCTSから析出された窒化ケイ素フィルムの屈折率のみが、600℃において商業的に実行可能になる。さらに、PCDSからの窒化ケイ素フィルムの析出レートは、この温度範囲内でのHCDSからのものよりも実質的に高い(すなわち、40%〜100%高い)。したがって、PCDSを使用することによって、より低い温度で、そして驚くほど高い析出レートで、高品質の窒化ケイ素フィルムの形成が可能となる。温度範囲の拡大によって、HCDSと比較して、PCDSに関して、純粋な窒化ケイ素のものに近い屈折率が生じることは、驚くべきことでもあり、そして半導体デバイスの製造のために有益でもある。所望の窒化ケイ素フィルムは、工業規格材料HCDSを使用して可能であった場合より50℃低い基体温度で入手され得る。より低い温度は、加工時に低い熱収支を可能にし、それによって、次の加工ステップに関して、より高い融通性および選択を可能にする。
実施例9 SiCの低温ALD
SiCフィルムは、Si供給源としてPCDSまたはHCDS、および炭素供給源としてトリメチルアルミニウム(TMA)を使用して、ALDによって析出された。図3の反応燃焼室を8トルで制御し、温度を350℃〜400℃で変動させ、そして10sccmのNを連続的に流した。析出プロセスは、次のステップを含む:1)15秒間、反応燃焼室中に0.8sccmのSi供給源のパルスを導入し、2)30秒間、25sccmのNによって反応燃焼室から過剰量のSi供給源をパージし、3)反応燃焼室に1.8sccmのTMAを導入し、4)60秒間、25sccmのNによって反応燃焼室から過剰量のTMAをパージする。析出された層が、100Å以上の適切な厚さを達成するまで、順序1)〜4)を290サイクル繰り返した。図15は、400℃においてPCDSを使用して析出されたSiCフィルムのXPS深さ分布が、O、Al、Cl不純物を含むことを示す。図16は、測定位置に基づく、フィルムの厚さ(白抜き)および屈折率(黒塗り)を示す。このグラフは、HCDS(三角形)+TMAによる場合よりも、PCDS(正方形)+TMAで、より高い屈折率が得られたことを例示する。より高い屈折率を有するフィルム(すなわち、PCDS+TMAから)は、より低い屈折率を有するもの(すなわち、HCDS+TMAから)よりも高密度であり得、ウェットエッチ抵抗を増強し得る。
実施例10 Si(C)Nの低温PEALD
図17は、実施例10の試験のために使用された析出装置の概略図である。この装置は、ウエハ段階101を含有する反応器100を含む。ウエハは、ポンプ108に接続しているロードロックチャンバー102によってウエハ段階101に移される。シャワーヘッド103は、反応器100の上部に位置し、プラズマは、RF発生器106によって発生し得る。乾燥ポンプ105によって、反応器100の含有物が除去される。
開示されたSi含有前駆体は、ライン201を経由してデリバリーデバイス200から反応器100まで導入される。Arなどの不活性気体300は、ライン301を経由してデリバリーデバイス200に送達される。不活性気体300は、ライン302を経由して反応器100に送達されてもよい。
などの窒化物形成気体400は、ライン401を経由して反応器100に導入される。不活性気体300は、ライン303を経由して窒化物形成気体400に送達されてもよい。
当業者は、ライン201、301、302、303および401が、複数の圧力ゲージ、チェックバルブ、バルブおよび圧力調節器を含み得ること、ならびに圧力調節またはバイパス流のための追加のラインは、図面の簡略化のために含まれないことを認識するであろう。
Si(C)Nフィルムは、Si供給源としてペンタキス(ジメチルアミノ)ジシラン(SiH(NMe)、および窒化含有反応物を使用して、図17の析出装置を使用して、プラズマ強化ALDプロセスによって低温で析出された。このプロセスは、直接プラズマモード下で、6インチのSiウエハ上で実行された。図17の反応燃焼室における圧力を1トルで制御し、温度を200℃〜400℃で変動させ、そして500sccmのArを連続的に流した。析出プロセスは、次のステップを含む:1)7秒間、反応燃焼室中に100sccmのNのNプラズマパルス(100W)を導入し、2)3秒間、500sccmのNによって反応燃焼室をパージし、3)5秒間、反応燃焼室に1sccmのペンタキス(ジメチルアミノ)ジシランを導入し、4)5秒間、500sccmのNによって反応燃焼室から過剰量のSi前駆体をパージする。析出された層が、100Å以上の適切な厚さを達成するまで、順序1)〜4)を500サイクル繰り返した。
図18は、275℃で析出させたSi(C)NフィルムのXPS深さ分布を示し、これは、フィルム中、約11%のCおよび約2%のOを有する、SiNの形成を示す。図19は、10秒(黒塗り)および20秒(白抜き)パージ時間における析出レート(正方形)および屈折率(円形)のSi曝露時間を示す。10秒パージ時間の場合のグラフは、析出レートが、Si曝露時間に対応して増加したことを示すが、屈折率は、Si曝露時間に対して約1.8で安定したままでいた。しかしながら、Siパージ時間が10秒から20秒まで増加した場合、20秒のSi曝露時間の場合、析出レートは、0.5Å/サイクルから0.42Å/サイクルまで減少し、そしてRIは1.78から1.81まで増加し、このことは、より長いパルスプロセスの非効率的なパージ時間を実証し得る。
本発明の性質を説明するために、本明細書に記載され、かつ例示された詳細、材料、ステップおよび部品の配列における追加的変更は、添付の請求の範囲に表される本発明の原理および範囲内で、当業者によってなされ得ることは理解されるであろう。したがって、本発明は、上記の実施例および/または添付の図面における特定の実施例に限定されるように意図されない。

Claims (15)

  1. 基体上に酸化ケイ素フィルムを析出する熱ALD法であって、
    a)前記基体を含有する反応器を、約500℃〜約800℃の範囲の温度および約0.1〜約10トル(13Pa〜1,333Pa)の範囲の圧力に設定することと;
    b)前記反応チャンバー中にペンタクロロジシランの蒸気を導入して、前記基体上でケイ素含有層を形成することと;
    c)酸素含有反応物と、前記ケイ素含有層とを反応させて、約0.4Å(0.04nm)〜約1.5Å(0.15nm)の範囲のサイクルあたりの成長を有する酸化ケイ素フィルムの層を形成することと;
    d)ステップb)およびc)を繰り返すことと
    を含んでなる、方法。
  2. 前記酸素含有反応物が、オゾンおよび酸素の混合物である、請求項1に記載の方法。
  3. 前記混合物が、少なくとも5%v/vのオゾンを含んでなる、請求項2に記載の方法。
  4. 前記基体が、約10:1〜約100:1のアスペクト比を有するホールまたはトレンチを含んでなり、前記ホールまたはトレンチ上で約80%〜約100%の段差被覆の前記酸化ケイ素フィルムを得ることをさらに含んでなる、請求項1〜3のいずれか一項に記載の方法。
  5. 前記酸化ケイ素フィルムが、プラズマを使用せずに析出される、請求項1〜4のいずれか一項に記載の方法。
  6. 前記サイクルあたりの成長が、約0.75Å(0.075nm)〜約1Å(0.1nm)の範囲である、請求項1〜5のいずれか一項に記載の方法。
  7. 基体上に窒化ケイ素フィルムを析出する熱ALD法であって、
    a)前記基体を含有する反応器を、約450℃〜約650℃の範囲の温度および約0.1〜約100トル(13Pa〜13,332Pa)の範囲の圧力に設定することと;
    b)前記反応チャンバー中にペンタクロロジシランの蒸気を導入して、前記基体上でケイ素含有層を形成することと;
    c)窒素含有反応物と、前記ケイ素含有層とを反応させて、約0.3Å(0.03nm)〜約2Å(0.2nm)の範囲のサイクルあたりの成長および約1.8〜2.1の範囲の屈折率を有する窒化ケイ素フィルムの層を形成することと;
    d)ステップb)およびc)を繰り返すことと
    を含んでなる、方法。
  8. 前記窒素含有反応物がNHである、請求項7に記載の方法。
  9. 前記窒化ケイ素フィルムが、プラズマを使用せずに析出される、請求項7または8に記載の方法。
  10. 基体上に非晶質または多結晶質ケイ素フィルムを析出する熱蒸着法であって、
    a)前記基体を含有する反応器を、約550℃〜約800℃の範囲の温度および約0.1〜約100トル(13Pa〜13,332Pa)の範囲の圧力に設定することと;
    b)前記反応チャンバー中にペンタクロロジシランの蒸気を導入して、前記基体上で前記ケイ素フィルムを形成することと
    を含んでなる、方法。
  11. 還元気体を導入することをさらに含んでなる、請求項10に記載の方法。
  12. 前記ケイ素フィルムが約0原子%〜5原子%のC;約0原子%〜1原子%のN;および約0原子%〜1原子%のClを含有する、請求項10または11に記載の方法。
  13. 前記ケイ素フィルムが非晶質ケイ素フィルムである、請求項10〜12のいずれか一項に記載の方法。
  14. 前記ケイ素フィルムが多ケイ素フィルムである、請求項10〜12のいずれか一項に記載の方法。
  15. 前記基体が、約10:1〜約100:1のアスペクト比を有するホールまたはトレンチを含んでなり、前記ホールまたはトレンチ上で約80%〜約100%の段差被覆の前記ケイ素フィルムを得ることをさらに含んでなる、請求項10〜14のいずれか一項に記載の方法。
JP2018530071A 2015-12-28 2016-12-16 五置換ジシランを使用するケイ素含有フィルムの蒸着 Pending JP2019501528A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/979,816 2015-12-28
US14/979,816 US9633838B2 (en) 2015-12-28 2015-12-28 Vapor deposition of silicon-containing films using penta-substituted disilanes
PCT/IB2016/001962 WO2017115147A2 (en) 2015-12-28 2016-12-16 Vapor disposition of silicon-containing films using penta-substituted disilanes

Publications (2)

Publication Number Publication Date
JP2019501528A true JP2019501528A (ja) 2019-01-17
JP2019501528A5 JP2019501528A5 (ja) 2020-01-23

Family

ID=55749599

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018530071A Pending JP2019501528A (ja) 2015-12-28 2016-12-16 五置換ジシランを使用するケイ素含有フィルムの蒸着

Country Status (7)

Country Link
US (3) US9633838B2 (ja)
EP (1) EP3400607A4 (ja)
JP (1) JP2019501528A (ja)
KR (1) KR20180099716A (ja)
CN (1) CN108475636B (ja)
SG (1) SG11201805070TA (ja)
WO (1) WO2017115147A2 (ja)

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10480070B2 (en) 2016-05-12 2019-11-19 Versum Materials Us, Llc Delivery container with flow distributor
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
CN109790036B (zh) * 2016-09-28 2022-11-04 美国陶氏有机硅公司 氯二硅氮烷
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10049882B1 (en) * 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102271768B1 (ko) * 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 어닐링을 사용하는 갭충전
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10242885B2 (en) * 2017-05-26 2019-03-26 Applied Materials, Inc. Selective dry etching of metal films comprising multiple metal oxides
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI791547B (zh) * 2017-07-31 2023-02-11 中國大陸商南大光電半導體材料有限公司 製備五氯二矽烷之方法及包含五氯二矽烷之經純化的反應產物
TWI784022B (zh) 2017-07-31 2022-11-21 中國大陸商南大光電半導體材料有限公司 1,1,1-參(二甲胺基)二矽烷及其製備方法
JP6840051B2 (ja) * 2017-08-02 2021-03-10 東京エレクトロン株式会社 タングステン膜上へシリコン酸化膜を形成する方法および装置
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
SG11202001450UA (en) 2017-09-12 2020-03-30 Applied Materials Inc Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) * 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
DE102018110837A1 (de) 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Prozesse zur Bildung von Merkmalen mit einem niedrigen K-Wert und dadurch gebildete Aufbauten
US10304677B2 (en) 2017-09-29 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k feature formation processes and structures formed thereby
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10510852B2 (en) * 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
EP3514128A1 (en) * 2018-01-18 2019-07-24 Heraeus GMSI LLC Process for manufacturing a silicon carbide coated body
EP3514129A1 (en) * 2018-01-18 2019-07-24 Heraeus GMSI LLC Process for manufacturing a silicon carbide coated body
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11043373B2 (en) * 2018-07-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect system with improved low-k dielectrics
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11072858B2 (en) * 2018-09-05 2021-07-27 Nova Engineering Films, Inc. Pulsing mixture of precursor and supercritical fluid to treat substrate surface
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20210380418A1 (en) * 2018-10-05 2021-12-09 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing film
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11631680B2 (en) 2018-10-18 2023-04-18 Applied Materials, Inc. Methods and apparatus for smoothing dynamic random access memory bit line metal
US10700072B2 (en) * 2018-10-18 2020-06-30 Applied Materials, Inc. Cap layer for bit line resistance reduction
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11211243B2 (en) * 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
CN111211088B (zh) * 2018-11-21 2023-04-25 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210104123A (ko) * 2018-12-21 2021-08-24 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 550℃ 이상의 온도에서 ald를 사용하여 si-함유 막을 증착시키기 위한 전구체 및 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11186909B2 (en) 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
US11296209B2 (en) * 2019-08-27 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. RF switch device with a sidewall spacer having a low dielectric constant
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN110854075B (zh) * 2019-11-13 2022-10-18 上海华力集成电路制造有限公司 Cmos器件制造方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111816556B (zh) * 2020-06-03 2024-01-23 中国科学院微电子研究所 晶体管及制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006351689A (ja) * 2005-06-14 2006-12-28 Tokyo Electron Ltd シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP2010010497A (ja) * 2008-06-29 2010-01-14 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2011029284A (ja) * 2009-07-22 2011-02-10 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2013055240A (ja) * 2011-09-05 2013-03-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法、及び基板処理装置
JP2013179321A (ja) * 2009-11-27 2013-09-09 Tokyo Electron Ltd 成膜方法および成膜装置
JP2013236073A (ja) * 2012-04-12 2013-11-21 Air Products & Chemicals Inc 酸化ケイ素薄膜の高温原子層堆積
US20150235834A1 (en) * 2012-11-07 2015-08-20 Up Chemical Co., Ltd. Method for manufacturing silicon-containing thin film
JP2015525773A (ja) * 2012-07-20 2015-09-07 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
WO2016191194A1 (en) * 2015-05-22 2016-12-01 Dow Corning Corporation Pentachlorosilane

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62229930A (ja) * 1986-03-31 1987-10-08 Toshiba Corp エピタキシヤル成長法
JP2001352087A (ja) * 2000-06-07 2001-12-21 Tokuyama Corp シリコン膜及びその製造方法
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
JP2003204063A (ja) * 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
US6451641B1 (en) * 2002-02-27 2002-09-17 Advanced Micro Devices, Inc. Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
US20060121192A1 (en) 2004-12-02 2006-06-08 Jurcik Benjamin J Liquid precursor refill system
US9370881B2 (en) * 2005-03-02 2016-06-21 The Trustees Of Boston College Structures and methods of replicating the same
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
US8377511B2 (en) 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US7858535B2 (en) * 2008-05-02 2010-12-28 Micron Technology, Inc. Methods of reducing defect formation on silicon dioxide formed by atomic layer deposition (ALD) processes and methods of fabricating semiconductor structures
JP5839514B2 (ja) * 2010-02-15 2016-01-06 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
KR101226876B1 (ko) * 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
WO2012057889A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
CN103228827B (zh) * 2010-11-17 2015-01-21 新日铁住金株式会社 外延碳化硅单晶基板的制造方法
US20140179985A1 (en) * 2012-12-21 2014-06-26 Marcus ANDERSSON Prosthesis adapter
JP6068130B2 (ja) * 2012-12-25 2017-01-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6088886B2 (ja) 2013-03-29 2017-03-01 株式会社Jsol イベント準備促進アドバイスシステム及びその方法
TW201509799A (zh) * 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
JP6267080B2 (ja) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US9576790B2 (en) * 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006351689A (ja) * 2005-06-14 2006-12-28 Tokyo Electron Ltd シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP2010010497A (ja) * 2008-06-29 2010-01-14 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2011029284A (ja) * 2009-07-22 2011-02-10 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2013179321A (ja) * 2009-11-27 2013-09-09 Tokyo Electron Ltd 成膜方法および成膜装置
JP2013055240A (ja) * 2011-09-05 2013-03-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法、及び基板処理装置
JP2013236073A (ja) * 2012-04-12 2013-11-21 Air Products & Chemicals Inc 酸化ケイ素薄膜の高温原子層堆積
JP2015525773A (ja) * 2012-07-20 2015-09-07 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US20150235834A1 (en) * 2012-11-07 2015-08-20 Up Chemical Co., Ltd. Method for manufacturing silicon-containing thin film
WO2016191194A1 (en) * 2015-05-22 2016-12-01 Dow Corning Corporation Pentachlorosilane

Also Published As

Publication number Publication date
SG11201805070TA (en) 2018-07-30
EP3400607A2 (en) 2018-11-14
US20190027357A1 (en) 2019-01-24
CN108475636B (zh) 2023-08-15
WO2017115147A3 (en) 2017-08-10
US20170186597A1 (en) 2017-06-29
WO2017115147A2 (en) 2017-07-06
EP3400607A4 (en) 2019-07-31
CN108475636A (zh) 2018-08-31
US9633838B2 (en) 2017-04-25
US20160111272A1 (en) 2016-04-21
KR20180099716A (ko) 2018-09-05

Similar Documents

Publication Publication Date Title
CN108475636B (zh) 使用五取代的二硅烷气相沉积含硅膜
JP7320544B2 (ja) Si含有膜形成組成物およびその使用方法
KR102658085B1 (ko) 알킬아미노 치환 할로카보실란 전구체
CN110872703B (zh) 用于制备含硅和氮的膜的方法
WO2019108330A1 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
EP3307744B1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
JP2019500497A5 (ja)
CN112805405A (zh) 用于制备含硅和氮的膜的方法
EP3307745B1 (en) Vapor deposition processes for forming silicon- and nitrogen-containing thin films

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20180619

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20180620

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191205

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201222

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210713