CN110872703B - 用于制备含硅和氮的膜的方法 - Google Patents

用于制备含硅和氮的膜的方法 Download PDF

Info

Publication number
CN110872703B
CN110872703B CN201910809779.4A CN201910809779A CN110872703B CN 110872703 B CN110872703 B CN 110872703B CN 201910809779 A CN201910809779 A CN 201910809779A CN 110872703 B CN110872703 B CN 110872703B
Authority
CN
China
Prior art keywords
silicon nitride
film
reactor
disilylobutane
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910809779.4A
Other languages
English (en)
Other versions
CN110872703A (zh
Inventor
H·钱德拉
雷新建
金武性
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to CN202210697477.4A priority Critical patent/CN114959653A/zh
Publication of CN110872703A publication Critical patent/CN110872703A/zh
Application granted granted Critical
Publication of CN110872703B publication Critical patent/CN110872703B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)

Abstract

公开了一种用于电子器件的制造中的组合物和使用该组合物的方法。还公开了用于沉积高质量氮化硅或碳掺杂氮化硅的化合物、组合物和方法。

Description

用于制备含硅和氮的膜的方法
相关申请的交叉引用
本申请要求2018年8月29日提交的美国临时申请62/724,205的优先权,其全部内容为了所有允许的目的通过引用并入本文。
技术领域
本发明涉及用于制造电子器件的组合物和方法。更具体地,本发明涉及用于沉积介电常数(<7.0)和高氧灰化抗性的含硅膜的化合物,组合物和方法,所述含硅膜例如但不限于化学计量的氮化硅、碳掺杂的氮化硅膜和碳掺杂的氮氧化硅膜。
背景技术
氮化硅膜用于半导体中以用于各种应用。例如,氮化硅膜用作集成电路的最终钝化和机械保护层、用于选择性硅氧化的掩模层、作为DRAM电容器或3D NAND闪存芯片的堆叠氧化物-氮化物-氧化物(O-N-O)层中的介电材料之一或作为浅沟槽隔离应用中的CMP停止层。在一个特定应用中,3D NAND闪存中的O-N-O叠层需要具有低应力和磷酸中的高湿蚀刻速率的氮化硅。
Olsen,“Analysis of LPCVD Process Conditions for the Deposition of LowStress Silicon Nitride”,5Materials Science in Semiconductor Process 51(2002)描述了用于优化通过低压化学气相沉积来沉积低应力氮化硅膜的宽范围工艺条件。结果表明,通过增加气流使折射率提高到2.3以上并未明显降低残余应力,但对厚度均匀性和沉积速率具有显著的不利影响。
Taylor等,“Hexachlorodisilane as a Precursor in the LPCVD of SiliconDioxide and Silicon Oxynitride Films”,136J.Electrochem.Soc.2382(1989)描述了使用Si2Cl6、N2和NH3的气相混合物在600-850℃的温度范围内通过LPCVD生长二氧化硅和氮氧化硅的膜。沉积的二氧化硅和氮氧化硅膜表现出低氯含量,通常<1%原子百分比。
M.Tanaka等,“Film Properties of Low-k Silicon Nitride Films Formed byHexachlorodisilane and Ammonia”,147J.Electrochem.Soc.2284(2000)描述了一种低温工艺,其具有通过使用六氯乙硅烷(HCD)的低压化学气相沉积(LPCVD)形成的氮化硅(SiN)的良好阶梯覆盖率。
JP2000100812描述了使用SiCl4和NH3作为源气体沉积膜的方法。在沉积之前,可以使用NH3对衬底表面进行氮化。形成具有改善的绝缘体性能的极薄膜。氮化硅膜可用作半导体集成电路的电容器绝缘体膜。
美国专利No.6,355,582描述了一种形成氮化硅膜的方法,其中加热要经受成膜的衬底,并将四氯化硅和氨气供应给加热到预定温度的衬底。
美国专利No.10,049,882描述了一种用于制造半导体器件的原子层沉积(ALD)方法,包括在具有高度差的结构上形成介电层的步骤。该方法包括在衬底上形成具有高度差的结构,并在该结构上形成介电层结构。形成介电层结构包括在具有高度差的结构上形成包括氮化硅的第一介电层。形成第一介电层包括将包含五氯乙硅烷(PCDS)或二异丙胺五氯乙硅烷(DPDC)作为硅前体的第一气体和包含氮组分的第二气体供给到包括衬底的腔室中,使得第一介电层在具有高度差的结构上原位形成。
PCT公开No.WO2018063907公开了一类氯代二硅氮烷、由其合成的硅-杂原子化合物、包含该硅-杂原子化合物的装置、制备氯二硅氮烷的方法、硅-杂原子化合物和装置;以及氯二硅氮烷、硅-杂原子化合物和装置的用途。
PCT公开No.WO2018057677公开了一种组合物,其包含三氯乙硅烷作为用于形成膜的硅前体。该组合物包括硅前体化合物和惰性气体、分子氢、碳前体、氮前体和氧前体中的至少一种。该出版物还公开了一种使用硅前体化合物在衬底上形成含硅膜的方法和由此形成的含硅膜。
美国专利No.9,984,868公开了在衬底上沉积氮化硅膜的循环方法。在一个实施方案中,这种方法包括将卤素硅烷作为硅前体供应到反应器中;向反应器供应吹扫气体;和将电离的氮前体提供到反应器中以与衬底反应并形成氮化硅膜。
最后,美国公开No.2009/0155606公开了在衬底上沉积氮化硅膜的循环方法。在一个实施方案中,方法包括将氯硅烷供应到其中处理衬底的反应器中;向反应器供应吹扫气体;和向反应器提供氨等离子体。该方法允许在低工艺温度和高沉积速率下形成氮化硅膜。得到的氮化硅膜具有相对较少的杂质和较高的质量。另外,可以形成在具有高纵横比的特征上具有良好阶梯覆盖率且具有薄和均匀厚度的氮化硅膜。
本领域需要提供用于沉积用于电子行业内某些应用的高碳含量(例如,通过X射线光电子能谱(XPS)测量的约10原子%或更高的碳含量)的掺杂含硅膜的组合物和使用其的方法。
因此,需要开发一种使用化学气相沉积(CVD)或原子层沉积(ALD)工艺或ALD样工艺(例如但不限于循环化学气相沉积工艺)形成高质量氮化硅或碳掺杂氮化硅的方法。一种特定应用,例如3D NAND闪存中的O-N-O叠层,需要氮化硅、氧氮化硅或碳氧氮化硅膜,其表现出低应力和/或在磷酸中的高湿蚀刻速率。此外,可能希望的是开发低温沉积(例如,在约500℃或更低的一个或多个温度下的沉积)以在CVD、ALD或ALD样工艺中改善一种或多种膜性质,例如但不限于纯度和/或密度。
先前确定的专利、专利申请和出版物的公开内容通过引用并入本文。
本领域需要提供用于沉积氮化硅或碳掺杂的氮化硅的组合物和使用该组合物的方法,其具有以下特性:a)碳含量为约5原子%或更低,约3原子%或更低,优选约2原子%或更低,约1原子%或更低,如通过X射线光电子能谱(XPS)测量的,优选为化学计量的氮化硅;b)氧含量为约5原子%或更低,约3原子%或更低,约2原子%或更低,约1原子%或更低,如通过X射线光电子能谱(XPS)测量的;阶梯覆盖率为90%或更高,95%或更高,99%或更高,介电常数为7.0或更低,6.0或更低,和5.0或更低。
发明内容
上述需求在一个方面中通过提供一种用于经由等离子体ALD工艺形成碳掺杂氮化硅膜的方法满足。根据该方法,包括表面特征的衬底引入反应器中。反应器加热至最高达约550℃的温度范围的一个或多个温度,优选300℃或更低的一个或多个温度。反应器可以保持在100托或更低的压力下。将至少一种具有两个Si-C-Si键的硅前体引入反应器中以在衬底上形成含硅物质,该硅前体选自1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-二溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷、1-碘-1,3-二硅杂环丁烷、1,1-二碘-1,3-二硅杂环丁烷、1,3-二碘-1,3-二硅杂环丁烷、1,1,3-三碘-1,3-二硅杂环丁烷、1,1,3,3-四碘-1,3-二硅杂环丁烷和1,3-二碘-1,3-二甲基-1,3-二硅杂环丁烷。
用合适的惰性气体吹扫反应器的任何未消耗的前体和/或反应副产物。将包含氮的等离子体引入反应器中以与含硅物质反应而形成碳掺杂的氮化硅膜。
接下来,再次用合适的惰性气体吹扫反应器的任何反应副产物。根据需要重复引入前体、根据需要进行吹扫、引入等离子体和根据需要再次吹扫的步骤以使沉积的碳掺杂氮化硅膜达到预定厚度。
上述需求和其他需求也通过用于经由等离子体ALD工艺形成氮化硅、碳掺杂氮化硅或碳掺杂氮氧化硅膜的方法进一步满足。根据该方法,将包括表面特征的衬底引入反应器中。将反应器加热至最高达约550℃的范围的一个或多个温度,优选300℃或更低的一个或多个温度。反应器可以保持在100托或更低的压力下。将具有两个Si-C-Si键的至少一种硅前体引入反应器中以在衬底上形成含硅物质,该硅前体选自1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-二溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷、1-碘-1,3-二硅杂环丁烷、1,1-二碘-1,3-二硅杂环丁烷、1,3-二碘-1,3-二硅杂环丁烷、1,1,3-三碘-1,3-二硅杂环丁烷、1,1,3,3-四碘-1,3-二硅杂环丁烷和1,3-二碘-1,3-二甲基-1,3-二硅杂环丁烷。
用合适的惰性气体吹扫反应器的任何未消耗的前体和/或反应副产物。将包含氨源的等离子体引入反应器中以与含硅物质反应而形成氮化硅或碳掺杂的氮化硅膜。
接下来,再次用合适的惰性气体吹扫反应器的任何反应副产物。根据需要重复引入前体、根据需要进行吹扫、引入等离子体和根据需要再次吹扫的步骤以使氮化硅或碳掺杂的氮化硅膜达到预定的厚度。
任选地,然后将所得的氮化硅或碳掺杂氮化硅膜在大致环境温度至1000℃、优选约100℃至400℃的一个或多个温度下暴露于氧源以将氮化硅膜转化为氮氧化硅膜,或将碳掺杂的氮化硅膜转化成碳掺杂的氮氧化硅膜。
具体实施方式
在整个说明书中,术语“ALD或ALD样”是指包括但不限于以下过程的工艺:a)将包括硅前体和反应性气体的各种反应物依次引入反应器中,例如单晶片ALD反应器、半批式ALD反应器或批式炉ALD反应器;b)通过移动或旋转衬底到反应器的不同部分使包括硅前体和反应性气体的各种反应物暴露于衬底,并且每个部分通过惰性气体帘分隔,即空间ALD反应器或辊对辊ALD反应器。
在整个说明书中,术语“包括/包含氨的等离子体”是指通过等离子体发生器原位或远程产生的反应性气体或气体混合物。气体或气体混合物选自氨、氨和氦的混合物、氨和氖的混合物、氨和氩的混合物、氨和氮的混合物、氨和氢的混合物及其组合。
在整个说明书中,术语“惰性气体等离子体”是指通过等离子体发生器原位或远程产生的反应性惰性气体或惰性气体混合物。惰性气体或气体混合物选自氦气、氖气、氩气及其组合。
在整个说明书中,术语“灰化”是指使用包含氧源(例如O2/惰性气体等离子体、O2等离子体、CO2等离子体、CO等离子体、H2/O2等离子体或其组合)的等离子体在半导体制造工艺中去除光致抗蚀剂或碳硬掩模的过程。
在整个说明书中,术语“抗损伤性”是指在氧灰化过程后的膜性质。良好或高抗损伤性定义为氧灰化后的以下膜性质:膜介电常数低于7;本体碳含量(膜中超过
Figure BDA0002184723300000061
深度)在灰化前的5at.%内;小于
Figure BDA0002184723300000062
的膜被损伤,如通过靠近表面(小于
Figure BDA0002184723300000063
深度)和本体(大于
Figure BDA0002184723300000064
深度)的膜之间的稀HF蚀刻速率的差异观察的。
在整个说明书中,术语“烷基烃”是指直链或支链的C1至C20烃、环状C6至C20烃。示例性的烃包括但不限于庚烷、辛烷、壬烷、癸烷、十二烷、环辛烷、环壬烷、环癸烷。
在整个说明书中,术语“芳烃”是指C6至C20芳烃。示例性芳烃n包括但不限于甲苯、均三甲苯。
在整个说明书中,术语“惰性气体”是指非反应性气体。示例性惰性气体包括但不限于氦气、氩气、氖气、氮气及其组合。
在整个说明书中,如本文所使用的术语“阶梯覆盖率”被定义为具有通孔或沟槽或两者的结构化或特征化衬底中的沉积膜的两个厚度的百分比,其中底部阶梯覆盖率是以下比率(以%计):特征底部的厚度除以特征顶部的厚度,和中间阶梯覆盖率为以下比率(以%计):特征侧壁的厚度除以特征顶部的厚度。使用本文所述方法沉积的膜表现出约80%或更高、或约90%或更高的阶梯覆盖率,这表明膜是保形的。
在整个说明书中,术语“包括/包含氮/氮源的等离子体”是指通过等离子体发生器原位或远程产生的反应性气体或气体混合物。气体或气体混合物选自氮气、氮气和氦气的混合物、氮气和氖气的混合物、氮气和氩气的混合物、氨和氮气的混合物、氮气和氢气的混合物及其组合。
本文描述了沉积具有以下特性的氮化硅或碳掺杂的氮化硅的硅前体组合物和包含这种组合物的方法:a)碳含量为约5原子%或更低,约3原子%或更低,约2原子%或更低,约1原子%或更低,如通过X射线光电子能谱(XPS)测量的,优选化学计量的氮化硅;b)氧含量为约5原子%或更低,约3原子%或更低,约2原子%或更低,约1原子%或更低,如通过X射线光电子能谱(XPS)测量的;阶梯覆盖率为90%或更高,95%或更高,99%或更高。
在一个方面,用于沉积含硅膜的组合物包含:(a)至少一种具有一个Si-C-Si或两个Si-C-Si键的硅前体化合物,其选自1,1,1,3,3,3-六氯-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-乙基-1,3-二硅杂丙烷、1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-二溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷、1-碘-1,3-二硅杂环丁烷、1,1-二碘-1,3-二硅杂环丁烷、1,3-二碘-1,3-二硅杂环丁烷、1,1,3-三碘-1,3-二硅杂环丁烷、1,1,3,3-四碘-1,3-二硅杂环丁烷和1,3-二碘-1,3-二甲基-1,3-二硅杂环丁烷,和(b)至少一种溶剂。
表1.具有一个Si-C-Si键的硅前体
Figure BDA0002184723300000081
表2.具有两个Si-C-Si键的硅前体
Figure BDA0002184723300000082
Figure BDA0002184723300000091
Figure BDA0002184723300000101
且在本发明的至少一个方面中,(b)任选地至少一种溶剂。在本文所述组合物的某些实施方案中,示例性溶剂可包括但不限于醚、叔胺、烷基烃、芳烃、叔氨基醚、硅氧烷及其组合。在某些实施方案中,具有一个Si-C-Si或两个Si-C-Si键的化合物的沸点与溶剂的沸点之间的差异为40℃或更低。溶剂中硅前体化合物的wt%可以为1至99wt%,或10至90wt%,或20至80wt%,或30至70wt%,或40至60wt%,或50至50wt%。在一些实施方案中,组合物可以使用常规的直接液体注射设备和方法通过直接液体注射到用于含硅膜的反应室中来递送。
在本文所述方法的一个实施方案中,碳含量小于5at.%或更低的氮化硅或碳掺杂的氮化硅膜使用等离子体增强ALD工艺沉积。在该实施方案中,该方法包括:
a.将包括表面特征的一个或多个衬底放入反应器中;
b.将反应器加热至环境温度至约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
c.向反应器中引入至少一种具有一个Si-C-Si键的硅前体,其选自1,1,1,3,3,3-六氯-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷和1,1,1,3,3,3-六氯-2-乙基-1,3-二硅杂丙烷;
d.用惰性气体吹扫从而除去任何未反应的硅前体,并形成包含吹扫气体和硅前体的组合物;
e.将包括/包含氨源的等离子体提供到反应器中以与表面反应而形成氮化硅或碳掺杂的氮化硅膜;
f.用惰性气体吹扫以除去任何反应副产物;
g.重复步骤c至f以提供所需厚度的氮化硅或碳掺杂氮化硅膜;
h.任选地,用400至1000℃的温度下热退火或尖峰退火或者UV光源对氮化硅或碳掺杂的氮化硅膜进行沉积后处理,其中UV曝光步骤可以在膜沉积期间进行,或者在沉积完成时进行;
i.任选地,将氮化硅或碳掺杂的氮化硅膜沉积后暴露于包含氢或惰性气体或氮的等离子体以改善膜的至少一种物理性质。
在本文所述方法的另一个实施方案中,碳含量小于5at.%或更低的氮化硅或碳掺杂的氮化硅膜使用等离子体增强ALD工艺沉积。在该实施方案中,该方法包括:
a.将包括表面特征的一个或多个衬底放入反应器中;
b.将反应器加热至环境温度至约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
c.向反应器中引入至少一种具有一个Si-C-Si键或两个Si-C-Si键的硅前体,其选自1,1,1,3,3,3-六氯-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-乙基-1,3-二硅杂丙烷、1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-二溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷、1-碘-1,3-二硅杂环丁烷、1,1-二碘-1,3-二硅杂环丁烷、1,3-二碘-1,3-二硅杂环丁烷、1,1,3-三碘-1,3-二硅杂环丁烷、1,1,3,3-四碘-1,3-二硅杂环丁烷和1,3-二碘-1,3-二甲基-1,3-二硅杂环丁烷;
d.用惰性气体吹扫从而除去任何未反应的硅前体,并形成包含吹扫气体和硅前体的组合物;
e.将包括/包含氨源的等离子体提供到反应器中以与表面反应而形成氮化硅或碳掺杂的氮化硅膜;
f.用惰性气体吹扫以除去任何反应副产物;
g.重复步骤c至f以提供所需厚度的氮化硅或碳掺杂氮化硅膜。
在本文所述方法的另一个实施方案中,碳含量为5at.%或更低的氮化硅或碳掺杂的氮化硅膜使用等离子体增强ALD工艺沉积。在该实施方案中,该方法包括:
a.将包括表面特征的一个或多个衬底放入反应器中(例如,放入常规的ALD反应器中);
b.将反应器加热至环境温度至约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
c.向反应器中引入至少一种具有两个Si-C-Si键的硅前体,其选自1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-二溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷、1-碘-1,3-二硅杂环丁烷、1,1-二碘-1,3-二硅杂环丁烷、1,3-二碘-1,3-二硅杂环丁烷、1,1,3-三碘-1,3-二硅杂环丁烷、1,1,3,3-四碘-1,3-二硅杂环丁烷和1,3-二碘-1,3-二甲基-1,3-二硅杂环丁烷;
d.用惰性气体吹扫;
e.将包括/包含氨源的等离子体提供到反应器中以与表面反应而形成氮化硅或碳掺杂的氮化硅膜;
f.用惰性气体吹扫以除去反应副产物;
g.重复步骤c至f以提供所需厚度的氮化硅或碳掺杂氮化硅膜;
h.任选地,用400至1000℃的温度的尖峰退火或者UV光源对氮化硅或碳掺杂的氮化硅膜进行沉积后处理;其中UV曝光步骤可以在膜沉积期间进行,或者在沉积完成时进行;
i.任选地,将氮化硅或碳掺杂的氮化硅膜沉积后暴露于包含氢或惰性气体或氮的等离子体以改善膜的至少一种物理性质。
在本文所述方法的另一个实施方案中,碳含量为5at.%或更低的碳掺杂的氮氧化硅膜使用等离子体增强ALD工艺沉积。在该实施方案中,该方法包括:
a.将包括表面特征的一个或多个衬底放入反应器中(例如,放入常规的ALD反应器中);
b.将反应器加热至环境温度至约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
c.向反应器中引入至少一种具有两个Si-C-Si键的硅前体,其选自1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-二溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷、1-碘-1,3-二硅杂环丁烷、1,1-二碘-1,3-二硅杂环丁烷、1,3-二碘-1,3-二硅杂环丁烷、1,1,3-三碘-1,3-二硅杂环丁烷、1,1,3,3-四碘-1,3-二硅杂环丁烷和1,3-二碘-1,3-二甲基-1,3-二硅杂环丁烷;
d.用惰性气体吹扫;
e.将包括/包含氨源的等离子体提供到反应器中以与表面反应而形成氮化硅或碳掺杂的氮化硅膜;
f.用惰性气体吹扫以除去反应副产物;
g.重复步骤c至f以提供所需厚度的氮化硅或碳掺杂氮化硅膜;
h.在约环境温度至1000℃或约100℃至400℃范围的一个或多个温度下用氧源对氮化硅或碳掺杂的氮化硅膜进行沉积后处理,以将氮化硅或碳掺杂的氮化硅膜在原位或在另一腔室中转化为氮氧化硅或碳掺杂的氮氧化硅膜。
在本文所述方法的又一个实施方案中,碳含量小于5at.%的氮化硅或碳掺杂氮化硅膜使用等离子体增强ALD工艺沉积。在该实施方案中,该方法包括:
a.将包括表面特征的一个或多个衬底放入反应器中;
b.将反应器加热至环境温度至约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
c.向反应器中引入至少一种具有一个Si-C-Si键的硅前体,其选自1,1,1,3,3,3-六氯-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷和1,1,1,3,3,3-六氯-2-乙基-1,3-二硅杂丙烷;
d.用惰性气体吹扫从而除去任何未反应的硅前体,并形成包含吹扫气体和硅前体的组合物;
e.将包括/包含氨源的第一等离子体提供到反应器中以与表面反应而形成氮化硅或碳掺杂的氮化硅膜;
f.用惰性气体吹扫以除去任何反应副产物;
g.提供包括/包含氮源的第二等离子体到反应器中以与表面反应而形成氮化硅或碳掺杂的氮化硅膜;
h.用惰性气体吹扫以除去任何反应副产物;和
i.重复步骤c至h以提供所需厚度的氮化硅或碳掺杂氮化硅膜。
在本文所述方法的又一个实施方案中,氮化硅或碳掺杂氮化硅膜具有小于5at.%或更低的碳含量并使用等离子体增强ALD工艺沉积。在该实施方案中,该方法包括:
a.将包括表面特征的一个或多个衬底放入反应器中;
b.将反应器加热至环境温度至约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
c.向反应器中引入至少一种具有两个Si-C-Si键的硅前体,其选自1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-二溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷、1-碘-1,3-二硅杂环丁烷、1,1-二碘-1,3-二硅杂环丁烷、1,3-二碘-1,3-二硅杂环丁烷、1,1,3-三碘-1,3-二硅杂环丁烷、1,1,3,3-四碘-1,3-二硅杂环丁烷和1,3-二碘-1,3-二甲基-1,3-二硅杂环丁烷;
d.用惰性气体吹扫从而除去任何未反应的硅前体,并形成包含吹扫气体和硅前体的组合物;
e.提供包括/包含氮源的第一等离子体到反应器中以与表面反应而形成氮化硅或碳掺杂的氮化硅膜;
f.用惰性气体吹扫以除去任何反应副产物;
g.提供包括/包含氨源的第二等离子体到反应器中以与表面反应而形成氮化硅或碳掺杂的氮化硅膜;
h.用惰性气体吹扫以除去任何反应副产物;和
i.重复步骤c至h以提供所需厚度的氮化硅或碳掺杂氮化硅膜。
在一个实施方案中,衬底包括至少一个特征,其中该特征包括具有1:9或更大的纵横比(aspect ratio),180nm或更小的开口的图案沟槽。
在某些实施方案中,引入步骤中反应器的温度为约室温(例如20℃)至约600℃范围的一个或多个温度。衬底温度的替代范围具有以下端点中的一个或多个:20、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475和500℃。示例性温度范围包括以下:20至300℃,100至300℃或100至350℃。
在又一个实施方案中,用于沉积含硅膜的容器包含一种或多种本文所述的硅前体化合物。在一个特定实施方案中,容器是至少一个具有如美国专利No.US7334595;US6077356;US5069244;和US5465766(其公开内容通过引用并入本文)中所公开的设计的可加压容器(优选地是不锈钢容器)。容器可以包括玻璃(硼硅酸盐或石英玻璃)或316、316L、304或304L型不锈钢合金(UNS名称S31600、S31603、S30400 S30403),其配备有适当的阀门和配件,以允许将一种或多种前体输送到用于CVD或ALD工艺的反应器。在该实施方案或其他实施方案中,硅前体在由不锈钢构成的可加压容器中提供,并且前体的纯度为98重量%或更高或者99.5%或更高,这适合于半导体应用。硅前体化合物优选基本上不含金属离子,如Al3+、Fe2+、Fe3+、Ni2+、Cr3+离子。如本文所用,术语“基本上不含”在涉及Al3+、Fe2+、Fe3+、Ni2 +、Cr3+离子时是指小于约5ppm(以重量计),优选小于约3ppm,更优选小于约1ppm,最优选约0.1ppm。术语“基本上不含”在涉及Al、Fe、Ni、Cr时是指小于5ppm(重量),优选小于3ppm,更优选小于1ppm,最优选0.1ppm,如通过ICP-MS测量的。在某些实施方案中,如果需要,此类容器还可具有用于将前体与一种或多种另外的前体混合的装置。在这些或其他实施方案中,容器的内容物可以与另外的前体预混合。或者,硅前体和/或其他前体可以保持在单独的容器中或在具有分隔装置的单个容器中用于在储存期间保持硅前体和其它前体分离。
含硅膜沉积在诸如半导体衬底的衬底的至少一个表面上。在本文所述的方法中,衬底可以由本领域公知的各种材料构成和/或涂覆,包括硅膜,如晶体硅或非晶硅、氧化硅、氮化硅、无定形碳、碳氧化硅、氮氧化硅、碳化硅、锗、锗掺杂硅、硼掺杂硅、金属(如铜、钨、铝、钴、镍、钽)、金属氮化物(如氮化钛、氮化钽)、金属氧化物、III/V族金属或类金属如GaAs、InP、GaP和GaN,以及它们的组合。这些涂层可以完全涂覆半导体衬底,可以是各种材料的多个层,并且可以部分蚀刻以暴露下面的材料层。表面还可以在其上具有光致抗蚀剂材料,该光致抗蚀剂材料已经用图案曝光并显影以部分涂覆衬底。在某些实施方案中,半导体衬底包含至少一个选自孔、通孔、沟槽及其组合的表面特征。含硅膜的潜在应用包括但不限于用于FinFET或纳米片的低k间隔物,用于自对准图案化工艺的牺牲硬掩模(例如SADP、SAQP或SAOP)。
用于形成含硅膜或涂层的沉积方法是沉积工艺。用于本文公开的方法的合适沉积工艺的实例包括但不限于化学气相沉积或原子层沉积工艺。如本文所用,术语“化学气相沉积工艺”是指其中衬底暴露于一种或多种挥发性前体的任何过程,所述前体在衬底表面上反应和/或分解以产生所需的沉积。如本文所用,术语“原子层沉积工艺”是指自限式的(例如,在每个反应循环中沉积的膜材料的量是恒定的)、顺序表面化学,其将材料膜沉积到不同组成的衬底上。尽管本文中使用的前体、试剂和源有时可描述为“气态的”,但应理解前体可以是液体或固体,其通过直接蒸发、鼓泡或升华在有或没有惰性气体的情况下输送到反应器中。在某些情况下,气化的前体可以通过等离子体发生器。
在一个实施方案中,使用ALD工艺沉积含硅膜。在另一个实施方案中,使用循环CVD(CCVD)工艺沉积含硅膜。在进一步的实施方案中,使用热ALD工艺沉积含硅膜。如本文所用的术语“反应器”包括但不限于反应室或沉积室。
在某些实施方案中,本文公开的方法通过使用ALD或循环CVD方法避免前体的预反应,所述ALD或循环CVD方法在引入反应器之前和/或期间分隔前体。在这一方面,使用如ALD或CCVD工艺的沉积技术来沉积含硅膜。在一个实施方案中,通过ALD工艺在典型的单晶片ALD反应器、半批式ALD反应器或批式炉ALD反应器中通过将衬底表面交替暴露于一种或多种含硅前体、氧源、含氮源或其他前体或试剂来沉积膜。膜生长通过表面反应的自限制控制、每种前体或试剂的脉冲长度和沉积温度来进行。然而,一旦衬底表面饱和,膜生长停止。在另一个实施方案中,通过将衬底移动或旋转到反应器的不同部分将包括硅前体和反应性气体的每种反应物暴露于衬底,并且每个部分通过惰性气帘分隔,即空间ALD反应器或辊对辊ALD反应器。
取决于沉积方法,在某些实施方案中,本文所述的硅前体和任选地其它含硅前体可以以预定的摩尔体积或约0.1至约1000微摩尔引入反应器中。在该实施方案或其他实施方案中,可将前体引入反应器中预定的时间段。在某些实施方案中,该时间段为约0.001至约500秒。
在某些实施方案中,使用本文所述方法沉积的氮化硅或碳掺杂的硅膜用氧源、包含氧的试剂或前体(即水蒸气)处理以转化成碳掺杂的氮氧化物。氧源可以以至少一种氧源的形式引入反应器中和/或可以附带地存在于沉积过程中使用的其他前体中。合适的氧源气体可包括例如空气、水(H2O)(例如,去离子水、纯净水、蒸馏水、水蒸气、水蒸气等离子体、过氧化氢、含氧水、空气,包含水和其他有机液体的组合物)、氧气(O2)、氧气等离子体、臭氧(O3)、一氧化氮(NO)、二氧化氮(NO2)、一氧化二氮(N2O)、一氧化碳(CO)、过氧化氢(H2O2)、包含水的等离子体、包含水和氩的等离子体、过氧化氢、包含氢的组合物、包含氢和氧的组合物、二氧化碳(CO2)、空气及其组合。在某些实施方案中,氧源包括以约1至约10000平方立方厘米(sccm)或约1至约1000sccm的流速引入反应器中的氧源气体。氧源可以引入约0.1至约100秒范围的时间。催化剂选自路易斯碱,如吡啶、哌嗪、三甲胺、叔丁胺、二乙胺、三甲胺、乙二胺、氨或其它有机胺。
在其中膜通过ALD或循环CVD工艺沉积的实施方案中,前体脉冲可具有大于0.01秒的脉冲持续时间,并且氧源可具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。
在某些实施方案中,氧源连续地流入反应器,同时前体脉冲和等离子体依次引入。前体脉冲可具有大于0.01秒的脉冲持续时间,而等离子体持续时间可在0.01秒至100秒的范围内。
在某些实施方案中,含硅膜包含硅和氮。在这些实施方案中,使用本文所述方法沉积的含硅膜在含氮源的存在下形成。含氮源可以以至少一种氮源的形式引入反应器中和/或可以附带地存在于沉积过程中使用的其它前体中。
合适的含氨气体可包括例如氨、氨和惰性气体的混合物、氨和氮的混合物、氨和氢的混合物以及它们的组合。
在某些实施方案中,氮源以约1至约10000平方立方厘米(sccm)或约1至约1000sccm范围的流速引入反应器中。含氮源可以引入约0.1至约100秒的时间段。在其中膜使用氮气和氧气源通过ALD或循环CVD工艺沉积的实施方案中,前体脉冲可具有大于0.01秒的脉冲持续时间,并且氮源可具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在又一个实施方案中,脉冲之间的吹扫持续时间可以低至0秒或者连续脉冲而没有其间的吹扫。
本文公开的沉积方法包括使用吹扫气体从反应器中吹扫不需要的或未反应的材料的一个或多个步骤。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性吹扫气体包括但不限于氩气(Ar)、氮气(N2)、氦气(He)、氖气(Ne)、氢气(H2)及其组合。在某些实施方案中,将吹扫气体如Ar以约10至约10000sccm范围的流速供应到反应器中约0.1至1000秒,从而吹扫可能残留在反应器中的未反应的物质和任何副产物。
供应前体、氧源、含氨源和/或其他前体、源气体和/或试剂的相应步骤可以通过改变供应它们的时间来进行以改变所得膜的化学计量组成。
能量施加到前体、含氨源、还原剂如氢等离子体、其他前体或其组合中的至少一种以诱导反应和在衬底上形成膜或涂层。这种能量可以通过但不限于热、等离子体、脉冲等离子体、螺旋等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合来提供。
在某些实施例中,次级RF射频源可用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方案中,等离子体生成过程可包括直接等离子体生成过程,其中等离子体在反应器中直接产生,或者可选地,远程等离子体生成生过程,其中等离子体在反应器外部产生并供应到反应器中。
可以以各种方式将硅前体和/或其他含硅前体递送至反应室,如CVD或ALD反应器。在一个实施方案中,可以使用液体输送系统。在一个替代实施方案中,可以采用组合的液体输送和闪蒸工艺单元,例如由Shoreview,MN的MSP Corporation制造的涡轮蒸发器,以使低挥发性材料能够定量输送,这导致可再现的输送和沉积而不会使前体热分解。在液体递送配置中,本文所述的前体可以以纯液体形式递送,或者可以在溶剂制剂或包含其的组合物中使用。因此,在某些实施方案中,前体制剂可包括具有合适特性的溶剂组分,如在给定的最终用途应用中可能是理想的和有利的以在衬底上形成膜。
在该实施方案或其他实施方案中,应当理解,本文描述的方法的步骤可以以各种顺序执行,可以顺序地或同时地(例如,在另一步骤的至少一部分期间)进行,以及以其任何组合进行。供应前体和含氮源气体的相应步骤可以通过改变供应它们的持续时间来进行以改变所得含硅膜的化学计量组成。
在本文所述方法的再进一步的实施方案中,使膜或如此沉积的膜经受处理步骤。处理步骤可以在沉积步骤的至少一部分期间、在沉积步骤之后进行,以及以它们的组合进行。示例性处理步骤包括但不限于通过高温热退火处理;等离子处理;紫外(UV)光处理;激光;电子束处理及其组合以影响膜的一种或多种性质。与在相同条件下用先前公开的硅前体沉积的膜相比时,用本文所述的具有一个或两个Si-C-Si键的硅前体沉积的膜具有改善的性质,例如但不限于湿蚀刻速率(其低于处理步骤之前的膜的湿蚀刻速率)或密度(其高于处理步骤之前的密度)。在一个特定实施方案中,在沉积工艺期间,将如此沉积的膜间歇地处理。这些间歇或中间沉积处理可以例如在每个ALD循环之后、在一定数量的ALD之后进行,例如但不限于一(1)个ALD循环、两(2)个ALD循环、五(5)个ALD循环或每十(10)个或更多个ALD循环后。
在其中膜用高温退火步骤处理的实施方案中,退火温度为至少100℃或高于沉积温度。在该实施方案或其他实施方案中,退火温度范围为约400℃至约1000℃。在该实施方案或其他实施方案中,退火处理可在真空(<760托)、惰性环境或含氧环境(例如臭氧、H2O、H2O2、N2O、NO2或O2)中进行。
在其中膜进行UV处理的实施方案中,膜暴露于宽带UV,或者可选地,波长范围为约150纳米(nm)至约400nm的UV源。在一个特定实施方案中,在达到所需的膜厚度之后,如此沉积的膜在与沉积室不同的室中暴露于UV。
在其中膜用等离子体处理的实施方案中,沉积钝化层如碳掺杂的氧化硅以防止氯和氮污染物在随后的等离子体处理中渗透薄膜。钝化层可以使用原子层沉积或循环化学气相沉积来沉积。
在其中膜用等离子体处理的实施方案中,等离子体源选自氢等离子体、包含氢和氦的等离子体、包含氢和氩的等离子体。氢等离子体降低膜介电常数并提高对随后等离子体灰化工艺的抗损伤性,而同时仍保持本体中的碳含量几乎不变。
以下实施例说明了本发明的某些方面,并不限制所附权利要求的范围。
实施例
在以下实施例中,除非另有说明,否则性质从沉积在作为衬底的电阻率为5-20Ω-cm的硅晶片上的样品膜获得。所有膜沉积使用CN-1反应器进行,该反应器具有13.56MHz直接等离子体的喷头设计。
在典型的工艺条件中,除非另有说明,否则腔室压力固定在约1至约5托的压力下。额外的惰性气体用于维持腔室压力。
膜沉积包括表3和4中列出的用于热ALD和等离子体增强ALD(“PEALD”)的步骤。表3中的步骤a至d构成一个PEALD循环并重复。表4或5中的步骤a至f构成一个PEALD循环并重复。除非另有说明,否则重复总共100或200或300或500次以获得所需的膜厚度。
表3.ALD氮化硅膜的沉积步骤
Figure BDA0002184723300000221
表4.ALD氮化硅膜的沉积步骤
Figure BDA0002184723300000222
表5:ALD氮化硅膜的沉积步骤
Figure BDA0002184723300000231
使用椭圆偏振计测量沉积膜的折射率(RI)和厚度。使用标准方程计算膜不均匀性:%不均匀性=((最大厚度-最小厚度)/(2*平均(avg)厚度))。使用傅里叶变换红外(FTIR)光谱和X射线光电子能谱(XPS)分析膜结构和组成。用X射线反射法(XRR)测量膜的密度。
实施例1:使用1,1,3,3-四氯-1,3-二硅杂环丁烷和NH3/氩等离子体的ALD氮化硅
将硅晶片加载到配备有具有13.56MHz直接等离子体的喷头设计的CN-1反应器中,并在腔室压力为1托的情况下加热至300℃。作为硅前体的1,1,3,3-四氯-1,3-二硅杂环丁烷使用鼓泡或蒸气抽吸作为蒸气输送到反应器中。
ALD循环由表3中提供的除步骤e和f之外的工艺步骤组成;并使用以下工艺参数:
a.将1,1,3,3-四氯-1,3-二硅杂环丁烷的蒸气引入反应器中
氩气流:通过前体容器100sccm
脉冲:2秒
Ar流:1000sccm
b.吹扫
氩气流:1000sccm
吹扫时间:10秒
c.引入氨等离子体
氩气流:1000sccm
氨流:100sccm
等离子体功率:300W
脉冲:10秒
d.吹扫
氩气流:1000sccm
吹扫时间:5秒
步骤a至d重复250个循环以提供30nm的氮化硅,其组成为58.0at.%的氮,39.7at%的硅,2.3at.%的氧和0.12at.%的氯。碳是不可检测的。折射率(RI)为约1.90。当暴露于环境空气超过24小时时,如此沉积的氮化硅没有变化,这表明它是稳定的膜。
实施例2:使用1,1,3,3-四氯-1,3-二硅杂环丁烷和NH3/氩等离子体的ALD氮化硅
将硅晶片加载到配备具有13.56MHz直接等离子体的喷头设计的CN-1反应器中,并在腔室压力为1托的情况下加热至300℃。使用鼓泡将1,1,3,3-四氯-1,3-二硅杂环丁烷作为蒸气输送到反应器中。ALD循环由表3中提供的除步骤e和f之外的工艺步骤组成;并使用以下工艺参数:
a.将1,1,3,3-四氯-1,3-二硅杂环丁烷的蒸气引入反应器中
氩气流:通过前体容器100sccm
脉冲:2秒
氩:1000sccm
b.惰性气体吹扫
氩气流:1000sccm
吹扫时间:10秒
c.引入氨等离子体
氩气流:1000sccm
氨流:25sccm
等离子体功率:300W
脉冲:10秒
d.吹扫
氩气流:1000sccm
吹扫时间:5秒
步骤a至d重复250个循环以提供30nm氮化硅,其组成为58.0at.%的氮,39.6at.%的硅,2.2at.%的氧和0.27at%的氯。碳是不可检测的。折射率(RI)为约1.90。
实施例3:使用1,1,3,3-四氯-1,3-二硅杂环丁烷、NH3/氩等离子体和氮/Ar等离子体的ALD氮化硅
将硅晶片加载到配备具有13.56MHz直接等离子体的喷头设计的CN-1反应器中,并且在腔室压力为1托的情况下加热至300℃。使用鼓泡将1,1,3,3-四氯-1,3-二硅杂环丁烷作为蒸气输送到反应器中。ALD循环由表4中提供的工艺步骤组成,并使用以下工艺参数:
a.将1,1,3,3-四氯-1,3-二硅杂环丁烷的蒸气引入反应器中
氩气流:通过前体容器100sccm
脉冲:2秒
氩:1000sccm
b.惰性气体吹扫
氩气流:1000sccm
吹扫时间:20秒
c.引入氨等离子体
氩气流:1000sccm
氨流:50sccm
等离子体功率:100W
脉冲:10秒
d.吹扫
氩气流:1000sccm
吹扫时间:5秒
e.引入氮等离子体
氩气流:1000sccm
氮气流:500sccm
等离子体功率:100W
脉冲:5秒
f.吹扫
氩气流:1000sccm
吹扫时间:5秒
步骤a至f重复400个循环以提供24nm的氮化硅,其组成为40.76at.%氮,37.04at.%硅,0.23at.%氧和0.17at.%氯,碳0.28at.%。密度为2.73g/cm3。折射率(RI)为约1.90。
实施例4:使用1,1,3,3-四氯-1,3-二硅杂环丁烷、氮/Ar等离子体和NH3/氩等离子体的ALD氮化硅
将硅晶片加载到配备具有13.56MHz直接等离子体的喷头设计的CN-1反应器中,并且在腔室压力为1托的情况下加热至300℃。使用鼓泡将1,1,3,3-四氯-1,3-二硅杂环丁烷作为蒸气输送到反应器中。ALD循环由表5中提供的工艺步骤组成,并使用以下工艺参数:
a.将1,1,3,3-四氯-1,3-二硅杂环丁烷的蒸气引入反应器中
氩气流:通过前体容器100sccm
脉冲:2秒
氩:1000sccm
b.惰性气体吹扫
氩气流:1000sccm
吹扫时间:30秒
c.引入氮等离子体
氩气流:1000sccm
氮气流:500sccm
等离子体功率:100W
脉冲:5秒
d.吹扫
氩气流:1000sccm
吹扫时间:5秒
e.引入氨等离子体
氩气流:1000sccm
氨流:50sccm
等离子体功率:100W
脉冲:10秒
f.吹扫
氩气流:1000sccm
吹扫时间:5秒
步骤a至f重复400个循环以提供40nm的氮化硅,其组成为58.78at.%的氮、38.30at.%的硅、2.43at.%的氧和0.50at.%的氯,碳0.28at.%。密度为2.75g/cm3。折射率(RI)为约1.90。
尽管以上参考某些特定实施方案和工作实施例进行了说明和描述,但是本发明并不旨在限于所示的细节。而是,在不脱离本发明的精神的情况下,可以在权利要求的等同的范围和范畴内对细节进行各种修改。例如,明确意图是在本文中广泛叙述的所有范围在其范围内包括落入该较宽范围内的所有较窄范围。

Claims (16)

1.一种通过等离子体增强ALD工艺形成含硅和氮的膜的方法,该方法包括:
a)在反应器中提供包含表面特征的衬底;
b)将反应器加热至最高达600℃的范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
c)向反应器中引入至少一种具有两个Si-C-Si键并选自以下的硅前体:1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-二溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷、1-碘-1,3-二硅杂环丁烷、1,1-二碘-1,3-二硅杂环丁烷、1,3-二碘-1,3-二硅杂环丁烷、1,1,3-三碘-1,3-二硅杂环丁烷、1,1,3,3-四碘-1,3-二硅杂环丁烷和1,3-二碘-1,3-二甲基-1,3-二硅杂环丁烷,以在所述衬底上形成含硅物质;
d)使用第一惰性气体吹扫所述反应器的来自步骤c的任何未反应的硅前体和/或任何反应副产物;
e)将包含氨源的等离子体提供到所述反应器中以与所述含硅物质反应而形成氮化硅膜;
f)用第二惰性气体吹扫所述反应器的来自步骤e的任何进一步的反应副产物;和
g)根据需要重复步骤c至f以使所述氮化硅膜达到预定的厚度;
其中所述膜的介电常数(k)为7或更小,氧含量为5 at.%或更低,且碳含量为5 at.% 或更低,其通过X射线光电子能谱测量。
2.根据权利要求1所述的方法,其中所述氮化硅膜是碳掺杂的氮化硅膜。
3.根据权利要求1或2所述的方法,还包括:
在400至1000℃范围的温度下用尖峰退火处理所述氮化硅膜。
4.根据权利要求1或2所述的方法,还包括:
在所述氮化硅膜沉积期间或之后将所述氮化硅膜暴露于UV光源。
5.根据权利要求1或2所述的方法,还包括:
将所述氮化硅膜暴露于包含氢或惰性气体或氮的等离子体。
6.根据权利要求1或2所述的方法,还包括:
在环境温度至1000℃的一个或多个温度下用氧源原位或在与反应器分开的腔室中处理所述氮化硅膜以将所述氮化硅转化为氮氧化硅膜。
7.根据权利要求6所述的方法,其中所述氮化硅膜是碳掺杂的氮化硅膜,并且其中所述用氧源处理的步骤将所述碳掺杂的氮化硅转化为碳掺杂的氮氧化硅膜。
8.根据权利要求1所述的方法,还包括在300℃至1000℃的温度下对所述氮化硅膜进行热退火。
9.根据权利要求2所述的方法,还包括在300℃至1000℃的温度下对所述碳掺杂的氮化硅膜进行热退火。
10.根据权利要求7所述的方法,还包括用惰性气体等离子体或氢/惰性气体等离子体或氮等离子体在25℃至600℃范围的温度下对所述氮化硅膜或所述碳掺杂的氮化硅膜或所述氮氧化硅膜或所述碳掺杂的氮氧化硅膜进行等离子体处理。
11.根据权利要求1或2所述的方法,还包括:
h)将包含氮源的等离子体提供到所述反应器中以与所述含硅物质反应并进一步形成所述氮化硅膜;和
i)用第二惰性气体吹扫所述反应器的任何进一步的反应副产物,
其中重复步骤c至f的步骤g)还包括重复步骤h和i,使得重复步骤c至i以使所述氮化硅膜达到预定厚度。
12.一种膜,所述膜根据权利要求1-11中任一项的方法形成,其介电常数(k)为7或更小,氧含量为5 at.%或更低,且碳含量为5 at.% 或更低,其通过X射线光电子能谱测量。
13.根据权利要求12所述的膜,其碳含量为3原子重量%或更低,其通过X射线光电子能谱测量。
14.根据权利要求13所述的膜,其碳含量为2原子重量%或更低,其通过X射线光电子能谱测量。
15.根据权利要求14所述的膜,其碳含量为1原子重量%或更低,其通过X射线光电子能谱测量。
16.根据权利要求12所述的膜,其介电常数(k)为7或更低,氧含量为5 at.%或更低,碳含量为5 at.%或更低,并且密度为2.7 g/cm3或更高。
CN201910809779.4A 2018-08-29 2019-08-29 用于制备含硅和氮的膜的方法 Active CN110872703B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210697477.4A CN114959653A (zh) 2018-08-29 2019-08-29 用于制备含硅和氮的膜的方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862724205P 2018-08-29 2018-08-29
US62/724,205 2018-08-29
US16/553,091 2019-08-27
US16/553,091 US10985010B2 (en) 2018-08-29 2019-08-27 Methods for making silicon and nitrogen containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202210697477.4A Division CN114959653A (zh) 2018-08-29 2019-08-29 用于制备含硅和氮的膜的方法

Publications (2)

Publication Number Publication Date
CN110872703A CN110872703A (zh) 2020-03-10
CN110872703B true CN110872703B (zh) 2022-06-24

Family

ID=67809396

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201910809779.4A Active CN110872703B (zh) 2018-08-29 2019-08-29 用于制备含硅和氮的膜的方法
CN202210697477.4A Pending CN114959653A (zh) 2018-08-29 2019-08-29 用于制备含硅和氮的膜的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202210697477.4A Pending CN114959653A (zh) 2018-08-29 2019-08-29 用于制备含硅和氮的膜的方法

Country Status (7)

Country Link
US (1) US10985010B2 (zh)
EP (1) EP3620549B1 (zh)
JP (2) JP6959304B2 (zh)
KR (2) KR20200026143A (zh)
CN (2) CN110872703B (zh)
SG (1) SG10201907960SA (zh)
TW (3) TWI710661B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US20210395884A1 (en) * 2020-06-23 2021-12-23 Entegris, Inc. Silicon precursor compounds and method for forming silicon-containing films
CN112635475B (zh) * 2020-12-18 2024-05-24 长江存储科技有限责任公司 一种堆叠结构及其制备方法
US20220199458A1 (en) * 2020-12-18 2022-06-23 Intel Corporation Gap fill dielectrics for electrical isolation of transistor structures in the manufacture of integrated circuits
US20220216048A1 (en) * 2021-01-06 2022-07-07 Applied Materials, Inc. Doped silicon nitride for 3d nand
CN114242578B (zh) * 2022-02-21 2022-06-17 威海银创微电子技术有限公司 SGT Mosfet中IPO厚度的可控方法、装置及介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103582719A (zh) * 2011-06-03 2014-02-12 气体产品与化学公司 用于沉积碳掺杂含硅膜的组合物和方法
CN107406978A (zh) * 2015-02-06 2017-11-28 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2614338B2 (ja) 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
US5204138A (en) * 1991-12-24 1993-04-20 International Business Machines Corporation Plasma enhanced CVD process for fluorinated silicon nitride films
JP3258439B2 (ja) * 1993-04-14 2002-02-18 株式会社半導体エネルギー研究所 気相反応装置
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
DE69738136T2 (de) 1996-12-17 2008-06-12 Advanced Technology Materials, Inc., Danbury Reagenzzuführbehälter für cvd
JP2000100812A (ja) 1998-09-17 2000-04-07 Tokyo Electron Ltd シリコンナイトライド膜の成膜方法
JP4209563B2 (ja) 1999-09-17 2009-01-14 東京エレクトロン株式会社 窒化シリコン膜の形成方法
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
JP5102393B2 (ja) * 2008-06-03 2012-12-19 エア プロダクツ アンド ケミカルズ インコーポレイテッド ケイ素含有フィルムの低温堆積
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
WO2014134476A1 (en) 2013-03-01 2014-09-04 Applied Materials, Inc. LOW TEMPERATURE ATOMIC LAYER DEPOSITION OF FILMS COMPRISING SiCN OR SiCON
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
JP5883049B2 (ja) * 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
KR102332415B1 (ko) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
KR102658085B1 (ko) 2015-07-09 2024-04-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 알킬아미노 치환 할로카보실란 전구체
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
CN109715850A (zh) 2016-09-26 2019-05-03 美国陶氏有机硅公司 三氯二硅烷
US20190309416A1 (en) 2016-09-28 2019-10-10 Dow Silicones Corporation Chlorodisilazanes
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103582719A (zh) * 2011-06-03 2014-02-12 气体产品与化学公司 用于沉积碳掺杂含硅膜的组合物和方法
CN107406978A (zh) * 2015-02-06 2017-11-28 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films

Also Published As

Publication number Publication date
US10985010B2 (en) 2021-04-20
EP3620549B1 (en) 2023-11-08
US20200075312A1 (en) 2020-03-05
JP6959304B2 (ja) 2021-11-02
TW202208663A (zh) 2022-03-01
CN110872703A (zh) 2020-03-10
KR102543855B1 (ko) 2023-06-14
SG10201907960SA (en) 2020-03-30
JP2022008961A (ja) 2022-01-14
CN114959653A (zh) 2022-08-30
TWI749655B (zh) 2021-12-11
TWI710661B (zh) 2020-11-21
KR20220059456A (ko) 2022-05-10
EP3620549A1 (en) 2020-03-11
JP7182680B2 (ja) 2022-12-02
TW202014549A (zh) 2020-04-16
KR20200026143A (ko) 2020-03-10
TW202039924A (zh) 2020-11-01
JP2020033643A (ja) 2020-03-05
TWI785891B (zh) 2022-12-01

Similar Documents

Publication Publication Date Title
CN110872703B (zh) 用于制备含硅和氮的膜的方法
CN110872700B (zh) 制备具有高碳含量的含硅膜的方法
JP2024032775A (ja) ケイ素及び窒素を含有する膜を製造するための方法
CN112805405B (zh) 用于制备含硅和氮的膜的方法
CN117980534A (zh) 用于包含硅和硼的膜的组合物及其使用方法
Si I4 PCl I

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant