KR20200026143A - 규소 및 질소 포함 필름의 제조 방법 - Google Patents

규소 및 질소 포함 필름의 제조 방법 Download PDF

Info

Publication number
KR20200026143A
KR20200026143A KR1020190106792A KR20190106792A KR20200026143A KR 20200026143 A KR20200026143 A KR 20200026143A KR 1020190106792 A KR1020190106792 A KR 1020190106792A KR 20190106792 A KR20190106792 A KR 20190106792A KR 20200026143 A KR20200026143 A KR 20200026143A
Authority
KR
South Korea
Prior art keywords
silicon nitride
disilacyclobutane
plasma
reactor
film
Prior art date
Application number
KR1020190106792A
Other languages
English (en)
Inventor
하리핀 찬드라
신지안 레이
무성 김
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20200026143A publication Critical patent/KR20200026143A/ko
Priority to KR1020220051266A priority Critical patent/KR102543855B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)

Abstract

조성물, 및 상기 조성물을 전자 디바이스의 제작에서 사용하는 방법이 개시된다. 고품질 질화규소 또는 탄소 도핑된 질화규소를 증착하기 위한 화합물, 조성물 및 방법이 개시된다.

Description

규소 및 질소 포함 필름의 제조 방법{METHODS FOR MAKING SILICON AND NITROGEN CONTAINING FILMS}
관련 출원에 관한 상호 참조
본원은, 그 전체 내용을 본원에서 모든 허용가능한 목적을 위해 참고로 인용하는, 2018년 8월 29일 출원된 미국 가출원 제62/724,205호의 우선권을 청구한다.
발명의 분야
본 발명은 전자 디바이스의 제작을 위한 조성물 및 방법에 관한 것이다. 더욱 상세하게는, 본 발명은 유전 상수(< 7.0) 및 높은 산소 애쉬 내성의, 화학량론적 질화규소, 탄소 도핑된 질화규소 필름 및 탄소 도핑된 산질화규소 필름을 포함하나 이에 한정되지 않는 규소 포함 필름의 증착을 위한 화합물, 조성물 및 방법에 관한 것이다.
질화규소 필름은 다양한 적용을 위해 반도체에 사용된다. 예컨대, 질화규소 필름은 집적 회로용의 최종 부동태화 및 기계적 보호 층으로서, 규소의 선택적 산화를 위한 마스크층으로서, DRAM 커패시터 내 또는 3D NAND 플래시 메모리 칩 내 적층 산화물-질화물-산화물(O-N-O) 층 중 유전체 재료로서, 또는 얕은 트렌치 격리 용도에서의 CMP 정지층으로서 사용된다. 하나의 특정한 용도에 있어서, 3D NAND 플래시 내 O-N-O 스택은 인산 중 높은 습식 에칭 속도 및 낮은 응력을 갖는 질화규소를 필요로 한다.
문헌[Olsen, "Analysis of LPCVD Process Conditions for the Deposition of Low Stress Silicon Nitride", 5 Materials Science in Semiconductor Process 51 (2002)]은 저압 화학 증착에 의한 저응력 질화규소 필름의 증착의 최적화에 사용되는 광범위한 또는 공정 조건을 기재한다. 결과는, 가스 흐름의 증가에 의해 2.3을 초과하는 굴절률의 증가가 잔류 응력을 눈에 띄게 감소시키지 않았지만, 두께 균일도 및 증착 속도에 유의적인 유해한 영향을 미쳤음을 보여준다.
문헌[Taylor et al., "Hexachlorodisilane as a Precursor in the LPCVD of Silicon Dioxide and Silicon Oxynitride Films", 136 J. Electrochem. Soc. 2382 (1989)]은 Si2Cl6, N2 및 NH3의 기상 혼합물을 사용하는 LPCVD에 의한, 이산화규소 및 산질화규소의 필름의 성장을 기재한다. 600-850℃의 범위의 온도에서 HCDS, N2O 및 NH3의 기상 혼합물을 사용하는 LPCVD에 의해 이산화규소 및 산질화규소의 필름을 성장시켰다. 증착된 이산화규소 및 산질화규소 필름은 전형적으로 <1 원자%의 낮은 염소 함량을 나타냈다.
문헌[M. Tanaka et al., "Film Properties of Low-k Silicon Nitride Films Formed by Hexachlorodisilane and Ammonia", 147 J. Electrochem. Soc. 2284 (2000)]은 헥사클로로디실란(HCD)를 사용하여 저압 화학 증착(LPCVD)에 의해 형성된 질화규소(SiN)의 양호한 스텝 덮임율(step coverage)을 갖는 저온 공정을 기재한다.
JP2000100812는 공급원 가스로서 SiCl4 및 NH3을 사용하는 필름의 증착 방법을 기재한다. 증착 전에 NH3을 사용하여 기판 표면을 질화시킬 수 있다. 개선된 절연체 특성을 갖는 상당히 얇은 필름이 형성된다. 질화규소 필름은 반도체 집적 회로의 커패시터 절연체 필름으로서 유용하다.
US 특허 제6,355,582호는 필름을 형성시키고자 하는 기판을 가열하고, 사염화규소 및 암모니아 가스를, 소정 온도로 가열된 기판에 공급하는, 질화규소 필름의 형성 방법을 기재한다.
US 특허 제10,049,882호는 높이 차이를 갖는 구조체 상에 유전체층을 형성하는 단계를 포함하는, 반도체 디바이스의 제작을 위한 원자층 증착(ALD) 방법을 기재한다. 상기 방법은, 기판 상에 높이 차이를 갖는 구조체를 형성하는 단계, 및 상기 구조체 상에 유전체층 구조체를 형성하는 단계를 포함한다. 유전체층 구조체의 형성은, 높이 차이를 갖는 구조체 상에 질화규소를 포함하는 제1 유전체층을 형성하는 것을 포함한다. 제1 유전체층의 형성은, 규소 전구체로서 펜타클로로디실란(PCDS) 또는 디이소프로필아민 펜타클로로디실란(DPDC)을 포함하는 제1 가스, 및 질소 성분을 포함하는 제2 가스를, 기판을 포함하는 챔버에 공급하여, 높이 차이를 갖는 구조체 상에 동일계에서 제1 유전체층을 형성시키는 것을 포함한다.
PCT 공개 제WO2018063907호는 클로로디실라잔의 부류, 이로부터 합성된 규소-헤테로 원자 화합물, 규소-헤테로 원자 화합물을 포함하는 디바이스, 클로로디실라잔, 규소-헤테로 원자 화합물 및 디바이스의 제조 방법; 및 클로로디실라잔, 규소-헤테로 원자 화합물 및 디바이스의 용도를 개시한다.
PCT 공개 제WO2018057677호는 필름 형성에 사용하기 위한 규소 전구체로서 트리클로로디실란을 포함하는 조성물을 개시한다. 상기 조성물은 규소 전구체 화합물, 및 불활성 가스, 분자 수소, 탄소 전구체, 질소 전구체 및 산소 전구체 중 1종 이상을 포함한다. 상기 공개는 또한 규소 전구체 화합물을 사용하여 기판 상에 규소 포함 필름을 형성하는 방법, 및 이에 의해 형성된 규소 포함 필름을 개시한다.
US 특허 제9,984,868호는 기판 상의 질화규소 필름의 순환 증착 방법을 개시한다. 일구체예에서, 이러한 방법은 규소 전구체로서의 할로겐 실란을 반응기에 공급하는 단계; 퍼지 가스를 반응기에 공급하는 단계; 및 이온화된 질소 전구체를 반응기에 제공하여 기판과 반응시키고, 질화규소 필름을 형성시키는 단계를 포함한다.
마지막으로, US 공개 제2009/0155606호는 기판 상의 질화규소 필름의 순환 증착 방법을 개시한다. 일구체예에서, 상기 방법은 기판이 가공될 반응기에 클로로실란을 공급하는 단계; 퍼지 가스를 반응기에 공급하는 단계; 및 암모니아 플라즈마를 반응기에 제공하는 단계를 포함한다. 상기 방법은 낮은 가공 온도 및 높은 증착 속도로 질화규소 필름을 형성 가능하게 한다. 생성된 질화규소 필름은 비교적 적은 불순물 및 비교적 높은 품질을 갖는다. 또한, 높은 종횡비 및 얇고 균일한 두께를 갖는 피쳐 상에서의 양호한 스텝 덮임율을 갖는 질화규소 필름이 형성될 수 있다.
전자기술 산업 내의 특정 분야를 위한, 높은 탄소 함량(예컨대 X선 광전자 분광법(XPS)에 따라 측정시 약 10 원자% 이상의 탄소 함량)의 도핑된 규소 포함 필름을 증착시키기 위한 조성물 및 이의 사용 방법이 당업계에 필요하다.
따라서, 화학 증착(CVD) 또는 원자층 증착(ALD) 공정 또는 순환 화학 증착 공정을 포함하나 이에 한정되지 않는 ALD 유사 공정을 이용하여, 고품질 질화규소 또는 탄소 도핑된 질화규소를 형성하기 위한 공정의 개발이 필요하다. 하나의 특정한 용도, 예컨대 3D NAND 플래시 내 O-N-O 스택은, 인산 중 높은 습식 에칭 속도 및/또는 낮은 응력을 나타내는 질화규소, 산질화규소, 또는 카르복시질화규소 필름을 요구한다. 또한, CVD, ALD 또는 ALD 유사 공정에서 순도 및/또는 밀도를 포함하나 이에 한정되지 않는 1 이상의 필름 특성을 개선하기 위해, 저온 증착(예컨대 약 500℃ 이하의 1 이상의 온도에서의 증착)을 개발하는 것이 바람직할 수 있다.
이전에 확인된 특허, 특허 출원 및 공개의 개시 내용을 본원에서 참고로 인용한다.
하기 특성을 갖는, 질화규소 또는 탄소 도핑된 질화규소를 증착하기 위한 조성물 및 이의 사용 방법을 제공하는 것이 당업계에 필요하다: a) X선 광전자 분광법(XPS)에 따라 측정시, 약 5 원자% 이하, 약 3 원자% 이하, 약 2 원자% 이하, 약 1 원자% 또는 더 미만의 탄소 함량, 바람직하게는 화학량론적 질화규소; b) X선 광전자 분광법(XPS)에 따라 측정시, 약 5 원자% 이하, 약 3 원자% 이하, 약 2 원자% 이하, 약 1 원자% 이하의 산소 함량; 90% 이상, 95% 이상, 99% 이상의 스텝 덮임율, 7.0 이하, 6.0 이하 및 5.0 이하의 유전 상수.
발명의 간단한 개요
상기 기재된 요구는, 일측면에서 플라즈마 ALD 공정을 통한 탄소 도핑된 질화규소 필름의 형성 방법의 제공에 의해 충족된다. 상기 방법에 따르면, 표면 피쳐를 포함하는 기판을 반응기에 도입한다. 반응기를 약 550℃ 이하의 범위의 1 이상의 온도, 바람직하게는 300℃ 이하의 1 이상의 온도로 가열한다. 반응기를 100 torr 이하의 압력에서 유지시킬 수 있다. 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄 및 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄으로 이루어진 군에서 선택되는, 2개의 Si-C-Si 연결을 갖는 1종 이상의 규소 전구체를 반응기에 도입하여, 기판 상에 규소 함유 화학종을 형성시킨다.
적절한 불활성 가스로, 미소비 전구체 및/또는 반응 부산물을 반응기로부터 퍼지한다. 질소를 포함하는 플라즈마를 반응기에 도입하여 규소 함유 화학종과 반응시켜 탄소 도핑된 질화규소 필름을 형성시킨다.
다음으로, 적절한 불활성 가스로, 임의의 반응 부산물을 반응기로부터 재차 퍼지한다. 전구체(들)의 도입, 필요할 경우 퍼지, 플라즈마의 도입, 및 필요할 경우 재차 도입의 단계를, 증착된, 탄소 도핑된 질화규소 필름이 소정 두께가 되게 하기 위해 필요에 따라 반복한다.
상기 기재된 요구 및 다른 것들은 플라즈마 ALD 공정을 통한 질화규소, 탄소 도핑된 질화규소, 또는 탄소 도핑된 산질화규소 필름의 형성 방법에 의해 더 추가로 충족된다. 상기 방법에 따르면, 표면 피쳐를 포함하는 기판을 반응기에 도입한다. 반응기를 약 550℃ 이하의 범위의 1 이상의 온도, 바람직하게는 300℃ 이하의 1 이상의 온도로 가열한다. 반응기를 100 torr 이하의 압력에서 유지시킬 수 있다. 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄 및 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄으로 이루어진 군에서 선택되는, 2개의 Si-C-Si 연결을 갖는 1종 이상의 규소 전구체를 반응기에 도입하여, 기판 상에 규소 함유 화학종을 형성시킨다.
적절한 불활성 가스로, 미소비 전구체 및/또는 반응 부산물을 반응기로부터 퍼지한다. 암모니아 공급원을 포함하는 플라즈마를 반응기에 도입하여 규소 함유 화학종과 반응시켜 질화규소 또는 탄소 도핑된 질화규소 필름을 형성시킨다.
다음으로, 적절한 불활성 가스로, 임의의 반응 부산물을 반응기로부터 재차 퍼지한다. 전구체(들)의 도입, 필요할 경우 퍼지, 플라즈마의 도입, 및 필요할 경우 재차 도입의 단계를, 탄소 도핑된 질화규소 필름이 소정 두께가 되게 하기 위해 필요에 따라 반복한다.
임의로, 생성된 질화규소 또는 규소 탄소 도핑된 질화규소 필름을 그 다음 약 주변 온도 내지 1000℃, 바람직하게는 약 100℃ 내지 400℃ 범위의 1 이상의 온도에서 산소 공급원에 노출시켜, 질화규소 필름을 산질화규소 필름으로 전환시키거나, 또는 탄소 도핑된 질화규소 필름을 탄소 도핑된 산질화규소 필름으로 전환시킨다.
발명의 상세한 설명
명세서 전체에서, 용어 "ALD 또는 ALD 유사"는 하기 공정을 포함하나 이에 한정되지 않는 공정을 지칭한다: a) 반응성 가스 및 규소 전구체를 포함하는 각각의 반응물을 순차로 단일 웨이퍼 ALD 반응기, 세미배취 ALD 반응기 또는 배취 퍼니스 ALD 반응기와 같은 반응기에 도입하고; b) 기판을 반응기의 상이한 구역에 이동 또는 회전시킴으로써, 반응성 가스 및 규소 전구체를 포함하는 각각의 반응물을 기판에 노출시키고, 각각의 구역을 불활성 가스 커튼, 즉 공간 ALD 반응기 또는 롤투롤 ALD 반응기에 의해 분리한다.
명세서 전체에서, 용어 "암모니아를 포함하는 플라즈마"는 플라즈마 생성제를 통해 동일계에서 또는 떨어져서 생성된 반응성 가스 또는 가스 혼합물을 지칭한다. 상기 가스 또는 가스 혼합물은 암모니아, 암모니아와 헬륨의 혼합물, 암모니아와 네온의 혼합물, 암모니아와 아르곤의 혼합물, 암모니아와 질소의 혼합물, 암모니아와 수소의 혼합물 및 이들의 조합으로 이루어진 군에서 선택된다.
명세서 전체에서, 용어 "불활성 가스 플라즈마"는 플라즈마 생성제를 통해 동일계에서 또는 떨어져서 생성된 반응성 불활성 가스 또는 불활성 가스 혼합물을 지칭한다. 불활성 가스 또는 가스 혼합물은 헬륨, 네온, 아르곤 및 이들의 조합으로 이루어진 군에서 선택된다.
명세서 전체에서, 용어 "애싱(ashing)"은 O2/불활성 가스 플라즈마, O2 플라즈마, CO2 플라즈마, CO 플라즈마, H2/O2 플라즈마 또는 이들의 조합과 같은 산소 공급원을 포함하는 플라즈마를 사용하여 반도체 제조 공정에서 포토레지스트 또는 탄소 하드 마스크를 제거하기 위한 공정을 지칭한다.
명세서 전체에서, 용어 "손상 내성"은 산소 애싱 공정 후의 필름 특성을 지칭한다. 양호한 또는 높은 손상 내성은 산소 애싱 후의 하기 필름 특성으로서 정의된다: 7보다 낮은 필름 유전 상수; (필름에의 50Å 초과의 깊이에서의) 벌크에서의 탄소 함량이 애싱 전에 비해 5 원자% 이내; 표면 부근(50Å 미만의 깊이)에서와 벌크(50Å 초과의 깊이)에서의 필름 사이의 묽은 HF 중에서의 에칭 속도의 차이에 의해 관찰시, 필름의 50Å 미만이 손상됨.
명세서 전체에서, 용어 "알킬 탄화수소"는 직쇄형 또는 분지쇄형 C1 내지 C20 탄화수소, 환식 C6 내지 C20 탄화수소를 지칭한다. 예시적인 탄화수소는 헵탄, 옥탄, 노난, 데칸, 도데칸, 시클로옥탄, 시클로노난 및 시클로데칸을 포함하지만, 이에 한정되지 않는다.
명세서 전체에서, 용어 "방향족 탄화수소"는 C6 내지 C20 방향족 탄화수소를 지칭한다. 예시적인 방향족 탄화수소 n은 톨루엔, 메시틸렌을 포함하지만, 이에 한정되지 않는다.
명세서 전체에서, 용어 "불활성 가스"는 비반응성 가스를 지칭한다. 예시적인 불활성 가스는 헬륨, 아르곤, 네온, 질소 및 이들의 조합을 포함하지만, 이에 한정되지 않는다.
명세서 전체에서, 본원에서 사용되는 바의 용어 "스텝 덮임율"은 바이어스 또는 트렌치 또는 둘다를 갖는 구조화된 또는 피쳐화된 기판에서의 증착된 필름의 2가지 두께의 %로서 정의되며, 바닥 스텝 덮임율은 피쳐의 바닥에서의 두께를 피쳐의 최상부에서의 두께로 나눈 비(%)이고, 중간 스텝 덮임율은 피쳐의 측벽 상의 두께를 피쳐의 최상부에서의 두께로 나눈 비(%)이이다. 본원에 기재된 방법을 이용하여 증착된 필름은 약 80% 이상, 또는 필름이 등각임을 나타내는 약 90% 이상의 스텝 덮임율을 나타낸다.
명세서 전체에서, 용어 "질소/질소 공급원을 포함하는 플라즈마"는 플라즈마 생성제를 통해 동일계에서 또는 떨어져서 생성된 반응성 가스 또는 가스 혼합물을 지칭한다. 상기 가스 또는 가스 혼합물은 질소, 질소와 헬륨의 혼합물, 질소와 네온의 혼합물, 질소와 아르곤의 혼합물, 암모니아와 질소의 혼합물, 질소와 수소의 혼합물 및 이들의 조합으로 이루어진 군에서 선택된다.
하기 특성을 갖는 질화규소 또는 탄소 도핑된 질화규소를 증착하기 위한 규소 전구체 조성물, 및 이러한 조성물을 포함하는 방법이 본원에 기재된다: a) X선 광전자 분광법(XPS)에 따라 측정시, 약 5 원자% 이하, 약 3 원자% 이하, 약 2 원자% 이하, 약 1 원자% 또는 더 미만의 탄소 함량, 바람직하게는 화학량론적 질화규소; b) X선 광전자 분광법(XPS)에 따라 측정시, 약 5 원자% 이하, 약 3 원자% 이하, 약 2 원자% 이하, 약 1 원자% 이하의 산소 함량; 90% 이상, 95% 이상, 99% 이상의 스텝 덮임율.
일측면에서, 규소 포함 필름을 증착시키기 위한 조성물은 하기를 포함한다: (a) 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판, 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄 및 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄으로 이루어진 군에서 선택되는, 1개의 Si-C-Si 또는 2개의 Si-C-Si 연결을 갖는 1종 이상의 규소 전구체 화합물 및; (b) 1종 이상의 용매, 그리고 본 발명의 1 이상의 양태에서는, (b) 임의로 1종 이상의 용매.
Figure pat00001
Figure pat00002
Figure pat00003
본원에 기재된 조성물의 특정 구체예에서, 예시적인 용매는 에테르, 3급 아민, 알킬 탄화수소, 방향족 탄화수소, 3급 아미노에테르, 실록산 및 이들의 조합을 포함할 수 있지만, 이에 한정되지 않는다. 특정 구체예에서, 1개의 Si-C-Si 또는 2개의 Si-C-Si 연결을 갖는 화합물의 비점과 용매의 비점 사이의 차이는 40℃ 이하이다. 용매 중 규소 전구체 화합물의 중량%는 1 내지 99 중량%, 또는 10 내지 90 중량%, 또는 20 내지 80 중량%, 또는 30 내지 70 중량%, 또는 40 내지 60 중량%, 또는 50 내지 50 중량%로 변할 수 있다. 일부 구체예에서, 상기 조성물은 직접 액체 주입을 거쳐, 종래의 직접 액체 주입 기구 및 방법을 이용하여 규소 포함 필름을 위한 반응기 챔버에 전달될 수 있다.
본원에 기재된 방법의 일구체예에서, 플라즈마 강화 ALD 공정을 이용하여 탄소 함량이 5 원자% 이하인 질화규소 또는 탄소 도핑된 질화규소 필름을 증착시킨다. 이 구체예에서, 상기 방법은
a. 표면 피쳐를 포함하는 1 이상의 기판을 반응기에 놓는 단계;
b. 반응기를 주변 온도 내지 약 600℃ 범위의 1 이상의 온도로 가열하고, 임의로 100 torr 이하의 압력에서 반응기를 유지시키는 단계;
c. 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판 및 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판으로 이루어진 군에서 선택되는, 1개의 Si-C-Si 연결을 갖는 1종 이상의 규소 전구체를 반응기에 도입하는 단계;
d. 불활성 가스로 퍼지하여 이에 의해 임의의 미반응된 규소 전구체를 제거하고, 퍼지 가스 및 규소 전구체를 포함하는 조성물을 형성시키는 단계;
e. 암모니아 공급원을 포함하는 플라즈마를 반응기에 제공하여 표면과 반응시켜, 질화규소 또는 탄소 도핑된 질화규소 필름을 형성시키는 단계;
f. 불활성 가스로 퍼지하여 임의의 반응 부산물을 제거하는 단계;
g. 단계 c 내지 f를 반복하여 소정 두께의 질화규소 또는 탄소 도핑된 질화규소 필름을 제공하는 단계;
h. 임의로, 질화규소 또는 탄소 도핑된 질화규소 필름을 열적 어닐 또는 400 내지 1000℃의 온도에서의 스파이크 어닐 또는 UV 광원으로 증착후 처리하는 단계로서; 여기서 UV 노출 단계는 필름 증착 동안 또는 일단 증착이 완료된 후 실시할 수 있는 단계;
i. 임의로, 질화규소 또는 탄소 도핑된 질화규소 필름을 수소 또는 불활성 가스 또는 질소를 포함하는 플라즈마에 증착후 노출시켜 필름의 물성 중 하나 이상을 개선시키는 단계
를 포함한다.
본원에 기재된 방법의 다른 구체예에서, 플라즈마 강화 ALD 공정을 이용하여 탄소 함량이 5 원자% 이하인 질화규소 또는 탄소 도핑된 질화규소 필름을 증착시킨다. 이 구체예에서, 상기 방법은
a. 표면 피쳐를 포함하는 1 이상의 기판을 반응기에 놓는 단계;
b. 반응기를 주변 온도 내지 약 600℃ 범위의 1 이상의 온도로 가열하고, 임의로 100 torr 이하의 압력에서 반응기를 유지시키는 단계;
c. 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판, 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄 및 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄으로 이루어진 군에서 선택되는, 1개의 Si-C-Si 연결 또는 2개의 Si-C-Si 연결을 갖는 1종 이상의 규소 전구체를 반응기에 도입하는 단계;
d. 불활성 가스로 퍼지하여 이에 의해 임의의 미반응된 규소 전구체를 제거하고, 퍼지 가스 및 규소 전구체를 포함하는 조성물을 형성시키는 단계;
e. 암모니아 공급원을 포함하는 플라즈마를 반응기에 제공하여 표면과 반응시켜, 질화규소 또는 탄소 도핑된 질화규소 필름을 형성시키는 단계;
f. 불활성 가스로 퍼지하여 임의의 반응 부산물을 제거하는 단계;
g. 단계 c 내지 f를 반복하여 소정 두께의 질화규소 또는 탄소 도핑된 질화규소 필름을 제공하는 단계
를 포함한다.
본원에 기재된 방법의 다른 구체예에서, 플라즈마 강화 ALD 공정을 이용하여 탄소 함량이 5 원자% 이하인 질화규소 또는 탄소 도핑된 질화규소 필름을 증착시킨다. 이 구체예에서, 상기 방법은
a. 표면 피쳐를 포함하는 1 이상의 기판을 반응기(예컨대 종래의 ALD 반응기)에 놓는 단계;
b. 반응기를 주변 온도 내지 약 600℃ 범위의 1 이상의 온도로 가열하고, 임의로 100 torr 이하의 압력에서 반응기를 유지시키는 단계;
c. 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄 및 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄으로 이루어진 군에서 선택되는, 2개의 Si-C-Si 연결을 갖는 1종 이상의 규소 전구체를 반응기에 도입하는 단계;
d. 불활성 가스로 퍼지하는 단계;
e. 암모니아 공급원을 포함하는 플라즈마를 반응기에 제공하여 표면과 반응시켜, 질화규소 또는 탄소 도핑된 질화규소 필름을 형성시키는 단계;
f. 불활성 가스로 퍼지하여 반응 부산물을 제거하는 단계;;
g. 단계 c 내지 f를 반복하여 소정 두께의 질화규소 또는 탄소 도핑된 질화규소 필름을 제공하는 단계;
h. 임의로, 질화규소 또는 탄소 도핑된 질화규소 필름을 400 내지 1000℃의 온도에서의 스파이크 어닐 또는 UV 광원으로 증착후 처리하는 단계로서; 여기서 UV 노출 단계는 필름 증착 동안 또는 일단 증착이 완료된 후 실시할 수 있는 단계;
i. 임의로, 질화규소 또는 탄소 도핑된 질화규소 필름을 수소 또는 불활성 가스 또는 질소를 포함하는 플라즈마에 증착후 노출시켜 필름의 물성 중 하나 이상을 개선시키는 단계
를 포함한다.
본원에 기재된 방법의 다른 구체예에서, 플라즈마 강화 ALD 공정을 이용하여 탄소 함량이 5 원자% 이하인 탄소 도핑된 산질화규소 필름을 증착시킨다. 이 구체예에서, 상기 방법은
a. 표면 피쳐를 포함하는 1 이상의 기판을 반응기(예컨대 종래의 ALD 반응기)에 놓는 단계;
b. 반응기를 주변 온도 내지 약 600℃ 범위의 1 이상의 온도로 가열하고, 임의로 100 torr 이하의 압력에서 반응기를 유지시키는 단계;
c. 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄 및 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄으로 이루어진 군에서 선택되는, 2개의 Si-C-Si 연결을 갖는 1종 이상의 규소 전구체를 반응기에 도입하는 단계;
d. 불활성 가스로 퍼지하는 단계;
e. 암모니아 공급원을 포함하는 플라즈마를 반응기에 제공하여 표면과 반응시켜, 질화규소 또는 탄소 도핑된 질화규소 필름을 형성시키는 단계;
f. 불활성 가스로 퍼지하여 반응 부산물을 제거하는 단계;;
g. 단계 c 내지 f를 반복하여 소정 두께의 질화규소 또는 탄소 도핑된 질화규소 필름을 제공하는 단계;
h. 임의로, 동일계에서 또는 다른 챔버에서, 질화규소 또는 탄소 도핑된 질화규소 필름을 약 주변 온도 내지 1000℃ 또는 약 100℃ 내지 400℃ 범위의 1 이상의 온도에서 산소 공급원으로 증착후 처리하여, 질화규소 또는 탄소 도핑된 질화규소 필름을 산질화규소 또는 탄소 도핑된 산질화규소 필름으로 전환시키는 단계
를 포함한다.
본원에 기재된 방법의 또 다른 구체예에서, 플라즈마 강화 ALD 공정을 이용하여 탄소 함량이 5 원자% 이하인 질화규소 또는 탄소 도핑된 질화규소 필름을 증착시킨다. 이 구체예에서, 상기 방법은
a. 표면 피쳐를 포함하는 1 이상의 기판을 반응기에 놓는 단계;
b. 반응기를 주변 온도 내지 약 600℃ 범위의 1 이상의 온도로 가열하고, 임의로 100 torr 이하의 압력에서 반응기를 유지시키는 단계;
c. 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판 및 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판으로 이루어진 군에서 선택되는, 1개의 Si-C-Si 연결을 갖는 1종 이상의 규소 전구체를 반응기에 도입하는 단계;
d. 불활성 가스로 퍼지하여 이에 의해 임의의 미반응된 규소 전구체를 제거하고, 퍼지 가스 및 규소 전구체를 포함하는 조성물을 형성시키는 단계;
e. 암모니아 공급원을 포함하는 제1 플라즈마를 반응기에 제공하여 표면과 반응시켜, 질화규소 또는 탄소 도핑된 질화규소 필름을 형성시키는 단계;
f. 불활성 가스로 퍼지하여 임의의 반응 부산물을 제거하는 단계;
g. 질소 공급원을 포함하는 제2 플라즈마를 반응기에 제공하여 표면과 반응시켜, 질화규소 또는 탄소 도핑된 질화규소 필름을 형성시키는 단계;
h. 불활성 가스로 퍼지하여 임의의 반응 부산물을 제거하는 단계; 및
i. 단계 c 내지 h를 반복하여 소정 두께의 질화규소 또는 탄소 도핑된 질화규소 필름을 제공하는 단계
를 포함한다.
본원에 기재된 방법의 또 다른 구체예에서, 질화규소 또는 탄소 도핑된 질화규소 필름은 탄소 함량이 5 원자% 이하이고, 이는 플라즈마 강화 ALD 공정을 이용하여 증착시킨다. 이 구체예에서, 상기 방법은
a. 표면 피쳐를 포함하는 1 이상의 기판을 반응기에 놓는 단계;
b. 반응기를 주변 온도 내지 약 600℃ 범위의 1 이상의 온도로 가열하고, 임의로 100 torr 이하의 압력에서 반응기를 유지시키는 단계;
c. 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄 및 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄으로 이루어진 군에서 선택되는, 2개의 Si-C-Si 연결을 갖는 1종 이상의 규소 전구체를 반응기에 도입하는 단계;
d. 불활성 가스로 퍼지하여 이에 의해 임의의 미반응된 규소 전구체를 제거하고, 퍼지 가스 및 규소 전구체를 포함하는 조성물을 형성시키는 단계;
e. 질소 공급원을 포함하는 제1 플라즈마를 반응기에 제공하여 표면과 반응시켜, 질화규소 또는 탄소 도핑된 질화규소 필름을 형성시키는 단계;
f. 불활성 가스로 퍼지하여 임의의 반응 부산물을 제거하는 단계;
g. 암모니아 공급원을 포함하는 제2 플라즈마를 반응기에 제공하여 표면과 반응시켜, 질화규소 또는 탄소 도핑된 질화규소 필름을 형성시키는 단계;
h. 불활성 가스로 퍼지하여 임의의 반응 부산물을 제거하는 단계; 및
i. 단계 c 내지 h를 반복하여 소정 두께의 질화규소 또는 탄소 도핑된 질화규소 필름을 제공하는 단계
를 포함한다.
일구체예에서, 기판은 1개 이상의 피쳐를 포함하며, 상기 피쳐는 1:9 이상의 종횡비, 180 nm 이하의 개구를 갖는 패턴 트렌치를 포함한다.
특정 구체예에서, 도입 단계에서 반응기의 온도는 약 실온(예, 20℃) 내지 약 600℃ 범위의 1 이상의 온도이다. 기판 온도에 대한 대안적 범위는 하기 종점 중 하나 이상을 갖는다: 20, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350, 375, 400, 425, 450, 475 및 500℃. 예시적 온도 범위는 하기를 포함한다: 20 내지 300℃, 100 내지 300℃ 또는 100 내지 350℃.
또 다른 구체예에서, 규소 포함 필름을 증착시키는 용기(vessel)는 본원에 기재된 1종 이상의 규소 전구체 화합물을 포함한다. 하나의 특정 구체예에서, 용기는 적어도 하나의 가압가능 용기(바람직하게는, 미국 특허 번호 US7334595; US6077356; US5069244; 및 US5465766(이의 개시 내용을 본원에서 참고로 인용함)에 개시된 것과 같은 디자인을 갖는 스테인리스강의 용기)이다. 컨테이너는, 1종 이상의 전구체가 CVD 또는 ALD 공정을 위한 반응기에 전달될 수 있도록 적합한 밸브 및 피팅이 장착된, 유리(보로실리케이트 또는 석영 유리) 또는 유형 16, 316L, 304 또는 304L 스테인리스강 합금(UNS 명칭 S31600, S31603, S30400, S30403)을 포함할 수 있다. 이 또는 다른 구체예에서, 규소 전구체는 스테인리스강으로 구성된 가압가능 용기에 제공되며, 전구체의 순도는 반도체 적용에 적절한 98 중량% 이상 또는 99.5% 이상이다. 규소 전구체 화합물은 바람직하게는 금속 이온, 예컨대 Al3+, Fe2+, Fe3+, Ni2+, Cr3+ 이온이 실질적으로 없다. Al3+, Fe2+, Fe3+, Ni2+, Cr3+ 이온과 관련하여 본원에서 사용되는 용어 "실질적으로 없는"은 약 5 ppm(중량) 미만, 바람직하게는 약 3 ppm 미만, 더욱 바람직하게는 약 1 ppm 미만, 가장 바람직하게는 약 0.1 ppm을 의미하고, Al, Fe, Ni, Cr과 관련하여 본원에서 사용되는 용어 "실질적으로 없는"은 ICP-MS에 의해 측정시에, 약 5 ppm(중량) 미만, 바람직하게는 약 3 ppm 미만, 더욱 바람직하게는 약 1 ppm 미만, 가장 바람직하게는 약 0.1 ppm을 의미한다. 특정 구체예에서, 이러한 용기는 또한 원하는 경우 전구체를 1종 이상의 추가의 전구체와 혼합하기 위한 수단을 가질 수 있다. 이들 또는 다른 구체예에서, 용기(들)의 내용물은 추가의 전구체와 미리 혼합될 수 있다. 대안적으로, 규소 전구체 및/또는 다른 전구체는 별도의 용기에 또는 규소 전구체 및 다른 전구체를 저장 동안 별도로 유지하기 위한 구분 수단을 갖는 단일 용기에 있거나 유지될 수 있다.
규소 포함 필름은 기판, 예컨대 반도체 기판의 적어도 표면에 증착된다. 본원에 기재된 방법에서, 기판은, 규소, 예컨대 결정질 규소 또는 무정형 규소, 산화규소, 질화규소, 비정질 탄소, 산탄화규소, 산질화규소, 탄화규소, 게르마늄, 게르마늄 도핑된 규소, 붕소 도핑된 규소, 금속, 예컨대 구리, 텅스텐, 알루미늄, 코발트, 니켈, 탄탈륨), 질화금속, 예컨대 질화티타늄, 질화탄탈륨, 산화금속, III/V 족 금속 또는 메탈로이드, 예컨대 GaAs, InP, GaP 및 GaN, 및 이들의 조합의 필름을 포함하는 당해 분야에 널리 공지된 다양한 물질로 구성되고/되거나 코팅될 수 있다. 이들 코팅은 반도체 기판을 완전히 코팅할 수 있고, 다양한 물질의 다중층으로 존재할 수 있고, 부분적으로 에칭되어 하지 물질 층을 노출시킬 수 있다. 표면은 또한 패턴으로 노출되고 기판을 부분적으로 코팅하도록 현상된 포토레지스트 물질을 그 위에 가질 수 있다. 특정 구체예에서, 반도체 기판은 구멍, 바이어스, 트렌치 및 이들의 조합으로 이루어진 군에서 선택되는 적어도 하나의 표면 피쳐를 포함한다. 규소 포함 필름의 가능한 적용은, FinFET 또는 나노시트를 위한 낮은 k 스페이서, 자가 정렬된 패턴화 공정을 위한 희생 하드 마스크(예, SADP, SAQP, 또는 SAOP)를 포함하지만, 이에 한정되지 않는다.
규소 포함 필름 또는 코팅을 형성하는 데 이용되는 증착 방법은 증착 공정이다. 본원에 개시된 방법에 대한 적절한 증착 공정의 예는 화학 증착 또는 원자층 증착 공정을 포함하지만, 이에 한정되지 않는다. 본원에서 사용되는 용어 "화학 증착 공정"은 기판이 1종 이상의 휘발성 전구체에 노출되어 기판 표면 상에서 반응 및/또는 분해되어 소정 증착을 생성하는 임의의 공정을 지칭한다. 본원에서 사용되는 용어 "원자층 증착 공정"은, 다양한 조성의 기판 상에 물질의 필름을 증착시키는, 자기제한적이고(예컨대, 각각의 반응 사이클에서 증착되는 필름 물질의 양이 일정함) 순차적안 표면 화학을 지칭한다. 본원에서 사용되는 전구체, 시약 및 공급원이 때때로 "가스"로 기재될 수 있지만, 전구체는 직접 기화, 버블링 또는 승화를 통해 불활성 가스와 함께 또는 없이 반응기로 수송되는 액체 또는 고체일 수 있음을 이해해야 한다. 일부 경우에, 기화된 전구체는 플라즈마 생성기를 통과할 수 있다.
일구체예에서, 규소 포함 필름은 ALD 공정을 이용하여 증착된다. 또 다른 구체예에서, 규소 포함 필름은 순환 CVD(CCVD) 공정을 이용하여 증착된다. 추가의 구체예에서, 규소 포함 필름은 열 ALD 공정을 이용하여 증착된다. 본원에서 사용되는 용어 "반응기"는, 반응 챔버 또는 증착 챔버를 포함하지만, 이에 한정되지 않는다.
특정 구체예에서, 본원에 개시된 방법은 전구체(들)를 반응기로 도입하기 전 및/또는 동안 전구체(들)를 분리시키는 ALD 또는 순환 CVD 방법을 이용함으로써 전구체(들)의 사전 반응(pre-reaction)을 피한다. 이와 관련하여, 증착 기술, 예컨대 ALD 또는 CCVD 공정이 규소 포함 필름을 증착시키는 데에 이용된다. 일구체예에서, 필름은 기판 표면을 대안적으로 1종 이상의 규소 함유 전구체, 산소 공급원, 질소 함유 공급원 또는 다른 전구체 또는 시약에 노출시킴으로써 전형적인 단일 웨이퍼 ALD 반응기, 세미배취 ALD 반응기 또는 배취 퍼니스 ALD 반응기에서 ALD 공정을 통해 증착된다. 필름 성장은 표면 반응, 각각의 전구체 또는 시약의 펄스 길이 및 증착 온도의 자기제한적 제어에 의해 진행된다. 그러나, 일단 기판의 표면이 포화되면, 필름 성장은 중지된다. 또 다른 구체예에서, 규소 전구체 및 반응성 가스를 포함하는 각각의 반응물은 기판을 반응기의 상이한 섹션으로 이동 또는 회전시킴으로써 기판에 노출되고, 각각의 섹션은 불활성 가스 커튼, 즉 공간 ALD 반응기 또는 롤투롤 ALD 반응기에 의해 분리된다.
증착 방법에 따라, 특정 구체예에서, 본원에 기재된 규소 전구체 및 임의적으로 다른 규소 함유 전구체는 미리 결정된 몰 용적으로 또는 약 0.1 내지 약 1000 마이크로몰로 반응기로 도입될 수 있다. 이 또는 다른 구체예에서, 전구체는 미리 결정된 기간 동안 반응기로 도입될 수 있다. 특정 구체예에서, 상기 기간은 약 0.001 내지 약 500 초의 범위이다.
특정 구체예에서, 본원에 기재된 방법을 이용하여 증착된 질화규소 또는 탄소 도핑된 규소 필름을 산소 공급원, 산소를 포함하는 시약 또는 전구체, 즉 수증기로 처리하여 탄소 도핑된 산질화물로 전환시킨다. 산소 공급원은 적어도 하나의 산소 공급원의 형태로 반응기로 도입될 수 있고/있거나 우연히 증착 공정에 사용되는 다른 전구체에 존재할 수 있다. 적절한 산소 공급원 가스는, 예컨대 공기, 물(H2O)(예, 탈이온수, 정제수, 증류수, 수증기, 수증기 플라즈마, 과산화수소, 산소첨가된 물, 공기, 물과 다른 유기 액체를 포함하는 조성물), 산소(O2), 산소 플라즈마, 오존(O3), 산화질소(NO), 이산화질소(NO2), 아산화질소(N2O), 일산화탄소(CO), 과산화수소(H2O2), 물을 포함하는 플라즈마, 물과 아르곤을 포함하는 플라즈마, 과산화수소, 수소를 포함하는 조성물, 수소와 산소를 포함하는 조성물, 이산화탄소(CO2), 공기 및 이들의 조합을 포함할 수 있다. 특정 구체예에서, 산소 공급원은 약 1 내지 약 10000 sccm(square cubic centimeter) 또는 약 1 내지 약 1000 sccm 범위의 유속으로 반응기에 도입되는 산소 공급원 가스를 포함한다. 산소 공급원은 약 0.1 내지 약 100 초 범위의 시간 동안 도입될 수 있다. 촉매는 루이스 염기, 예컨대 피리딘, 피페라진, 트리메틸아민, tert-부틸아민, 디에틸아민, 트리메틸아민, 에틸렌디아민, 암모니아 또는 다른 유기 아민에서 선택된다.
필름이 ALD 또는 순환 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01 초 초과의 펄스 지속시간을 가질 수 있고, 산소 공급원은 0.01 초 미만의 펄스 지속시간을 가질 수 있으며, 물은 0.01 초 미만의 펄스 지속시간을 가질 수 있다.
특정 구체예에서, 산소 공급원은 반응기로 연속적으로 유동하고, 전구체 펄스 및 플라즈마는 순차적으로 도입된다. 전구체 펄스는 0.01 초 초과의 지속시간을 가질 수 있고, 플라즈마 지속시간은 0.01 초 내지 100 초 범위일 수 있다.
특정 구체예에서, 규소 포함 필름은 규소 및 질소를 포함한다. 이들 구체예에서, 본원에 기재된 방법을 이용하여 증착된 규소 포함 필름은 질소 함유 공급원의 존재 하에 형성시킨다. 질소 함유 공급원은 1종 이상의 질소 공급원의 형태로 반응기에 도입할 수 있고/거나, 또는 증착 공정에 사용되는 다른 전구체에 우연히 존재할 수 있다.
적절한 암모니아 포함 가스는 예컨대 암모니아, 암모니아와 불활성 가스의 혼합물, 암모니아와 질소의 혼합물, 암모니아와 수소의 혼합물 및 이들의 조합을 포함할 수 있다.
특정 구체예에서, 질소 공급원은 약 1 내지 약 10000 sccm 또는 약 1 내지 약 1000 sccm의 유속으로 반응기에 도입한다. 질소 함유 공급원은 약 0.1 내지 약 100 초 범위의 시간 동안 도입할 수 있다. 질소 및 산소 공급원 둘다를 사용하는 ALD 또는 순환 CVD 공정에 의해 필름을 증착시키는 구체예에서, 전구체 펄스는 0.01 초보다 큰 펄스 지속시간을 가질 수 있으며, 질소 공급원은 0.01 초 미만의 펄스 지속시간을 가질 수 있는 반면, 물은 0.01 초 미만의 펄스 지속시간을 가질 수 있다. 또 다른 구체예에서, 펄스간 퍼지 지속시간은 0 초만큼 낮을 수 있거나, 또는 그 사이에 퍼지 없이 연속적으로 펄스된다.
본원에 개시된 증착 방법은 퍼지 가스를 사용하여 반응기로부터 원치 않거나 미반응된 물질을 퍼지하는 하나 이상의 단계를 포함한다. 미소비 반응물 및/또는 반응 부산물을 퍼지하는 데에 사용되는 퍼지 가스는 전구체와 반응하지 않는 불활성 가스이다. 예시적인 퍼지 가스는 아르곤(Ar), 질소(N2), 헬륨(He), 네온(Ne), 수소(H2) 및 이들의 조합을 포함하지만, 이에 한정되지 않는다. 특정 구체예에서, 퍼지 가스, 예컨대 Ar은 약 10 내지 약 10000 sccm 범위의 유속으로 약 0.1 내지 1000 초 동안 반응기에 공급됨으로써, 반응기에 남아 있을 수 있는 미반응된 물질 및 임의의 부산물을 퍼지한다.
전구체, 산소 공급원, 암모니아 함유 공급원 및/또는 다른 전구체, 공급원 가스 및/또는 시약을 공급하는 각각의 단계는, 생성되는 필름의 화학량론적 조성을 변화시키기 위해 이들을 공급하는 시간을 변화시킴으로써 수행될 수 있다.
반응을 유도하고 기판 상에 필름 또는 코팅을 형성시키기 위해, 에너지가 전구체, 암모니아 함유 공급원, 환원제, 예컨대 수소 플라즈마, 다른 전구체 또는 이들의 조합 중 적어도 하나에 적용된다. 이러한 에너지는, 이로 제한됨이 없이, 열, 플라즈마, 펄싱된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X선, e 빔, 광자, 원격 플라즈마 방법 및 이들의 조합에 의해 제공될 수 있다.
특정 구체예에서, 2차 RF 주파수 공급원이 기판 표면에서 플라즈마 특성을 변형시키는 데 사용될 수 있다. 증착이 플라즈마를 수반하는 구체예에서, 플라즈마 생성 공정은 플라즈마가 직접적으로 반응기에서 생성되는 직접 플라즈마 생성 공정, 또는 대안적으로 플라즈마가 반응기 외부에서 생성되어 반응기로 공급되는 원격 플라즈마 생성 공정을 포함할 수 있다.
규소 전구체 및/또는 다른 규소 함유 전구체는 다양한 방식으로 반응 챔버, 예컨대 CVD 또는 ALD 반응기로 전달될 수 있다. 일구체예에서, 액체 전달 시스템이 사용될 수 있다. 대안적 구체예에서, 조합된 액체 전달 및 플래시 기화 공정 유닛, 예컨대 터보 기화기(제조사: MSP Corporation, 미국 미네소타주 쇼어뷰 소재)를 사용하여 저휘발성 물질이 부피적으로 전달되게 할 수 있으며, 이는 전구체의 열 분해 없이 재현가능한 수송 및 증착을 이끈다. 액체 전달 제형에서, 본원에 기재된 전구체는 니트(neat) 액체 형태로 전달될 수 있거나, 대안적으로 용매 제형 또는 이를 포함하는 조성물에 사용될 수 있다. 따라서, 특정 구체예에서, 전구체 제형은 소정의 최종 용도 적용에서 기판 상에 필름을 형성하기에 바람직하고 유리할 수 있는 적절한 특성의 용매 성분(들)을 포함할 수 있다.
이 또는 다른 구체예에서, 본원에 기재된 방법의 단계는 다양한 순서로 수행될 수 있거나, 순차적으로 또는 동시에(예컨대, 또 다른 단계의 적어도 일부 동안) 및 임의의 이들의 조합으로 수행될 수 있다. 전구체 및 질소 함유 공급원 가스를 공급하는 각각의 단계는 생성되는 규소 포함 필름의 화학량론적 조성을 변화시키기 위해 이들을 공급하는 지속시간을 변화시킴으로써 수행될 수 있다.
본원에 기재된 방법의 또 다른 구체예에서, 필름 또는 증착된 필름은 처리 단계를 거친다. 처리 단계는 증착 단계의 적어도 일부 동안, 증착 단계 후 및 이들의 조합으로 수행될 수 있다. 예시적인 처리 단계는, 필름의 하나 이상의 특성에 영향을 미치기 위한 고온 열 어닐링을 통한 처리; 플라즈마 처리; 자외선(UV) 광 처리; 레이저; 전자 빔 처리 및 이들의 조합을 포함하나 이에 한정되지 않는다. 본원에 기재된 1개 또는 2개의 Si-C-Si 연결을 갖는 규소 전구체로 증착된 필름은, 동일 조건 하에서 이전에 개시된 규소 전구체로 증착된 필름과 비교하여, 개선된 특성, 예컨대, 제한 없이, 처리 단계 전의 필름의 습식 에칭 속도보다 낮은 습식 에칭 속도 또는 처리 단계 전의 밀도보다 높은 밀도를 갖는다. 하나의 특정 구체예에서, 증착 공정 동안, 증착된 필름은 간헐적으로 처리된다. 이들 간헐적 또는 중간 증착 처리는, 예컨대 각각의 ALD 사이클 후, 특정 횟수의 ALD 후, 예컨대, 제한 없이, 1회의(1) ALD 사이클 후, 2회의(2) ALD 사이클 후, 5회의(5) ALD 사이클 후 또는 10회 이상의 ALD 사이클 마다 수행될 수 있다.
필름이 고온 어닐링 단계로 처리되는 구체예에서, 어닐링 온도는 증착 온도보다 적어도 100℃ 또는 그 이상 높다. 이 또는 다른 구체예에서, 어닐링 온도는 약 400℃ 내지 약 1000℃의 범위이다. 이 또는 다른 구체예에서, 어닐링 처리는 진공(< 760 Torr), 불활성 환경 또는 산소 함유 환경(예, 오존, H2O, H2O2, N2O, NO2 또는 O2)에서 수행될 수 있다.
필름이 UV 처리로 처리되는 구체예에서, 필름은 광대역 UV 또는 대안적으로 약 150 나노미터(nm) 내지 약 400 nm 범위의 파장을 갖는 UV 공급원에 노출된다. 하나의 특정 구체예에서, 증착된 필름은 소정 필름 두께에 도달된 후 증착 챔버와 상이한 챔버에서 UV에 노출된다.
필름이 플라즈마로 처리되는 구체예에서, 후속 플라즈마 처리에서 염소 및 질소 오염물이 필름에 침투하는 것을 방지하기 위해, 부동태화 층, 예컨대 탄소 도핑된 산화규소가 증착된다. 부동태화 층은 원자층 증착 또는 순환 화학 증착을 이용하여 증착될 수 있다.
필름이 플라즈마로 처리되는 구체예에서, 플라즈마 공급원은 수소 플라즈마, 수소 및 헬륨을 포함하는 플라즈마, 수소 및 아르곤을 포함하는 플라즈마로 이루어진 군에서 선택된다. 수소 플라즈마는 필름 유전 상수를 낮추고 플라즈마 애싱 공정에 따른 손상 내성을 증강시키면서 벌크로의 탄소 함량을 거의 변하지 않게 유지시킨다.
하기 실시예는 본 발명의 특정 측면을 설명하지만, 첨부된 청구범위의 범위를 제한하지는 않는다.
실시예
하기 실시예에서, 달리 언급되지 않는 한, 기판으로서 저항률이 5~20 Ω-cm인 실리콘 웨이퍼 상에 증착된 샘플 필름으로부터 특성을 얻는다. 모든 필름 증착은 13.56 MHz 직접 플라즈마의 샤워헤드 디자인을 갖는 CN-1 반응기를 사용하여 실시한다.
전형적인 공정 조건에서, 달리 언급되지 않는 한, 챔버 압력은 약 1 내지 약 5 torr 범위의 압력에서 고정된다. 추가의 불활성 가스를 사용하여 챔버 압력을 유지한다.
필름 증착은 열 ALD 및 플라즈마 강화 ALD("PEALD")에 대해 표 3 및 4에 제시된 단계를 포함한다. 표 3의 단계 a 내지 d가 하나의 PEALD 사이클을 구성하여 반복된다. 표 4의 단계 a 내지 f가 하나의 PEALD 사이클을 구성하여 반복된다. 달리 명시되지 않는 한, 원하는 필름 두께를 얻기 위해서 총 100회, 또는 200회, 또는 300회 또는 500회 실시한다.
Figure pat00004
Figure pat00005
Figure pat00006
엘립소미터를 사용하여 증착 필름의 굴절률(RI) 및 두께를 측정한다. 표준 식을 사용하여 필름 비균일도를 산정한다: 비균일도(%) = ((최대 두께 - 최소 두께)/(2×평균(avg) 두께)). 필름 구조 및 조성은, 푸리에 변환 적외선(FTIR) 분광법 및 X선 광전자 분광법(XPS)을 사용하여 분석한다. 필름의 밀도는 X선 반사측정법(XRR)으로 측정한다.
실시예 1: 1,1,3,3- 테트라클로로-1,3-디실라시클로 부탄 및 NH3/아르곤 플라즈마를 사용한 ALD 질화규소
13.56 MHz 직접 플라즈마의 샤워헤드 디자인이 구비된 CN-1 반응기에 실리콘 웨이퍼를 넣고 1 torr의 챔버 압력에서 300℃로 가열하였다. 버블링 또는 증기 드로우를 이용하여 규소 전구체로서의 1,1,3,3-테트라클로로-1,3-디실라시클로부탄을 반응기에 전달하였다.
ALD 사이클은 단계 e 및 f를 제외한 표 3에 제공된 공정 단계로 이루어지며; 하기 공정 파라미터를 사용하였다.
a. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 증기를 반응기로 도입한다.
아르곤 유량: 전구체 용기를 통해 100 sccm
펄스: 2 초
Ar 유량: 1000 sccm
b. 퍼지한다.
아르곤 유량: 1000 sccm
퍼지 시간: 10 초
c. 암모니아 플라즈마를 도입한다.
아르곤 유량: 1000 sccm
암모니아 유량: 100 sccm
플라즈마 전력: 300 W
펄스: 10 초
d. 퍼지한다.
아르곤 유량: 1000 sccm
퍼지 시간: 5 초
단계 a 내지 d를 250 사이클 반복하여, 58.0 원자% 질소, 39.7 원자% 규소, 2.3 원자% 산소, 및 0.12 원자% 염소의 조성을 갖는 30 nm의 질화규소를 제공하였다. 탄소는 검출되지 않았다. 굴절률(RI)은 약 1.90이다. 증착된 대로의 질화규소는, 24 시간 동안 주변 공기에 노출시 변화가 없으며, 이는 안정한 필름임을 제시하는 것이다.
실시예 2: 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 NH3/아르곤 플라즈마를 사용한 ALD 질화규소
13.56 MHz 직접 플라즈마의 샤워헤드 디자인이 구비된 CN-1 반응기에 실리콘 웨이퍼를 넣고 1 torr의 챔버 압력에서 300℃로 가열하였다. 버블링을 이용하여 1,1,3,3-테트라클로로-1,3-디실라시클로부탄을 증기로서 반응기에 전달하였다. ALD 사이클은 단계 e 및 f를 제외한 표 3에 제공된 공정 단계로 이루어지며; 하기 공정 파라미터를 사용하였다.
a. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄의 증기를 반응기로 도입한다.
아르곤 유량: 전구체 용기를 통해 100 sccm
펄스: 2 초
아르곤: 1000 sccm
b. 불활성 가스 퍼지
아르곤 유량: 1000 sccm
퍼지 시간: 10 초
c. 암모니아 플라즈마를 도입한다.
아르곤 유량: 1000 sccm
암모니아 유량: 25 sccm
플라즈마 전력: 300 W
펄스: 10 초
d. 퍼지한다.
아르곤 유량: 1000 sccm
퍼지 시간: 5 초
단계 a 내지 d를 250 사이클 반복하여, 58.0 원자% 질소, 39.6 원자% 규소, 2.2 원자% 산소, 및 0.27 원자% 염소의 조성을 갖는 30 nm의 질화규소를 제공하였다. 탄소는 검출되지 않았다. 굴절률(RI)은 약 1.90이다.
실시예 3: 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, NH3/아르곤 플라즈마, 및 질소/Ar 플라즈마를 이용한 ALD 질화규소
13.56 MHz 직접 플라즈마의 샤워헤드 디자인이 구비된 CN-1 반응기에 실리콘 웨이퍼를 넣고 1 torr의 챔버 압력에서 300℃로 가열하였다. 버블링을 이용하여 1,1,3,3-테트라클로로-1,3-디실라시클로부탄을 증기로서 반응기에 전달하였다. ALD 사이클은 표 4에 제공된 공정 단계로 이루어지며; 하기 공정 파라미터를 사용하였다.
a. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄의 증기를 반응기로 도입한다.
아르곤 유량: 전구체 용기를 통해 100 sccm
펄스: 2 초
아르곤: 1000 sccm
b. 불활성 가스 퍼지
아르곤 유량: 1000 sccm
퍼지 시간: 20 초
c. 암모니아 플라즈마를 도입한다.
아르곤 유량: 1000 sccm
암모니아 유량: 50 sccm
플라즈마 전력: 100 W
펄스: 10 초
d. 퍼지한다.
아르곤 유량: 1000 sccm
퍼지 시간: 5 초
e. 질소 플라즈마를 도입한다.
아르곤 유량: 1000 sccm
질소 유량: 500 sccm
플라즈마 전력: 100 W
펄스: 5 초
f. 퍼지한다.
아르곤 유량: 1000 sccm
퍼지 시간: 5 초
단계 a 내지 f를 400 사이클 반복하여, 40.76 원자% 질소, 37.04 원자% 규소, 0.23 원자% 산소, 및 0.17 원자% 염소, 탄소 0.28 원자%의 조성을 갖는 24 nm의 질화규소를 제공하였다. 밀도는 2.73 g/cm3이다. 굴절률(RI)은 약 1.90이다.
실시예 4: 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 질소/Ar 플라즈마, 및 NH3/아르곤 플라즈마를 이용한 ALD 질화규소
13.56 MHz 직접 플라즈마의 샤워헤드 디자인이 구비된 CN-1 반응기에 실리콘 웨이퍼를 넣고 1 torr의 챔버 압력에서 300℃로 가열하였다. 버블링을 이용하여 1,1,3,3-테트라클로로-1,3-디실라시클로부탄을 증기로서 반응기에 전달하였다. ALD 사이클은 표 5에 제공된 공정 단계로 이루어지며; 하기 공정 파라미터를 사용하였다.
a. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄의 증기를 반응기로 도입한다.
아르곤 유량: 전구체 용기를 통해 100 sccm
펄스: 2 초
아르곤: 1000 sccm
b. 불활성 가스 퍼지
아르곤 유량: 1000 sccm
퍼지 시간: 30 초
c. 질소 플라즈마를 도입한다
아르곤 유량: 1000 sccm
질소 유량: 500 sccm
플라즈마 전력: 100 W
펄스: 5 초
d. 퍼지한다.
아르곤 유량: 1000 sccm
퍼지 시간: 5 초
e. 암모니아 플라즈마를 도입한다.
아르곤 유량: 1000 sccm
암모니아 유량: 50 sccm
플라즈마 전력: 100 W
펄스: 10 초
f. 퍼지한다.
아르곤 유량: 1000 sccm
퍼지 시간: 5 초
단계 a 내지 f를 400 사이클 반복하여, 58.78 원자% 질소, 38.30 원자% 규소, 2.43 원자% 산소, 및 0.50 원자% 염소, 탄소 0.28 원자%의 조성을 갖는 40 nm의 질화규소를 제공하였다. 밀도는 2.75 g/cm3이다. 굴절률(RI)은 약 1.90이다.
앞서 일부 특정 구체예 및 작업예를 참고하여 제시하고 설명하였지만, 본 발명을 제시된 세부내용에 한정하려는 것은 아니다. 오히려, 본 발명의 사상을 벗어나지 않고 특허청구범위 및 그 등가 범위 내에서 세부사항에 각종 변경이 이루어질 수 있다. 예를 들어, 본 명세서에 광범위하게 언급된 모든 범위는 더 넓은 범위 내에 속하는 모든 더 좁은 범위를 그 범위 내에 포함하는 것으로 명백하게 의도한 것이다.

Claims (19)

  1. 플라즈마 강화 ALD 공정을 통한, 규소 및 질소 포함 필름의 형성 방법으로서,
    a) 반응기에 표면 피쳐를 포함하는 기판을 제공하는 단계;
    b) 반응기를 약 600℃ 이하의 범위의 1 이상의 온도로 가열하고, 임의로, 반응기를 100 torr 이하의 압력에서 유지시키는 단계;
    c) 2개의 Si-C-Si 연결을 가지며, 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄 및 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄으로 이루어진 군에서 선택되는 1종 이상의 규소 전구체를 반응기에 도입하여, 기판 상에 규소 함유 화학종을 형성시키는 단계;
    d) 제1 불활성 가스를 사용하여, 단계 c)로부터의 임의의 미반응된 규소 전구체 및/또는 임의의 반응 부산물을 반응기로부터 퍼지하는 단계;
    e) 암모니아 공급원을 포함하는 플라즈마를 반응기에 제공하여 규소 함유 화학종과 반응시켜 질화규소 필름을 형성시키는 단계;
    f) 제2 불활성 가스로, 단계 e)로부터의 임의의 추가의 반응 부산물을 반응기로부터 퍼지하는 단계; 및
    g) 필요에 따라 단계 c) 내지 f)를 반복하여, 질화규소 필름이 소정 두께가 되게 하는 단계
    를 포함하는 형성 방법.
  2. 제1항에 있어서, 질화규소 필름은 탄소 도핑된 질화규소 필름인 형성 방법.
  3. 제1항에 있어서, 400℃ 내지 1000℃ 범위의 온도에서, 질화규소 필름을 스파이크 어닐(spike anneal)로 처리하는 단계를 더 포함하는 형성 방법.
  4. 제1항에 있어서, 질화규소 필름의 증착 중에 또는 후에, 질화규소 필름을 UV 광원에 노출시키는 단계를 더 포함하는 형성 방법.
  5. 제1항에 있어서, 질화규소 필름을, 수소 또는 불활성 가스 또는 질소를 포함하는 플라즈마에 노출시키는 단계를 더 포함하는 형성 방법.
  6. 제1항에 있어서, 동일계에서 또는 반응기와는 별개의 챔버에서, 주변 온도 내지 1000℃ 범위의 1 이상의 온도에서, 질화규소 필름을 산소 공급원으로 처리하여, 질화규소를 산질화규소 필름으로 전환시키는 단계를 더 포함하는 형성 방법.
  7. 제6항에 있어서, 질화규소 필름은 탄소 도핑된 질화규소 필름이고, 산소 공급원으로의 처리 단계로, 탄소 도핑된 질화규소가 탄소 도핑된 산질화규소 필름으로 전환되는 형성 방법.
  8. 제1항의 형성 방법에 따라 형성된 필름으로서, 약 7 이하의 유전 상수(k), X선 광전자 분광법에 따라 측정시 약 5 원자% 이하의 산소 함량 및 약 5 원자% 이하의 탄소 함량을 갖는 필름.
  9. 제8항에 있어서, X선 광전자 분광법에 따라 측정시, 약 3 원자 중량% 이하의 탄소 함량을 갖는 필름.
  10. 제9항에 있어서, X선 광전자 분광법에 따라 측정시, 약 2 원자 중량% 이하의 탄소 함량을 갖는 필름.
  11. 제10항에 있어서, X선 광전자 분광법에 따라 측정시, 약 1 원자 중량% 이하의 탄소 함량을 갖는 필름.
  12. 제1항에 있어서, 300℃ 내지 1000℃의 온도에서, 질화규소 필름에 대해 열적 어닐을 수행하는 단계를 더 포함하는 형성 방법.
  13. 제2항에 있어서, 300℃ 내지 1000℃의 온도에서, 탄소 도핑된 질화규소 필름에 대해 열적 어닐을 수행하는 단계를 더 포함하는 형성 방법.
  14. 제1항에 있어서, 25℃ 내지 600℃ 범위의 온도에서, 질화규소 필름에 대해 불활성 가스 플라즈마 또는 수소/불활성 플라즈마 또는 질소 플라즈마로 플라즈마 처리를 수행하는 단계를 더 포함하는 형성 방법.
  15. 제2항에 있어서, 25℃ 내지 600℃ 범위의 온도에서, 탄소 도핑된 질화규소 필름에 대해 불활성 가스 플라즈마 또는 수소/불활성 플라즈마 또는 질소 플라즈마로 플라즈마 처리를 수행하는 단계를 더 포함하는 형성 방법.
  16. 제6항에 있어서, 25℃ 내지 600℃ 범위의 온도에서, 산질화규소 필름에 대해 불활성 가스 플라즈마 또는 수소/불활성 플라즈마 또는 질소 플라즈마로 플라즈마 처리를 수행하는 단계를 더 포함하는 형성 방법.
  17. 제7항에 있어서, 25℃ 내지 600℃ 범위의 온도에서, 탄소 도핑된 산질화규소 필름에 대해 불활성 가스 플라즈마 또는 수소/불활성 플라즈마 또는 질소 플라즈마로 플라즈마 처리를 수행하는 단계를 더 포함하는 형성 방법.
  18. 제1항에 있어서,
    h) 질소 공급원을 포함하는 플라즈마를 반응기에 제공하여 규소 함유 화학종과 반응시키고, 추가로 질화규소 필름을 형성시키는 단계; 및
    i) 제2 불활성 가스로, 임의의 추가의 반응 부산물을 반응기로부터 퍼지하는 단계
    를 더 포함하며,
    질화규소 필름이 소정 두께가 되게 하기 위해, 필요에 따라 단계 c) 내지 i)가 반복되도록, 단계 c) 내지 f)를 반복하는 단계 g)가, 또한 단계 h) 및 i)를 반복하는 것을 포함하는 형성 방법.
  19. 제18항에 있어서, 약 7 이하의 유전 상수(k), 약 5 원자% 이하의 산소 함량, 약 5 원자% 이하의 탄소 함량, 및 약 2.7 g/㎤ 이상의 밀도를 갖는 필름.
KR1020190106792A 2018-08-29 2019-08-29 규소 및 질소 포함 필름의 제조 방법 KR20200026143A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220051266A KR102543855B1 (ko) 2018-08-29 2022-04-26 규소 및 질소 포함 필름의 제조 방법

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862724205P 2018-08-29 2018-08-29
US62/724,205 2018-08-29
US16/553,091 2019-08-27
US16/553,091 US10985010B2 (en) 2018-08-29 2019-08-27 Methods for making silicon and nitrogen containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220051266A Division KR102543855B1 (ko) 2018-08-29 2022-04-26 규소 및 질소 포함 필름의 제조 방법

Publications (1)

Publication Number Publication Date
KR20200026143A true KR20200026143A (ko) 2020-03-10

Family

ID=67809396

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020190106792A KR20200026143A (ko) 2018-08-29 2019-08-29 규소 및 질소 포함 필름의 제조 방법
KR1020220051266A KR102543855B1 (ko) 2018-08-29 2022-04-26 규소 및 질소 포함 필름의 제조 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220051266A KR102543855B1 (ko) 2018-08-29 2022-04-26 규소 및 질소 포함 필름의 제조 방법

Country Status (7)

Country Link
US (1) US10985010B2 (ko)
EP (1) EP3620549B1 (ko)
JP (2) JP6959304B2 (ko)
KR (2) KR20200026143A (ko)
CN (2) CN114959653A (ko)
SG (1) SG10201907960SA (ko)
TW (3) TWI749655B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR20230028418A (ko) * 2020-06-23 2023-02-28 엔테그리스, 아이엔씨. 규소-함유 필름을 형성시키기 위한 규소 전구체 화합물 및 방법
US20220199458A1 (en) * 2020-12-18 2022-06-23 Intel Corporation Gap fill dielectrics for electrical isolation of transistor structures in the manufacture of integrated circuits
CN112635475B (zh) * 2020-12-18 2024-05-24 长江存储科技有限责任公司 一种堆叠结构及其制备方法
US20220216048A1 (en) * 2021-01-06 2022-07-07 Applied Materials, Inc. Doped silicon nitride for 3d nand
CN114242578B (zh) * 2022-02-21 2022-06-17 威海银创微电子技术有限公司 SGT Mosfet中IPO厚度的可控方法、装置及介质

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2614338B2 (ja) 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
US5204138A (en) * 1991-12-24 1993-04-20 International Business Machines Corporation Plasma enhanced CVD process for fluorinated silicon nitride films
JP3258439B2 (ja) * 1993-04-14 2002-02-18 株式会社半導体エネルギー研究所 気相反応装置
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
WO1998027247A1 (en) 1996-12-17 1998-06-25 Advanced Technology Materials, Inc. Reagent supply vessel for chemical vapor deposition
JP2000100812A (ja) 1998-09-17 2000-04-07 Tokyo Electron Ltd シリコンナイトライド膜の成膜方法
JP4209563B2 (ja) 1999-09-17 2009-01-14 東京エレクトロン株式会社 窒化シリコン膜の形成方法
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
CN102047386B (zh) * 2008-06-03 2013-06-19 气体产品与化学公司 含硅薄膜的低温沉积
KR101659463B1 (ko) * 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9643844B2 (en) * 2013-03-01 2017-05-09 Applied Materials, Inc. Low temperature atomic layer deposition of films comprising SiCN or SiCON
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
JP5883049B2 (ja) * 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US20150252477A1 (en) * 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US10316407B2 (en) * 2014-10-24 2019-06-11 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing films
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
KR20190141034A (ko) * 2015-02-06 2019-12-20 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
JP6803368B2 (ja) * 2015-07-09 2020-12-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アルキルアミノ置換ハロカルボシラン前駆体
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
WO2018057677A1 (en) 2016-09-26 2018-03-29 Dow Corning Corporation Trichlorodisilane
CN115504477A (zh) 2016-09-28 2022-12-23 美国陶氏有机硅公司 氯二硅氮烷
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD

Also Published As

Publication number Publication date
TWI785891B (zh) 2022-12-01
TW202014549A (zh) 2020-04-16
TWI749655B (zh) 2021-12-11
JP2022008961A (ja) 2022-01-14
JP2020033643A (ja) 2020-03-05
CN114959653A (zh) 2022-08-30
US10985010B2 (en) 2021-04-20
TW202039924A (zh) 2020-11-01
JP6959304B2 (ja) 2021-11-02
TW202208663A (zh) 2022-03-01
EP3620549B1 (en) 2023-11-08
EP3620549A1 (en) 2020-03-11
SG10201907960SA (en) 2020-03-30
CN110872703B (zh) 2022-06-24
KR20220059456A (ko) 2022-05-10
JP7182680B2 (ja) 2022-12-02
TWI710661B (zh) 2020-11-21
US20200075312A1 (en) 2020-03-05
CN110872703A (zh) 2020-03-10
KR102543855B1 (ko) 2023-06-14

Similar Documents

Publication Publication Date Title
JP7153100B2 (ja) 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法
KR102543855B1 (ko) 규소 및 질소 포함 필름의 제조 방법
EP3620550B1 (en) Methods for making silicon containing films that have high carbon content
JP2024032775A (ja) ケイ素及び窒素を含有する膜を製造するための方法
CN112805405B (zh) 用于制备含硅和氮的膜的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X601 Decision of rejection after re-examination