JP7153100B2 - 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法 - Google Patents

炭素ドープケイ素含有膜のための組成物およびそれを用いた方法 Download PDF

Info

Publication number
JP7153100B2
JP7153100B2 JP2021034366A JP2021034366A JP7153100B2 JP 7153100 B2 JP7153100 B2 JP 7153100B2 JP 2021034366 A JP2021034366 A JP 2021034366A JP 2021034366 A JP2021034366 A JP 2021034366A JP 7153100 B2 JP7153100 B2 JP 7153100B2
Authority
JP
Japan
Prior art keywords
carbon
doped silicon
silicon oxide
film
trisilapentane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021034366A
Other languages
English (en)
Other versions
JP2021097240A (ja
Inventor
チャンドラ ハリピン
レイ シンジャン
マリカジュナン アヌパマ
ム-ソン キム
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2021097240A publication Critical patent/JP2021097240A/ja
Application granted granted Critical
Publication of JP7153100B2 publication Critical patent/JP7153100B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electromagnetism (AREA)
  • Silicon Compounds (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Prostheses (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)
  • Silicon Polymers (AREA)

Description

関連出願の相互参照
本願は、2017年7月19日出願の米国特許出願第15/654,426号および2016年7月27日出願の米国特許仮出願第62/367,260号の利益を主張する。米国特許出願第15/654,426号および米国特許仮出願第62/367,260号の開示は、ここに参照することによって、本明細書の内容とする。
この開示の主題は、2016年2月4日出願の国際出願PCT/US2016/016514号に関連する。国際出願PCT/US2016/016514号の開示は、ここに参照することによって、本明細書の内容とする。
ここに記載されているのは、電子装置の製造のための組成物および方法である。より具体的には、ここに記載されているのは、低誘電率(<4.0)および高い酸素アッシュ抵抗のケイ素含有膜、例えば限定するものではないが、炭素ドーブ酸化ケイ素、炭素ドープ窒化ケイ素、炭素ドープ酸窒化ケイ素、の堆積のための配合物および組成物およびそれを含む方法である。
当技術分野には、電子工業における特定の用途のための高い炭素含有量(例えば、X線光電子分光(XPS)によって測定して約10原子%以上の炭素含有量)がドープされたケイ素含有膜の堆積のための組成物およびそれを用いた方法を提供することへの要求がある。
米国特許第8,575,033号明細書には、基材表面上への炭化ケイ素膜の堆積のための方法が記載されている。この方法は、蒸気相のカルボシラン前駆体の使用を含んでおり、そしてプラズマ促進原子層堆積法を用いることができる。
米国特許出願公開第2013/022496号明細書には、原子層体積(ALD)による半導体基材上へのSi-C結合を有する誘電体膜の、(i)基材の表面上に前駆体を吸着させる工程、(ii)この表面上で吸着された前駆体と反応物ガスを反応させる工程、および(iii)工程(i)および(ii)を繰り返して基材上に少なくともSi-C結合を有する誘電体膜を形成する工程、を含む、形成方法が教示されている。
国際公開第2014/134476号には、SiCNおよびSiOCNを含む膜の堆積のための方法が記載されている。特定の方法は、基材表面を第1および第2の前駆体に曝露する工程を含んでおり、第1の前駆体は式(X3-ySi)CH4-z、(X3-ySi)(CH)(SiX2-p)(CH)(SiX3-y)または(X3-ySi)(CH(SiX3-y)を有しており、ここでXはハロゲンであり、yは1=3の範囲の値を有しており、そしてzは1~3の範囲の値を有しており、pは0~2の範囲の値を有しており、そしてnは2~5の範囲の値を有しており、そして第2の前駆体は還元性アミンを含んでいる。また、特定の方法は、基材表面の酸素源への曝露で炭素ドープ酸化ケイ素を含む膜を与えることを含んでいる。
Hirose, Y.、Mizuno, K.、Mizuno, N.、Okubo, S.、Okubo, S.、Yanagida, K.およびYanagita, K. (2014)の米国特許出願公開第2014/287596号明細書「半導体装置の製造方法、基板処理装置及び記録媒体」には、サイクルを所定の回数行うことによって基材上にケイ素、酸素および炭素を含む薄膜を形成することを含み、このサイクルは、ケイ素、酸素およびハロゲン元素を含みそしてSi-C結合を有する前駆体ならびに第1の触媒ガスを基材に供給すること、ならびに酸化ガスおよび第2の触媒ガスを基材に供給することを含む、半導体装置の製造方法が記載されている。
Hirose, Y.、Mizuno, N.、Yanagita, KおよびOkubo, S. (2014) の米国特許第9,343,290号明細書「半導体装置の製造方法、基板処理装置及び記録媒体」には、所定の回数のサイクルを実施することによって基材上に酸化物膜を形成することをを含む半導体装置の製造方法が記載されている。このサイクルは、基材に前駆体ガスを供給する工程および基材にオゾンガスを供給する工程を含んでいる。前駆体ガスを供給する工程においては、前駆体ガスは、基材に、触媒ガスが基材に供給されていない状態で供給され、そしてオゾンガスを供給する工程においては、オゾンガスは、基材に、アミン系触媒ガスが、基材に供給された状態で供給される。
米国特許第9,349,586号には、所望のエッチング抵抗および低誘電率を有する薄膜が開示されている。
米国特許出願公開第2015/0044881号明細書には、高濃度で加えられた炭素を含む膜が高い制御性で形成される形成方法が記載されている。半導体装置の製造方法は、基材上に、ケイ素、炭素および所定の元素を含む膜を、所定の回数のサイクルを実行することによって形成することを含んでいる。この所定の元素は、窒素および酸素の1つである。このサイクルは、1つの分子当たりに少なくとも2つのケイ素原子、炭素およびハロゲン元素を含み、そしてSi-C結合を有する前駆体を基材に供給する工程、および所定の元素を含む変性ガスを基材に供給する工程を含んでいる。
文献、Han, Z.ら、「分子層堆積による高度に安定な超薄カルボシロキサン膜」、Journal of Physical Chemistry C、2013、117、p.19967には、1,2-ビス[(ジメチルアミノ)ジメチルシリル]エタンおよびオゾンを用いてカルボシロキサン膜を成長させることが教示されている。熱安定性では、膜が40℃まで安定で、60℃で厚みの損失が少ししかないことを示している。
Liuら、Jpn. J. Appl. Phys.、1999、Vol. 38、p.3482-3486には、スピンオン法で堆積されたポリシルセスキオキサンへのHプラズマの使用が教示されている。Hプラズマは安定な誘電率を与え、そして膜の熱安定性、およびOアッシュ(プラズマ)処理を向上させる。
Kimら、Journal of the Korean Physical Society、2002、Vol. 40、p.94には、PECVD炭素ドープ酸化ケイ素膜上へのHプラズマ処理が、漏洩電流密度(4~5桁の大きさ)を向上させ、一方で誘電率が2.2から2.5へと増加することが教示されている。Hプラズマの後の炭素ドープ酸化ケイ素膜は、酸素アッシングプロセスの間の損傷がより少ない。
Possemeら、Solid State Phenomena、2005、Vol. 103-104、p.337には、炭素ドープ酸化ケイ素PECVD膜への異なるH/不活性ガスプラズマ処理が教示されている。kは、Hプラズマ処理の後に向上しておらず、全体的改質がないことを示唆している。
上記の特許、特許出願および出願公開の開示は、ここに参照することによって本明細書の内容とする。
ここに記載する組成物および方法は、以下の特徴、i)希フッ化水素酸中で測定された熱酸化ケイ素よりも少なくとも0.5倍小さいエッチング速度(例えば、1:99希HF中で0.45Å/秒)およびX線光分光法(XPS)によって測定された約10原子質量パーセント(at.%)以上の炭素含有量、ii)酸素アッシングプロセスまたは酸素プラズマへの曝露の間の損傷に対してより敏感でない誘電率および希HF(dHF)中の湿式エッチング速度、酸素アッシング抵抗は、dHF浸漬によって測定されたOアッシュ後の厚さの50Å未満である損傷ならびにOアッシュの後の4.0未満の誘電率によって定量化することができる、iii)4.0未満の誘電率、ならびにiv)結果として得られる膜の2.0原子%未満、好ましくは1.0原子%未満、最も好ましくは0.5原子%未満の塩素不純物、の1つもしくは2つ以上を有する共形のケイ素含有膜を堆積するための組成物または配合物を提供することによって従来技術の問題を解決する。本発明によって得ることができる所望の性質は、以下の例によってより詳細に説明される。
1つの特定の態様では、ここに記載された組成物は、熱原子層堆積(ALD)を用いて、炭素ドープ酸化ケイ素膜を堆積する方法に用いることができる。
1つの態様では、ケイ素含有膜を堆積させるための組成物は、(a)表1および2に列挙された1つのSi-C-Siまたは2つのSi-C-Si結合を有する少なくとも1種の直鎖もしくは環状のケイ素前駆体化合物を、そして本発明の少なくとも1つの態様では、(b)少なくとも1種の溶媒を含んでいる。
Figure 0007153100000001
Figure 0007153100000002
ここに記載された組成物の特定の態様では、例示的な溶媒としては、限定するものではないが、エーテル、第三級アミン、アルキル炭化水素、芳香族炭化水素、シロキサン、第三級アミノエーテル、およびそれらの組み合わせを挙げることができる。特定の態様では、ケイ素化合物の沸点と、溶媒の沸点との間の差異は40℃以下、約30℃未満、そして場合によっては約20℃未満、好ましくは10℃未満である。
他の態様では、炭素ドープ酸化ケイ素膜および炭素ドープ酸窒化ケイ素膜から選択された膜を、基材の少なくとも1つの表面上に堆積するための、
基材を反応器中に配置する工程、
反応器を、約25℃~約550℃の範囲の1つもしくは2つ以上の温度に加熱する工程、
反応器中に、表1および2中に列挙されたケイ素前駆体およびそれらの組合せから選択された少なくとも1種の化合物を含む前駆体を導入する工程、
反応器中に、窒素源を導入して、前駆体の少なくとも一部を反応させて、炭素ドープ窒化ケイ素膜を形成させる工程、ならびに、
炭素ドープ窒化ケイ素膜を、約25℃~1000℃、または約100°~400℃の範囲の1種もしくは2種以上の温度で、炭素ドープ窒化ケイ素膜をこの膜に変換するのに十分な条件下で、酸素源で処理する工程、
を含む方法が提供される。特定の態様では、炭素ドープ酸化ケイ素膜または炭素ドープ酸窒化ケイ素膜は、XPSによって測定された約10原子質量パーセント(at.%)以上の炭素含有量および希フッ化水素酸中で測定された、熱酸化ケイ素よりも少なくとも0.5倍小さいエッチング速度を有している。
所望であれば、本発明は、炭素ドープケイ素含有膜を、25℃~600℃で水素または水素/不活性ガスプラズマで処理する工程を更に含んでいる。
本発明の1つの態様は、
(a)1,1,1,3,3,3-ヘキサクロロ-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2-エチル-1,3-ジシラプロパン、1-クロロ-1,3-ジシラシクロブタン、1-ブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-1,3-ジシラシクロブタン、1,3-ジブロモ-1,3-ジシラシクロブタン、1,1,3-トリクロロ-1,3-ジシラシクロブタン、1,1,3-トリブロモ-1,3-ジシラシクロブタン、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタン、1,1,3,3-テトラブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-ジメチル-1,3-ジシラシクロブタン、1,3-ブロモ-1,3-ジメチル-1,3-ジシラシクロブタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,5-ジメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-3,3-ジメチル-1,3,5-トリシラペンタン、1,1,3,5,5,5-ペンタクロロ-1,3,5-トリメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-1,3,5-トリシラペンタン、1,1,5,5-テトラクロロ-1,3,5-トリシラペンタンからなる群から選択された1つのSi-C-Siまたは2つのSi-C-Si結合を有する少なくとも1種の直鎖もしくは環状のケイ素前駆体化合物、ならびに、
(b)少なくとも1種の溶媒、
を含む組成物に関する。
本発明の他の態様は、熱ALDプロセスによる、15原子%~30原子%の範囲の炭素含有量を有する、炭素ドープ酸化ケイ素膜を形成するための方法に関し、この方法は、
a)表面フィーチャを含む1つもしくは2つ以上の基材を反応器中に配置する工程、
b)反応器を周囲温度~約550℃の範囲の1つもしくは2つ以上の温度に加熱し、そして随意選択的にこの反応器を100トール以下の圧力に保持する工程、
c)反応器中に、1-クロロ-1,3-ジシラシクロブタン、1-ブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-1,3-ジシラシクロブタン、1,3-ジブロモ-1,3-ジシラシクロブタン、1,1,3-トリクロロ-1,3-ジシラシクロブタン、1,1,3-トリブロモ-1,3-ジシラシクロブタン、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタン、1,1,3,3-テトラブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-ジメチル-1,3-ジシラシクロブタン、1,3-ブロモ-1,3-ジメチル-1,3-ジシラシクロペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,5-ジメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-3,3-ジメチル-1,3,5-トリシラペンタン、1,1,3,5,5,5-ペンタクロロ-1,3,5-トリメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-1,3,5-トリシラペンタン、1,1,5,5-テトラクロロ-1,3,5-トリシラペンタンからなる群から選択された2つのSi-C-Si結合を有する少なくとも1種のケイ素前駆体を導入する工程、
d)不活性ガスでパージする工程、
e)窒素源を反応器中に供給して、表面と反応させて炭素ドープ窒化ケイ素膜を形成させる工程、
f)不活性ガスでパージして、反応副生成物を除去する工程、
g)工程c~fを繰り返して、炭素ドープ窒化ケイ素の所望の厚さを提供する工程、
h)結果として得られた炭素ドープ窒化ケイ素膜を、酸素源で、約室温~1000℃または約100°~400℃の範囲の1つもしくは2つ以上の温度で処理して、炭素ドープ窒化ケイ素膜を炭素ドープ酸化ケイ素膜に変換する工程、ならびに、
i)炭素ドープ酸化ケイ素膜を、水素を含むプラズマへの,堆積後曝露を与える工程、
を含んでいる。
本発明の1つの態様では、基材は、シリコンまたはゲルマニウムドープのシリコンまたはホウ素ドープのシリコンまたは高k材料を含み、そして本発明の炭素ドープ酸化ケイ素膜の堆積に続いて、窒化ケイ素または酸化ケイ素を含む膜が堆積される。
本発明の更なる態様は、約4未満のk、XPS測定を基にして、少なくとも約10原子%、好ましくは15原子%以上、最も好ましくは20原子%以上の炭素含有量を有する膜に関し、本発明の他の態様では、本発明の膜は、本発明の方法のいずれかによって形成されることができる。炭素含有量は、湿式エッチング速度を低下させ、ならびにアッシング抵抗を増加させるために重要な因子であるので、本発明の炭素含有量は、XPSで測定して、10原子%~40原子%、好ましくは15原子%~30原子%、そして最も好ましくは20原子%~35原子%の範囲である。
本発明の他の態様は、本発明の組成物を収容するステンレス鋼製の容器に関する。
本発明の態様は、単独で、または互いに種々の組み合わせで用いることができる。
図1は、プラズマ処理、それに続く酸素アッシングの後の、1,1,1,3,3,3-ヘキサクロロ-1,3-ジシラプロパン(HCDSP)および1,1,3,3-テトラクロロ-1,3-ジシラシクロブタン(TCDSB)炭素ドープ酸化ケイ素膜についてのエッチングプロファイルの比較であり、TCDSBからの炭素ドープ酸化ケイ素膜がHCDSPのそれよりも、よりアッシング抵抗を与えることを示している。
ここに記載されているのは、炭素ドープ(例えば、XPSで測定して、約10原子%以上の炭素含有量を有する)ケイ素含有膜を、堆積プロセス、限定するものではないが、例えば熱原子層堆積プロセスによって堆積させるためのケイ素前駆体化合物、および組成物およびそれを含む方法である。ここに記載した組成物および方法を用いて堆積された膜は、極めて低いエッチング速度、例えば希フッ化水素酸中で測定した、熱酸化ケイ素よりも少なくとも0,5倍小さいエッチング速度(例えば、希HF(0.5質量%)中で約0.20Å/秒以下または0.15Å/秒以下)、または熱酸化ケイ素よりも少なくとも0.1倍小さいエッチング速度、または熱酸化ケイ素よりも少なくとも0.05倍小さいエッチング速度、または熱酸化ケイ素よりも少なくとも0.01倍小さいエッチング速度を示し、一方で他の調整可能な性質、例えば、限定するものではないが、密度、誘電率、屈折率および元素組成において、可変性を示す。
特定の態様では、ここに記載されたケイ素前駆体およびそれを用いた方法は、下記の特徴の1つもしくは2つ以上を以下のように与える。
第1に、堆積されたままの、反応性の炭素ドープ窒化ケイ素膜は、Si-C-Si結合を含むケイ素前駆体および窒素源を用いて形成される。いずれかの理論または説明によって拘束されることは望まないが、ケイ素前駆体からのSi-C-Si結合は、結果として得られた堆積されたままの膜に残り、そしてXPSによって測定された約10原子%以上の高い炭素含有量(例えば、約20~約30原子%、約10~約20原子%、そして幾つかの場合には、約10~約15原子%の炭素)を与えることが信じられる。
第2に、堆積プロセスの間に断続的に、堆積後処理として、またはそれらの組合せでのいずれかで、堆積されたままの膜を酸素源、例えば水に曝露する場合には、膜中の少なくとも1部または全ての窒素含有量は、酸素に転換されて、炭素ドープ酸化ケイ素または炭素ドープ酸窒化ケイ素膜から選択される膜を与える。堆積されたままの膜中の窒素は、1種もしくは2種以上の窒素含有副生成物、例えばアンモニアもしくはアミン基として放出される。
この態様、または他の態様では、最終的な膜は、多孔質であり、そして約1.7グラム/立方センチメートル(g/cc)以下の密度および、0.5質量%の希フッ化水素中で0.20Å/秒以下のエッチング速度を有している。
1つの態様では、ケイ素含有膜の堆積のための組成物は、(a)1,1,1,3,3,3-ヘキサクロロ-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2-エチル-1,3-ジシラプロパン、1-クロロ-1,3-ジシラシクロブタン、1-ブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-1,3-ジシラシクロブタン、1,3-ジブロモ-1,3-ジシラシクロブタン、1,1,3-トリクロロ-1,3-ジシラシクロブタン、1,1,3-トリブロモ-1,3-ジシラシクロブタン、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタン、1,1,3,3-テトラブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-ジメチル-1,3-ジシラシクロブタン、1,3-ブロモ-1,3-ジメチル-1,3-ジシラシクロブタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,5-ジメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-3,3-ジメチル-1,3,5-トリシラペンタン、1,1,3,5,5,5-ペンタクロロ-1,3,5-トリメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-1,3,5-トリシラペンタン、1,1,5,5-テトラクロロ-1,3,5-トリシラペンタンからなる群から選択された、1つのSi-C-Siまたは2つのSi-C-Si結合を有する少なくとも1種のケイ素前駆体化合物、および(b)少なくとも1種の溶媒、を含んでいる。ここに記載された組成物の特定の態様では、例示の溶媒として、限定するものではないが、エーテル、第3級アミン、アルキル炭化水素、芳香族炭化水素、第3級アミノエーテル、シロキサンおよびそれらの組合せを挙げることができる。特定の態様では、1つのSi-C-Siまたは2つのSi-C-Si結合を有する化合物の沸点と、この溶媒の沸点との間の差異は、40℃以下である。溶媒中のケイ素前駆体化合物の質量%は、1~99質量%、または10~90質量%、または20~80質量%、または30~70質量%、または40~60質量%、または50~50質量%の範囲で変わることができる。幾つかの態様では、この組成物は、ケイ素含有膜のための反応チャンバ中への直接の液体注入によって、慣用の直接の液体注入装置および方法を用いて、供給することができる。
ここに記載した方法の1つの態様では、5原子%~20原子%の範囲の炭素含有量を有する炭素ドープ酸化ケイ素膜が、膜特性を向上させるために、熱ALDプロセスおよび水素を含むプラズマを用いて堆積される。この態様では、本方法は、
a.表面フィーチャを含む1つもしくは2つ以上の基材を反応器中に配置すること、
b.反応器を、周囲温度~約550℃の範囲の1つもしくは2つ以上の温度に加熱し、そして随意選択的にこの反応器を100トール以下の圧力に保持すること、
c,この反応器中に、1つのSi-C-Si結合を有し、1,1,1,3,3,3-ヘキサクロロ-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1、3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2-エチル-1,3-ジシラプロパンからなる群から選択される少なくとも1種のケイ素前駆体を導入すること、
d.不活性ガスでパージし、それによって未反応のケイ素前駆体を除去し、そしてパージガスとケイ素前駆体を含む組成物を形成すること、
e.窒素源を反応器中に供給して、表面と反応させ、ケイ素窒化炭素膜を形成すること、
f,不活性ガスでパージして、反応副生成物を除去すること、
g.工程c~fを繰り返して、炭素ドープ窒化ケイ素の所望の厚さを与えること、
h.炭素ドープ窒化ケイ素膜を、酸素源で、約周囲温度~1000℃または約100℃~400℃の範囲の1つもしくは2つ以上の温度で、堆積後処理をして、炭素ドープ窒化ケイ素膜を炭素ドープ酸化ケイ素膜へと、インサイチュで、または他のチャンバ中でのいずれかで、転換すること、ならびに、
i.炭素ドープ酸化ケイ素膜を、水素を含むプラズマに堆積後暴露して、膜の性質を向上させ、膜特性の少なくとも1つを向上させること、
j.随意選択的に、炭素ドープ酸化ケイ素膜を、400℃~1000℃の温度でのスパイクアニールまたはUV光源での堆積後処理すること、
を含んでいる。この態様または他の態様では、UV暴露工程は、膜の堆積の間に、または堆積が一旦完了した後のいずれかに行うことができる。
1つの態様では、基材は、少なくとも1つのフィーチャを含んでおり、このフィーチャは、1:9のアスペクト比、180nmの開口を有するパターン化されたトレンチを含んでいる。
ここに記載された方法の1つの態様では、15原子%~30原子%の範囲の炭素含有量を有する炭素ドープ酸化ケイ素膜が、膜特性を向上させるために、熱ALDプロセスおよび、水を含むプラズマを用いて堆積される。この態様では、本方法は、
a.表面フィーチャを含む1つもしくは2つ以上の基材を反応器中に(例えば、慣用のALD反応器中に)配置すること、
b.反応器を、周囲温度~約550℃の範囲の1つもしくは2つ以上の温度に加熱し、そして随意選択的にこの反応器を100トール以下の圧力に保持すること、
c.この反応器中に、2つのSi-C-Si結合を有し、1-クロロ-1,3-ジシラシクロブタン、1-ブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-1,3-ジシラシクロブタン、1,3-ジブロモ-1,3-ジシラシクロブタン、1,1,3-トリクロロ-1,3-ジシラシクロブタン、1,1,3-トリブロモ-1,3-ジシラシクロブタン、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタン、1,1,3,3-テトラブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-ジメチル-1,3-ジシラシクロブタン、1,3-ブロモ-1,3-ジメチル-1,3-ジシラシクロブタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,5-ジメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-3,3-ジメチル-1,3,5-トリシラペンタン、1,1,3,5,5,5-ペンタクロロ-1,3,5-トリメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-1,3,5-トリシラペンタン、1,1,5,5-テトラクロロ-1,3,5-トリシラペンタンからなる群から選択される少なくとも1種のケイ素前駆体を導入すること、
を含んでいる。
d.不活性ガスでパージすること、
e.窒素源を反応器中に供給して、表面と反応させ、炭素ドープ窒化ケイ素膜を形成すること、
f.不活性ガスでパージして、反応副生成物を除去すること、
g.工程c~fを繰り返して、炭素ドープ窒化ケイ素の所望の厚さを与えること、
h.炭素ドープ窒化ケイ素膜を、酸素源で、約周囲温度~1000℃または約100℃~400℃の範囲の1つもしくは2つ以上の温度で、堆積後処理をして、炭素ドープ窒化ケイ素膜を炭素ドープ酸化ケイ素膜へと、インサイチュで、または他のチャンバ中でのいずれかで、転換すること、
i.炭素ドープ酸化ケイ素膜を、水素を含むプラズマに堆積後暴露して、膜の物理的性質の少なくとも1つを向上させること、
j.随意選択的に、炭素ドープ酸化ケイ素膜を、400℃~1000℃の温度での熱アニールまたはUV光源で堆積後処理すること、
を含んでいる。この態様または他の態様では、UV暴露工程は、膜の堆積の間に、または堆積が一旦完了した後のいずれかに行うことができる。
ここに記載された方法の更に他の更なる態様では、ケイ素含有膜は、アンモニアまたは有機アミンを含む触媒を用いた熱ALDプロセスを用いて堆積される。この態様では、本方法は、
a.表面フィーチャを含む1つもしくは2つ以上の基材を反応器中に配置すること、
b.反応器を、周囲温度~約150℃の範囲の1つもしくは2つ以上の温度に加熱し、そして随意選択的にこの反応器を100トール以下の圧力に保持すること、
c.この反応器中に、1つもしくは2つのSi-C-Si結合を有し、1,1,1,3,3,3-ヘキサクロロ-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2-エチル-1,3-ジシラプロパン、1-クロロ-1,3-ジシラシクロブタン、1-ブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-1,3-ジシラシクロブタン、1,3-ジブロモ-1,3-ジシラシクロブタン、1,1,3-トリクロロ-1,3-ジシラシクロブタン、1,1,3-トリブロモ-1,3-ジシラシクロブタン、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタン、1,1,3,3-テトラブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-ジメチル-1,3-ジシラシクロブタン、1,3-ブロモ-1,3-ジメチル-1,3-ジシラシクロブタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,5-ジメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-3,3-ジメチル-1,3,5-トリシラペンタン、1,1,3,5,5,5-ペンタクロロ-1,3,5-トリメチル-1,3,5-トリシラペンタン。1,1,1,5,5,5-ヘキサクロロ-1,3,5-トリシラペンタン、1,1,5,5-テトラクロロ-1,3,5-トリシラペンタンからなる群から選択される少なくとも1種のケイ素前駆体ならびに触媒を導入すること、
d.不活性ガスでパージすること、
e.水の蒸気を反応器中に供給して、前駆体ならびに触媒と反応させて、炭素ドープ酸化ケイ素を堆積された膜として形成させること、
f.不活性ガスでパージして、反応副生成物を除去すること、
g.工程c~fを繰り返して、炭素ドープ酸化ケイ素の所望の厚さを与えること、
h.処理された膜を、水素を含むプラズマに堆積後暴露して膜特性を向上させて、膜の性質の少なくとも1つを向上させること、
i.随意選択的に、炭素ドープ酸化ケイ素膜を、400℃~1000℃の温度でのスパイクアニールまたはUV光源で堆積後処理すること、を含んでいる。この態様または他の態様では、UV暴露工程は、膜の堆積の間に、または堆積が一旦完了した後のいずれかに行うことができる。
この態様または他の態様では、触媒は、ルイス塩基、例えばピリジン、ピペラジン、アンモニア、トリエチルアミンまたは他の有機アミンから選択される。ルイス塩基の蒸気の量は、工程cの間のケイ素前駆体の量に少なくとも等しい量である。
特定の態様では、結果として得られた炭素ドープ酸化ケイ素膜は、水素プラズマ処理に暴露する前に、疎水性の薄層を形成させるように、Si-MeまたはSi-Hまたはその両方を有する有機アミノシランもしくはクロロシランに暴露される。好適な有機アミノシランとしては、限定されるものではないが、ジエチルアミノトリメチルシラン、ジメチルアミノトリメチルシラン、エチルメチルアミノトリメチルシラン、t-ブチルアミノトリメチルシラン、イソプロピルアミノトリメチルシラン、ジイソプロピルアミノトリメチルシラン、ピロリジノトリメチルシラン、ジエチルアミノジメチルシラン、ジメチルアミノジメチルシラン、エチルメチルアミノジメチルシラン、t-ブチルアミノジメチルシラン、イソプロピルアミノジメチルシラン、ジイソプロピルアミノジメチルシラン、ピロリジノジメチルシラン、ビス(ジエチルアミノ)ジメチルシラン、ビス(ジメチルアミノ)ジメチルシラン、ビス(エチルメチルアミノ)ジメチルシラン、ビス(ジイソプロピルアミノ)ジメチルシラン、ビス(イソプロピルアミノ)ジメチルシラン、ビス(tert-ブチルアミノ)ジメチルシラン、ジピロリジノジメチルシラン、ビス(ジエチルアミノ)ジエチルシラン、ビス(ジエチルアミノ)メチルビニルシラン、ビス(ジメチルアミノ)メチルビニルシラン、ビス(エチルメチルアミノ)メチルビニルシラン、ビス(ジイソプロピルアミノ)メチルビニルシラン、ビス(イソプロピルアミノ)メチルビニルシラン、ビス(tert-ブチルアミノ)メチルビニルシラン、ジピロリジノメチルビニルシラン、2,6-ジメチルピペリジノメチルシラン、2,6-ジメチルピペリジノジメチルシラン、2,6-ジメチルピペリジノトリメチルシラン、トリス(ジメチルアミノ)フェニルシラン、トリス(ジメチルアミノ)メチルシラン、ジイソプロピルアミノシラン、ジ-sec-ブチルアミノシラン、クロロジメチルシラン、クロロトリメチルシラン、ジクロロメチルシラン、およびジクロロジメチルシランが挙げられる。
他の態様では、結果として得られた炭素ドープ酸化ケイ素膜は、疎水性の薄層を形成するように、水素プラズマ処理に暴露する前に、Si-MeまたはSi-Hまたはその両方を有するアルコキシシランまたは環状アルコキシシランに暴露される。好適なアルコキシシランまたは環状アルコキシシランとしては、限定するものではないが、ジエトキシメチルシラン、ジメトキシメチルシラン、ジエトキシジメチルシラン、ジメトキシジメチルシラン、2,4,6,8-テトラメチルシクロテトラシロキサン、またはオクタメチルシクロテトラシロキサンが挙げられる。いずれかの理論または説明に高速されることは望まないが、有機アミノシランまたはアルコキシシランまたは環状アルコキシシランによって形成された薄層は、プラズマアッシングプロセスの間に緻密な炭素ドープ酸化ケイ素に変換される可能性があり、更にアッシング抵抗を高めることが信じられる。
他の態様では、1種もしくは2種以上のケイ素前駆体化合物を含む、ケイ素含有膜の堆積のための容器が、ここに記載されている。1つの具体的な態様では、この容器は、例えば米国特許第7334595号明細書、米国特許第6077356号明細書、米国特許第5069244号明細書、および米国特許第5465766号明細書に開示された設計を有する好ましくはステンレス鋼製の、少なくとも1つの加圧容器を含んでおり、それらを参照することによって本明細書の内容とする。この容器は、ガラス(ホウケイ酸塩ガラスまたは石英ガラス)または種類316、316L、304または304Lステンレス鋼合金(UNS呼称:S31600、S31603、S30400、S30403)のいずれかを含むことができ、適正な弁、および1種もしくは2つ以上の前駆体をCVDまたはALDプロセスのために反応器へと供給することを可能にさせる付属品が備えられている。この態様または他の態様では、ケイ素前駆体は、ステンレス鋼で構成された加圧容器中に供給され、そして前駆体の純度は、98質量%以上、または99.5質量%以上であり、これが半導体用途のためには好適である。ケイ素前駆体化合物は、好ましくは金属イオン、例えばAl3+イオン、Fe2+、Fe3+、Ni2+、Cr3+を実質的に含まない。ここで用いられる用語「実質的に含まない」は、Al3+イオン、Fe2+、Fe3+、Ni2+、Cr3+に関しては、約5ppm未満(質量基準)、好ましくは約3ppm未満、そしてより好ましくは約1ppm未満、そして最も好ましくは約0.1ppm未満を意味している。特定の態様では、そのような容器はまた、前駆体を、必要に応じて、1種もしくは2種以上の付加的な前駆体と混合するための手段を有することができる。それらの態様または他の態様では、容器の内容物は、付加的な前駆体と予備混合されることができる。あるいは、ケイ素前駆体は、および/または他の前駆体は、ケイ素前駆体と他の前駆体を、貯蔵の間に分離して保持するために、別個の容器中にまたは、分離手段を有する単一の容器中に保持することができる。
シリコン含有膜は、基板、例えば半導体基板の少なくとも表面上に堆積される。ここに記載された方法では、基材は、当技術分野でよく知られたケイ素の膜を含む種々の材料、例えば、結晶性シリコンまたはアモルファスシリコン、酸化ケイ素、窒化ケイ素、アモルファス炭素、酸炭化ケイ素、酸窒化ケイ素、炭化ケイ素、ゲルマニウム、ゲルマニウムドープケイ素、ホウ素ドープケイ素、金属、例えば銅、タングステン、アルミニウム、コバルト、ニッケル、タンタル、金属窒化物、例えば窒化チタン、窒化タンタル、金属酸化物、III/V族金属またはメタロイド、例えばGaAs、InP、GaPおよびGaNならびにそれらの組み合わせで構成され、および/またはコーティングされていることができる。それらのコーティングは、半導体基材を完全にコーティングしていることができ、種々の材料の複数の層の中にあることができ、そして下にある材料の層を露出させるように部分的にエッチングされていることができる。また、その表面は、その上に、パターンを備えて露光され、そして基材を部分的コーティングするように現像されている、フォトレジスト材料を有していることができる。特定の態様では、半導体基材は、孔、ビア、トレンチおよびそれらの組み合わせからなる群から選択された少なくとも1種の表面フィーチャを含んでいる。このケイ素含有膜の潜在的な用途としては、限定するものではないが、FinFETのための低kスペーサ、またはナノシート、自己整合パターニングプロセス(例えば、SADP、SAQP、またはSAOP)のための犠牲ハードマスクが挙げられる。
ケイ素含有膜またはコーティングを形成するのに用いられる堆積方法は堆積プロセスである。ここに開示された方法のための好適な堆積プロセスの例としては、化学気相堆積または原子層堆積プロセスが挙げられるが、それらには限定されない。ここで用いられる用語「化学気相堆積プロセス」は、基材が、基材表面で反応および/または分解して所望の堆積を生じさせる、1種もしくは2種以上の揮発性前駆体に曝露されるいずれかのプロセスを表している。ここで用いられる用語「原子層堆積プロセス」は、自己限定性の(例えば、それぞれの反応サイクルに堆積される膜材料の量が一定である)、材料の堆積膜を種々の組成の基材上に堆積する、逐次表面化学を表している。ここで用いられる「熱原子層堆積プロセス」は、室温~600℃の範囲の基材温度での、インサイチュまたは遠隔プラズマなしの、原子層堆積プロセスを表している。ここで用いられる前駆体、試薬および供給源はしばしば「気体状」と記載される場合があるが、前駆体は、液体または固体であることができ、それは不活性ガスとともに、またはなしで、反応器中に、直接の蒸発、バブリングまたは昇華によって送られることが理解される。幾つかの場合には、蒸発された前駆体は、プラズマ発生器を通過することができる。
1つの態様では、ケイ素含有膜は、ALDプロセスを用いて堆積される。他の態様では、ケイ素含有膜はCCVDプロセスを用いて堆積される。更なる態様では、ケイ素含有膜は、熱ALDプロセスを用いて堆積される。ここで用いられる用語「反応器」は、限定するものではないが、反応チャンバまたは堆積チャンバを含んでいる。
特定の態様では、ここに開示されている方法は、反応器への導入の前および/または間に前駆体を分離するALDまたはCCVD法を用いることによって、予備反応を回避する。このことに関連して、堆積技術、例えばALDまたはCCVDプロセスが、ケイ素含有膜堆積するのに用いられる。1つの態様では、この膜は、典型的な単一ウエハのALD反応器、半バッチ式のALD反応器、またはバッチ炉のALD反応器中でのALDプロセスによって、基材表面を、代わり代わりに1種もしくは2種以上のケイ素含有前駆体、酸素源、窒素含有源、または他の前駆体もしくは試薬に曝露することによって、堆積される。膜成長は、表面反応、各前駆体もしくは試薬のパルス長、および堆積温度の自己制限的な制御によって進行する。しかしながら、基材の表面が一旦飽和されたら、膜の成長が終わる。他の態様では、ケイ素前駆体および反応性ガスを含むそれぞれの反応物は、基材を反応器の異なる区画に動かす、または回転することによって、基材に曝露され、そしてそれぞれの区画は、不活性ガスのカーテンによって分離されている、すなわち空間的ALD反応器またはロール・ツー・ロールALD反応器。
堆積方法に応じて、特定の態様では、ここに記載されたケイ素前駆体および随意選択的な他のケイ素含有前駆体は、反応器中に、所定のモル体積または約0.1~約1000マイクロモルで導入することができる。この態様または他の態様では、前駆体は、反応器中に、所定の時間に亘って導入することができる。特定の態様では、この時間は、約0.001~約500秒間の範囲である。
特定の態様では、ここに記載された方法を用いて堆積されたケイ素含有膜は、酸素源、酸素を含む試薬もしくは前駆体、すなわち水蒸気と組合せた触媒の存在下で形成される。酸素源は、反応器中に、少なくとも1種の酸素源の形態で導入することができ、および/または堆積プロセスで用いられる他の前駆体中に付随的に存在することができる。好適な酸素源ガスとしては、例えば、水(HO)(例えば、脱イオン水、純水、蒸留水、水蒸気、水蒸気プラズマ、酸素化水、空気、水を含む組成物および他の有機液体)、酸素(O)、酸素プラズマ、オゾン(O)、酸化窒素(NO)、二酸化窒素(NO)、一酸化炭素(CO)、水を含むプラズマ、水およびアルゴンを含むプラズマ、過酸化水素、水素を含む組成物、水素および酸素を含む組成物、二酸化炭素(CO)、空気およびそれらの組合せを挙げることができる。特定の態様では、酸素源は、反応器中に、約1~約10000平方立方センチメートル(sccm)又は約1~約1000sccmの範囲の流量で導入される酸素源ガスを含んでいる。酸素源は、約0.1~約100秒間の範囲の時間に亘って導入することができる。触媒は、ルイス塩基、例えばピリジン、ピペラジン、トリメチルアミン、ターシャリ-ブチルアミン、ジエチルアミン、トリエチルアミン、エチレンジアミン、アンモニア、または他の有機アミンから選択される。
膜が、ALDまたはサイクルCVDプロセスによって堆積される態様では、前駆体パルスは、0.01秒間超のパルス継続時間を有することができ、そして酸素源は、0.01秒間未満のパルス継続時間を有することができ、一方で水パルス継続時間は、0.01秒間未満のパルス継続時間を有することができる。
特定の態様では、酸素源は、反応器中に連続的に流れることができ、そして前駆体パルスおよびプラズマは逐次的に導入される。前駆体パルスは、0.01秒間超のパルス継続時間を有することができ、一方でプラズマ継続時間は、0.01秒間~100秒間の範囲であることができる。
特定の態様では、ケイ素含有膜は、ケイ素および窒素を含んでいる。それらの態様では、ここに記載された方法を用いて堆積されたケイ素含有膜は、窒素含有源の存在で形成される。窒素含有源は、反応器中に、少なくとも1種の窒素源の形態で導入されることができ、および/またはその堆積プロセスで用いられる他の前駆体中に付随的に存在することができる。
好適な窒素含有源ガスもしくは窒素源ガスとしては、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、対称もしくは非対称ジアルキルヒドラジン、有機アミン、例えばメチルアミン、エチルアミン、エチレンジアミン、エタノールアミン、ピペラジン、N,N’-ジメチルエチレンジアミン、イミダゾリジン、シクロトリメチレントリアミン、およびそれらの組合せを挙げることができる。
特定の態様では、窒素源は、反応器中に、約1~約10000平方立方センチメートル(sccm)または約1~約1000sccmの範囲の流量で導入される。窒素含有源は、約0.1~約100秒間の範囲の時間に亘って導入されることができる。膜が、ALDまたはサイクルCVDプロセスによって窒素源および酸素源の両方を用いて堆積される態様では、前駆体パルスは、0.01秒間超のパルス継続時間を有することができ、そして窒素源は、0.01秒間未満のパルス継続時間を有することができ、一方で水パルス継続時間は、0.01秒間未満のパルス継続時間を有することができる。更に他の態様では、それらのパルスの間のパージ継続時間は、0秒間近くに短くあることができ、または連続的にパルスされてその間にパージがない。
ここに開示された堆積方法は、1種もしくは2種以上のパージガスを含むことができる。消費されなかった反応物および/または反応副生成物をパージするのに用いられるパージガスは、前駆体と反応しない不活性ガスである。例示的なパージガスとしては、アルゴン(Ar)、窒素(N)、ヘリウム(He)、ネオン、水素(H),およびそれらの組合せが挙げられるが、それらには限定されない。特定の態様では、パージガス、例えばArは、反応器中に、約10~約10000sccmの範囲の流量で、約0.1~1000秒間に亘って、供給され、それによって、反応器中に残る可能性がある未反応の材料およびいずれかの副生成物をパージする。
前駆体、酸素源、窒素含有源および/または他の前駆体、供給源ガス、および/または反応物の供給のそれぞれの工程は、結果として得られる膜の化学量論的な組成を変化させるように、それらを供給するための時間を変更することによって行うことができる。
エネルギーが、前駆体、窒素含有源、還元剤、他の前駆体またはそれらの組合せの少なくとも1種に加えられて、反応を誘発し、そして膜またはコーティングを基材の上に形成させる。そのようなエネルギーは、熱、プラズマ、パルスプラズマ、ヘリウムプラズマ高密度プラズマ、誘導結合プラズマ、X線、e-ビーム、光量子、遠隔プラズマ法、およびそれらの組合せによって与えることができるが、それらには限定されない。
特定の態様では、二次高周波(secondary RF frequency)源を、基材表面においてプラズマ特性を変えるように用いることができる。堆積がプラズマを含む態様では、プラズマが発生されたプロセスは、直接プラズマが発生されたプロセスを含むことができ、そこではプラズマは、反応器中で直接に発生され、またはあるいは、遠隔プラズマが発生されたプロセスを含むことができ、そこではプラズマは反応器の外側で発生され、そして反応器中に供給される。
本明細書を通して、用語「ALDまたはALD様」は、限定するものではないが、以下のプロセスを含むプロセスを表している:a)ケイ素前駆体および反応性ガスを含むそれぞれの反応物が、逐次的に反応器、例えば単一のウエハALD反応器、半バッチ式ALD反応器、またはバッチ式炉ALD反応器中に導入される、b)ケイ素前駆体および反応性ガスを含むそれぞれの反応物が、基材を、反応器の異なる区画に動かす、または回転させることによって基材に曝露され、そしてそれぞれの区画は、不活性ガスのカーテンによって分離されている、すなわち空間的ALD反応器またはロール・ツー・ロールALD反応器。
ケイ素前駆体および/または他のケイ素含有前駆体は、反応チャンバ、例えばCVDまたはALD反応器へと、種々の方法で供給されることができる。1つの態様では、液体供給システムを用いることができる。1つの他の態様では、液体供給およびフラッシュ蒸発プロセスの組み合わされた装置、例えば、MSP Corporation of Shoreview, MNによるターボ蒸発器を、低揮発性材料が体積的に供給されることを可能にするように、用いることができ、それが、前駆体の熱分解なしでの再現性のある移送と堆積をもたらす。液体供給配合物中において、ここに記載された前駆体は、単体の液体形態で提供することができ、あるいは、この前駆体を含む溶媒配合物中または組成物中で用いることができる。従って、特定の実施形態では、その前駆体配合物は、基材上に膜を形成する所定の最終用途において所望であり且つ有利である可能性のある、適切な特性を有する溶媒成分を含むことができる。
この態様または他の態様では、ここに記載された方法の工程は、種々の順序で行うことができ、逐次的に、または同時的に(すなわち、他の工程の少なくとも一部の間に)、そしてそれらのいずれかの組み合わせで行うことができることが理解される。前駆体および窒素含有源ガスの供給のそれぞれの工程は、結果として得られるケイ素含有膜の化学量論的組成を変更するように、それらを供給する時間の継続時間を変えることによって行うことができる。
ここに記載された方法のなお更なる態様では、膜または堆積されたままの膜は、処理工程に付される。この処理工程は、堆積工程の少なくとも一部の間に、堆積工程の後に、そしてそれらの組合せで行うことができる。例示的な処理工程としては、限定されるものではないが、膜の1つもしくは2つ以上の性質に影響を与える、高温の熱アニールによる処理、プラズマ処理、紫外(UV)線処理、レーザー、電子線処理およびそれらの組合せが挙げられる。ここに記載された1つもしくは2つのSi-C-Si結合を有するケイ素前駆体で堆積された膜は、同じ条件下で先に開示されたケイ素前駆体で堆積された膜と比較された場合には、向上された性質、例えば、限定するものではないが、この処理工程の前の膜の湿式エッチング速度よりも低い湿式のエッチング速度、またはこの処理工程より前の密度よりも高い密度を有する。1つの特定の態様では、堆積プロセスの間に、堆積されたままの膜は、断続的に処理される。それらの断続的なまたは堆積中の処理は、例えば、それぞれのALDサイクルの後、特定の数のALDの後、例えば、限定するものではないが、一(1)ALDサイクル、二(2)ALDサイクル、五(5)ALDサイクル、または十(10)以上のALDサイクル毎の後に実施される。
膜が高温のアニール工程で処理される1つの態様では、アニール温度は、少なくとも100℃以上または堆積温度よりも高い。この態様または他の態様では、アニール温度は、400℃~約1000℃の範囲である。この態様または他の態様では、アニール処理は、真空中(<760トール)、不活性雰囲気、または酸素含有雰囲気(例えばHO、NO、NOまたはO)で行うことができる。
膜がUV処理で処理される1つの態様では、膜は広帯域UV、または、あるいは約150ナノメートル(nm)~約400nmの範囲の波長を有するUV源に曝露される。1つの特定の態様では、堆積されたままの膜は、所望の膜厚に到達した後に、堆積チャンバとは異なるチャンバ中で、UVに曝露される。
膜がプラズマで処理される1つの態様では、不動態化層、例えばSiOまたは炭素ドープSiOが、塩素および窒素汚染が、次のプラズマ処理において膜に侵入するのを妨げるように堆積される。不動態化層は、原子層堆積またはサイクル化学気相堆積を用いて堆積することができる。
膜がプラズマで処理される1つの態様では、プラズマ源は、水素プラズマ、水素およびヘリウムを含むプラズマ、水素およびアルゴンを含むプラズマからなる群から選択される。水素プラズマは、膜の誘電率を低下させ、そしてバルク内の炭素含有量をほとんど変えずに維持しながら後続のプラズマアッシングプロセスに対する損失抵抗を高める。
本明細書を通して、用語「ALDまたはALD様」は、限定するものではないが、以下のプロセスを含むプロセスを表している:a)ケイ素前駆体および反応性ガスを含むそれぞれの反応物が、逐次的に反応器、例えば単一のウエハALD反応器、半バッチ式ALD反応器、またはバッチ式炉ALD反応器中に導入される、b)ケイ素前駆体および反応性ガスを含むそれぞれの反応物が、基材を、反応器の異なる区画に動かす、または回転させることによって基材に曝露され、そしてそれぞれの区画は、不活性ガスのカーテンによって分離されている、すなわち空間的ALD反応器またはロール・ツー・ロールALD反応器。
本明細書を通して、用語「アッシング」は、半導体製造プロセスにおいて、フォトレジストまたは炭素ハードマスクを、酸素源、例えばO/不活性ガスプラズマ、Oプラズマ、COプラズマ、COプラズマ、H/Oプラズマまたはそれらの組合せを用いて除去するプロセスを表している。
本明細書を通して、用語「損失抵抗」は、酸素アッシングプロセスの後の膜特性を表す。良好なまたは高い損失抵抗は、酸素アッシングの後の、以下の膜特性として規定される:4.5未満の膜誘電率、バルク中の炭素含有量(膜の50Å超の深さにおいて)がアッシング前の5原子量%以内である、膜の表面近傍(50Å未満の深さ)およびバルク(50Å超の深さ)の間の希HFエッチング速度における差異によって観察して、膜の50Å未満が損傷を受けている。
本明細書を通して、用語「アルキル炭化水素」は、直鎖または分岐のC~C20炭化水素、環状のC~C20炭化水素を表している。例示的な炭化水素としては、ヘプタン、オクタン、ノナン、デカン、ドデカン、シクロオクタン、シクロノナン、シクロデカンが挙げられるが、それらには限定されない。
本明細書を通して、用語「芳香族炭化水素」は、C~C20芳香族炭化水素を表している。例示的な芳香族炭化水素としては、トルエン、メシチレンが挙げられるが、それらには限定されない。
本明細書を通して、用語「触媒」は、気相のルイス塩基を表し、それは、熱ALDプロセスの間に、ヒドロキシル基とSi-Cl結合の間の表面反応を触媒することができる。例示的な触媒としては、少なくとも1種の環状アミン系ガス、例えばアミノピリジン、ピコリン、ルチジン、ピペラジン、ピペリジン、ピリジンまたは有機アミン系のガス、メチルアミン、ジメチルアミン、トリメチルアミン、エチルアミン、ジエチルアミン、トリエチルアミン、プロピルアミン、イソプロピルアミン、ジプロピルアミン、ジイソプロピルアミン、tert-ブチルアミンが挙げられるが、それらには限定されない。
本明細書を通して、用語「有機アミン」は、C~C20炭化水素、環状のC~C20炭化水素を有する第1級アミン、第2級アミン、第3級アミンを表している。例示的な有機アミンとしては、メチルアミン、ジメチルアミン、トリメチルアミン、エチルアミン、ジエチルアミン、トリエチルアミン、プロピルアミン、イソプロピルアミン、ジプロピルアミン、ジイソプロピルアミン、tert-ブチルアミンが挙げられるが、それらには限定されない。
本明細書を通して、用語「シロキサン」は、少なくとも1つのSi-O-Si結合およびC~C20炭素原子を有する直鎖、分岐または環状の液体化合物を表している。例示的なシロキサンとしては、テトラメチルジシロキサン、ヘキサメチルジシロキサン(HMDSO)、1,1,1,3,3,5,5,5-オクタメチルトリシロキサン、オクタメチルシクロテトラシロキサン(OMCTS)が挙げられるが、それらには限定されない。
本明細書を通して、ここで用いられる用語「ステップカバレージ」は、ビアまたはトレンチのいずれかまたはその両方を有する構造化された、またはフィーチャを与えられた基材中の堆積された膜の2つの厚さのパーセントとして規定され、底部ステップカバレージは、フィーチャの最上部の厚さで割り算されたフィーチャの底部の厚さの比(%で)であり、そして中間ステップカバレージは、フィーチャの最上部の厚さで割り算されたフィーチャの側面の厚さの比(%で)である。ここに記載された方法を用いて堆積された膜は、約80%以上、または約90%以上のステップカバレージを示し、このことは、膜は共形であることを示している。
以下の例は、本発明の特定の態様を示しており、そして添付の特許請求の範囲を限定するものではない。
一般的な膜堆積
試験室規模の原子層堆積(ALD)反応器中で、ケイ素前駆体および窒素源のアンモニアとしてアンモニアを用いて、膜の堆積を実施した。ALDサイクルの工程およびプロセス条件は下記の表3に与えられている。
Figure 0007153100000003
堆積の間に、工程3~10が、堆積されたままの炭素ドープ窒化ケイ素膜の所望の厚さが得られるまで2000回までのサイクル数に亘って、繰り返される。結果として得られる堆積されたままの膜は、この膜を炭素ドープ酸化ケイ素膜に転換するように、インサイチュのアニール(アニールは、反応器内部で堆積されたままの膜に行われる)またはエクスサイチュのアニール(チャンバの外側または別箇のチャンバ中でのアニール)のいずれかに付される。行われる典型的なアニール条件は以下のとおりである:湿気アニールは、30トールで、真空下で行われる、空気アニールは、周囲温度(例えば、25℃)または約300℃で、熱盤上で行われる。
標準の水素含有プラズマが、炭素ドープ酸化ケイ素膜を処理するのに用いられた。Hプラズマ処理のパラメータは、以下のとおりである。
a.Hのみのプラズマ
プラズマ振動数=13.56MHz
流量=135sccm
チャンバ圧力=2トール
時間=5分間
b.H/Arプラズマ
プラズマ振動数=13.56MHz
流量=65sccm
Ar流量=65sccm
チャンバ圧力=2トール
時間=5分間
屈折率および厚さは、堆積の後に、エリプソメーターを用いて632.8nmで直接に測定された。バルクの膜組成は、X線光電子分光(XPS)を用いて、外来性の炭素の影響を取り除くために、表面から数ナノメートル(2~5nm)で特性を表された。膜密度は、X線反射光測定(XRR)を用いて特性を表された。
湿式エッチング速度プロセスは、2つの異なる濃度の希フッ化水素酸(dHF)、1:199の49%HFとDI水ならびに1:99の49%HFとDI水、の下で行われた。より希薄なHF濃度が、損傷された層の測定精度を増加させる。このプロセスの間に、熱酸化ケイ素膜は、エッチング溶液の一貫性を確実にするために使用されるのと同時にエッチングされた。
酸素アッシングプロセスは、室温で、商業的なプラズマアッシャーPVA TePLA M4Lを用いて行われた。プロセスパラメータは、以下のとおりである:出力=100~200W、He/O=1:3、圧力=600ミリトール。膜誘電率(k)は、HP4284 LCRメータに接続されたMDC 802b水銀プローブを用いて測定されたC-Vから計算される。測定は、フロント接点モードで行われ、その液体金属(水銀)は、2つの電気伝導接点を形成するように用いられた。
例1:熱ALD堆積による炭素ドープ酸化ケイ素膜の低誘電率および高酸素アッシンク抵抗
炭素ドープ酸化ケイ素膜が、1,1,3,3-テトラクロロジシラシクロブタン(TCDSB)および1,1,1,3,3,3-ヘキサクロロ-1,3-ジシラプロパン(HCDSP)およびアンモニアを用いて、300℃で、表3に記載したように堆積された。
堆積の後に、この膜は、次いでエクスサイチュで、3時間、300℃で空気中で更に処理された。
Figure 0007153100000004
表4には、HCDSPおよびHCDSBから堆積された膜の間の膜組成の比較が示されている。HCDSB膜は、HCDSPに比較して、比較的に大きな炭素含有量を有しており、TCDSMが、HCDSPよりも、より多くの炭素を導入するためのより良好なケイ素前駆体であることを示している。
熱酸化ケイ素の参照のエッチング速度のdHFエッチング速度は、0.48±0.02Å/秒であり、HCDSPおよびTCDSB膜のエッチング速度は、逐次0.10Å/秒および<0.02Å/秒である。
TDCSB膜エッチング速度は、我々の測定の検知限界以下である。より低いTDCSB膜の希HFエッチング速度(>5倍低い)は、膜中のより高い炭素含有量に整合する。
HCDSPまたはTCDSBから堆積された炭素ドープ酸化ケイ素膜のどちらの膜誘電率も、5超である。
HCDSPまたはTCDSBから堆積された結果として得られた炭素ドープ酸化ケイ素膜は、水素プラズマで、H/Arプラズマを用いた300mmの商業的なPEALD装置を用いて、前記の条件を用いて、更に処理された。HCDSPおよびTCDSB膜の両方は、プラズマ処理の後に、それぞれ3.5および3.4に低下された誘電率を有しており、水素を含むプラズマが、誘電率を低下させるのに効果的な方法であることを示している。
これらの膜は、次いで、標準的な酸素アッシングに曝露され、次いで損傷を測定するために希HF浸漬された。ここで図1を参照すると、図1には、希HF中に浸漬された場合の、時間の関数として除去された膜厚を示している。
HCDSPおよびTCDSB膜の両方は、最初の方の、減速する前の、速いエッチング速度を示しており、酸素アッシングからの表面損傷を示している。酸素アッシングは、膜からの炭素を酸化し、従って速いエッチング速度の原因となる。エッチング速度のプロファイルは、TCDSB膜についての27ÅおよびHCDSP膜についての39Åの損傷された層を示唆しており、TCDSB膜が、同じエッチング条件の下で、HCDSP膜よりもより大きな酸素アッシング抵抗であることを示唆している。
例2:1,1,3,3,-テトラクロロ-1,3-ジシラシクロブタンからの炭素ドープ酸化ケイ素膜のステップカバレージ
パターン構造上への炭素ドープ酸化ケイ素膜が、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよびアンモニアから、300℃で、表3に記載したように堆積され、次いで空気雰囲気中で、300℃で、3時間のエクスサイチュ処理された。
走査電子顕微鏡(SEM)が、1:9のアスペクト比および180nmのトレンチ開口を有するトレンチ構造に行われた。
Figure 0007153100000005
1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンから堆積された炭素ドープ酸化ケイ素膜について表5に示されたステップカバレージは99%超である。
例3. 1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンを用いた熱ALD堆積によるケイ素含有膜の堆積
ケイ素含有膜が、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよびアンモニアから、500℃の基材温度で、表3に記載されたプロセス工程を用いて堆積され、そして周囲条件で貯蔵された。
膜特性、例えばXPSおよび希HF中での湿式エッチング速度が、膜堆積後の約1週間で得られた。それらの試験の結果が、表6に与えられている。
Figure 0007153100000006
表6を参照すると、XPSデータは、炭素ドープ酸化ケイ素は、少量の塩素含有量(例えば、0.5原子%未満)しか有していなかったことを示している。500℃で堆積された膜は、300℃に比較してより多くの窒素含有量を有しており、一方で膜中に同様の量の炭素を保持している。300℃のより低い堆積温度においては、このプロセスは、酸化を受け易いより多くのSi-NHまたはSi-NH-Si断片を与える可能性があることが信じられる。より高い500℃の温度での堆積は、他方で、酸化により抵抗性のあるより強いSi-N網目を形成するのに十分なエネルギーを与えることができる。
例4. 1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンから堆積された炭素ドープ酸化ケイ素膜のインサイチュアニール
炭素ドープ酸化ケイ素膜が、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよびアンモニアを用いて、300℃で、表3に記載されたように堆積された。インサイチュのHO蒸気処理が、以下のパラメータを用いて膜上に行われた。
O蒸気抜出;HOキャニスタ温度=50℃、チャンバ圧力=30トール、T=300℃。
サイクル当たりの膜成長は、0.48Å/サイクルであった。結果として得られる膜は、1.55の屈折率および1.55g/ccの密度を有している。XPSによって測定された膜組成は、O=39.0%、N=2.6%、C=25.1%およびSi=33.2%である。この膜中に、塩素は検出されなかった。
例5. 1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよびアンモニアを用いた熱ALD堆積によって堆積されそしてそれに続く熱アニールおよびプラズマ処理された炭素ドープ酸化ケイ素膜の酸素アッシング抵抗
炭素ドープ酸化ケイ素膜が、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよびアンモニアを用いて、300℃で、表3に記載されたように堆積され、続いて300℃で、空気中で熱処理された。炭素ドープ酸化ケイ素膜は、先に述べられたH/Arプラズマ処理前に、更に窒素中で200~400℃、5トールで、1時間に亘って更に加熱された。
この膜は、次いで、酸素アッシングに曝露され、次いで損傷された厚さを測定するために希HFエッチングされた。
膜誘電率は、表7に示されており、一方で、Oアッシングで損傷された厚さが、表8に示されている。
Figure 0007153100000007
Figure 0007153100000008
/Arプラズマ処理の前の付加的なアニールは、H/Arプラズマで処理されただけの試料(k=3.6)に対して、より低い誘電率(k=2.8~3.2)を示している。この膜は、27~32Åの酸素アッシングで損傷された厚さを有している。
例6. 1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよびアンモニアを用いた、300℃での、次いで高温でのアニールの炭素ドープ酸化ケイ素膜
炭素ドープ酸化ケイ素膜が、ケイ素前駆体としての1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよびアンモニアを用いて、300℃で、300mmの商業的なクロスフロー型反応器を用いたALD方式で堆積された。表9に示されたALD工程2~8は、所望の厚さを得るように繰り返される。
Figure 0007153100000009
堆積されたままの試料は、炭素ドープ酸化ケイ素膜に周囲条件で転換された。この膜のサイクル当たりの成長(GPC)は、0.45Å/サイクルである。
この炭素ドープ酸化ケイ素膜は、300℃で、窒素雰囲気下で、1時間更に加熱され、次いで前記のように水素含有プラズマ処理(HのみのプラズマまたはH/Arプラズマのいずれか)された。
プラズマ処理の後に、この膜は、Oアッシングに暴露され、次いで損傷された厚さを測定するために希HFに暴露された。Oアッシングの後の誘電率および損傷された厚さが、表10に示されている。
Figure 0007153100000010
このプロセスは、酸素アッシングプロセスの前後の、高い酸素抵抗および低kを有する炭素ドープ酸化ケイ素膜を実証している。高い酸素抵抗は、酸素アッシングの後の、低い損傷厚さならびに低いk(k<4.0)によって示されている。
例7. プラズマ処理後のケイ素含有膜のステップカバレージ
炭素ドープ酸化ケイ素膜は、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよびアンモニアを用いて、300℃で、ALD方式で、300mmの商業的なクロスフロー反応器を用いて堆積され、次いで例6に記載されたように、H/Arプラズマによって処理された。用いられた基材は、1:9のアスペクト比および180nmの開口を有する、パターン化されたウエハであった。
透過電子顕微鏡(TEM)が、表面被覆率を測定するために用いられた。表11に、構造中の種々の位置における膜厚が示されている。
Figure 0007153100000011
膜ステップカバレージ>97%
例8. 1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよびアンモニアから堆積された炭素含有膜の化学処理
1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよびアンモニアから、表9に記載されたように300℃で堆積された炭素ドープ酸化ケイ素膜が、300℃で、不活性中で、1時間に亘ってアニールされ、次いでジエチルアミノトリメチルシランを用いた化学処理に暴露された。この化学処理のパラメータは以下のとおりである:T=300℃、時間=5分間および25分間、チャンバ圧力=1トール。
対照として、フィルムが、いずれかの化学的な暴露なしに、300℃だけでアニールされた。
処理の後に、膜の誘電率が測定され、そして表12に示されている。
Figure 0007153100000012
この化学処理は、膜の誘電率の、5.5から3.0未満への向上を示している。
例9. オクタンおよびアンモニア中での1,1,3,3-テトラクロロジシラシクロブタンを用いた炭素含有ケイ素膜の堆積
1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンのオクタン中の20質量%溶液が、膜の堆積に用いられた。この化学薬品は、直接の液体注入を用いて供給された(キャニスタ温度=60℃、蒸発器を通過するArの流量=100sccm、液体流量は約200mg/分であった)。蒸発器温度は、70℃であった。
この堆積プロセスは、以下の工程を含んでいた。
Figure 0007153100000013
工程3~4が、工程5に移る前に5回繰り返され、そして工程3~8が、所望の厚さを得るように、複数回繰り返された。XPSによって分析された膜の組成は、Si=35.7%、O=36.5%、C=23.0%、N=4.5%、Cl=0.3%である。
堆積された膜は、不活性雰囲気中で、300℃で、1時間に亘って更にアニールされ、次いでH/Arプラズマ処理された。
処理された膜は、標準のOアッシングに暴露され、そして損傷された厚さを測定するために希HF中に浸漬された。Oアッシングの後の損傷された厚さは、HのみのプラズマおよびH/Arプラズマで処理された膜について継続的に、38Åおよび37Åである。
例10. インサイチュ処理での高炭素含有量の酸化ケイ素膜
オクタン中の1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンの20質量%の溶液が、膜の堆積に用いられた。この薬品は、直接の液体注入を用いて供給された(キャニスタ温度=60℃、蒸発器を通したAr流量は100sccmであった、液体流量は約200mg/分であった)。蒸発器温度は、70℃であった。
この堆積プロセスは、以下の工程で構成されている。
Figure 0007153100000014
工程3~4が、工程5に移る前に5回繰り返され、そして工程3~6が、所望の厚さを得るために複数回繰り返された。工程8、9および10は比較のための任意のものである。
Figure 0007153100000015
結果として得られた膜は、表15の膜特性を有している。堆積されたままの膜でアニールなしでは、膜のエッチング速度は非常に低く、すなわち、0.12×熱酸化、である。エッチング速度は、付加的な処理(N乾燥またはN乾燥とプラズマ)の後では、我々の検出限界以下の水準まで低下した。
堆積されたままの膜についての膜密度は、1.34g/ccであり、付加的なN乾燥またはN乾燥とHプラズマ処理で、若干の高密度化があった。全ての場合において、この膜は、高炭素含有量25~29%および低Cl含有量(<2%)を有している。
例11. 1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよび水/ピリジンから堆積された低誘電率の炭素ドープ酸化ケイ素膜
1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよびHOが膜堆積のために用いられた。ピリジンが、反応触媒として用いられた。この化学薬品(キャニスタ温度=70℃)は、圧力キャニスタを通して、Ar掃引で供給された。水の温度は17℃(蒸気圧力=15トール)であり、そして水蒸気は、蒸気吸引で供給され、そしてピリジン温度は、25~35℃であり(蒸気圧力=15~25トール)、そしてピリジン蒸気は、蒸気吸引で供給された。主要なN流量は、200sccmであり、そしてAr流量は50sccmであった。
この堆積プロセスは、表16に記載された工程で構成されていた。
Figure 0007153100000016
工程3~6は、所望の厚さを得るために、500回繰り返された。
堆積されたままの膜は、1.53の屈折率および0.8Å/サイクルのGPCを有している。XPSによって測定された膜の組成は、Si=35.3%、O=34.0%、C=28.9%、N=0.6%およびCl=1.3%である。膜の密度は1.8g/ccであり、そして希釈液のエッチング速度は0.08Å/秒である。
この膜は、次いで、前記のように、300℃での標準的なエクスサイチュのH/Arプラズマ処理に付された。膜の誘電率は、プラズマ処理の前後に測定されて3.6であり、これは、堆積されたままの膜(k=6.1)よりも向上されている。
例12. インサイチュの処理からの高炭素含有量の酸化ケイ素膜
オクタン中の1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンの20質量%溶液が、膜の堆積のために用いられた。この化学薬品は、直接の液体注入を用いて供給された(キャニスタ温度=60℃、蒸発器を通したAr流量は100sccmであった、液体流量は約200mg/分であった)。蒸発器温度は、70℃であった。
この堆積プロセスは、表17に記載された工程で構成されていた。
Figure 0007153100000017
工程3~4が、工程5に移る前に、5回繰り返され、そして工程3~8が、所望の厚さを得るために、複数回繰り返された。工程9は、HOインサイチュアニールおよび周囲条件での変換の間の比較を得るための、幾つかのウエハについての任意のものである。
表18には、周囲条件で変換された炭素ドープ酸化ケイ素膜と、インサイチュのHO処理での炭素ドープ酸化ケイ素膜の両方についての、XPSによって測定された同様の膜の組成が示されている。
Figure 0007153100000018
例13. 炭素ドープ酸化ケイ素膜の高温アニール
炭素ドープ酸化ケイ素膜が、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよびアンモニアを用いて、300℃で、ALD方式で、300mmの商業的なクロスフロー反応器を用いて堆積された。このALD工程は、表8に示されており、そして所望の厚さを得るように繰り返される。
堆積されたままの炭素ドープ酸化ケイ素膜は、500℃~800℃で、不活性ガス中で1時間に亘ってアニールされた。
膜の誘電率が表19に示されている。
Figure 0007153100000019
高温アニールは、膜の誘電率を低下させるのに有効である。
比較例1. ジエトキシメチルシランを用いてPECVDによって堆積された炭素ドープ酸化ケイ素膜への水素プラズマ処理および酸素アッシングの効果
炭素ドープ酸化ケイ素膜が、ジエトキシメチルシラン(DEMS)を用いて、200mmの商業的なPECVD装置を用いて、300℃で堆積された。プロセスパラメータは次のとおりである:出力=500W、圧力=9トール、Si前駆体流量=500sccm、He流量=300sccm、膜厚=500Å。
堆積されたままの膜は、表20に示された組成を有している。
Figure 0007153100000020
膜の密度は、1.48g/ccである。堆積されたままのもの(Hプラズマ前)についての希HF(1:99の49%HFおよびDI水)でのWERが表21に示されている。この膜は、非常に高い希HFエッチング抵抗を示しており、低いエッチング速度によって示されている。
Figure 0007153100000021
この膜は、次いでHプラズマで5分間に亘って300Wおよび300℃で処理された。Hプラズマ処理の後に、この試料は酸素アッシングに暴露された。水素プラズマ処理および酸素アッシングプロセスの両方とも、前記と同様である。
表22に、PECVD DEMS試料の誘電率の測定結果が示されている。
Figure 0007153100000022
誘電率は、Hプラズマ後に3.2から3.7へと増加し、より大きな損失された厚さを示している。酸素アッシングは、膜の誘電率を5.5へと更に増加させる。Hプラズマ、それに続く酸素アッシングの後の希HF(1:99の49%HFおよびDI水)特性が、表17に示されている。
Figure 0007153100000023
炭素ドープ酸化ケイ素膜は、損傷された膜の厚さが100Å超であることを明確に示している。酸素アッシング後の膜の膜エッチング速度は、堆積されたままの膜よりもはるかに速い(>10×)。酸素アッシングプロセスに暴露された後の高い膜の誘電率は、酸素アッシングからの厚い損傷された層と整合している。
比較例2. 堆積後処理なしのケイ素含有膜対照
炭素ドープ酸化ケイ素膜が、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンおよびアンモニアを用いた熱ALDプロセスを用いて、表3に記載されたように、300℃で堆積された。堆積後に、この膜は、次いで室温で、空気中で、3時間に亘って、300℃でアニールされた。標準の酸素アッシングが、この炭素ドープ酸化ケイ素膜に実施された。希HFが、損傷された厚さを測定するために用いられ、表24に示されている。
Figure 0007153100000024
表面からの最初の約260Åのエッチング速度は、堆積されたままの膜(0.01Å/秒)と比較して、非常に高いエッチング速度を示しており、炭素が除去されていることを示唆している。炭素の除去は、酸素アッシングからの損傷された膜と整合している。
例10. 1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンの配合
表25には、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンは、室温では固体であるために、直接の液体注入による蒸気の供給のために可能性のある配合物として、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタンの種々の溶媒中の溶解性がまとめられている。
Figure 0007153100000025
本発明が、特定の態様を参照して説明されたが、本発明の範囲から逸脱することなしに、種々の変更をなすことができ、そしてその要素に対して等価物で置き換えることができることは、当業者には理解されるであろう。更に、多くの修正を、本発明の教示に対して、その基本的な範囲から逸脱することなしに、特定の状況または材料に適合するようになすことができる。従って、本発明が、本発明を実施するために想定されたベストモードとして開示された特定の態様に限定されないこと、そして本発明は、添付の特許請求の範囲内に包含される全ての態様を含むことが意図されている。
本発明は、以下の態様を含んでいる。
(1)(a)1,1,1,3,3,3-ヘキサクロロ-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2-エチル-1,3-ジシラプロパン、1-クロロ-1,3-ジシラシクロブタン、1-ブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-1,3-ジシラシクロブタン、1,3-ジブロモ-1,3-ジシラシクロブタン、1,1,3-トリクロロ-1,3-ジシラシクロブタン、1,1,3-トリブロモ-1,3-ジシラシクロブタン、1,1,3,3-テトラブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-ジメチル-1,3-ジシラシクロブタン、1,3-ブロモ-1,3-ジメチル-1,3-ジシラシクロブタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,5-ジメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-3,3-ジメチル-1,3,5-トリシラペンタン、1,1,3,5,5,5-ペンタクロロ-1,3,5-トリメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-1,3,5-トリシラペンタン、1,1,5,5-テトラクロロ-1,3,5-トリシラペンタンからなる群から選択される、1つのSi-C-Siまたは2つのSi-C-Si結合を有する少なくとも1種のケイ素前駆体化合物、および、
(b)少なくとも1種の溶媒、
を含んでなる組成物。
(2)前記溶媒中に、エーテル、第3級アミン、シロキサン、アルキル炭化水素、芳香族炭化水素および第3級アミノエーテルからなる群から選択される少なくとも1種を含む、(1)記載の組成物。
(3)前記ケイ素前駆体の沸点と、前記溶媒の沸点との間の差異が、約40℃以下である、(1)記載の組成物。
(4)5ppm未満の、Al3+イオン、Fe2+、Fe3+、Ni2+、およびCr3+からなる群から選択される少なくとも1種の金属イオンを含む、(1)記載の組成物。
(5)前記溶媒中に、ヘプタン、オクタン、ノナン、デカン、ドデカン、シクロオクタン、シクロノナン、シクロデカン、トルエン、およびメシチレンからなる群から選択される少なくとも1種を含む、(1)記載の組成物。
(6)熱ALDプロセスによって15原子%~30原子%の範囲の炭素含有量を有する炭素ドープ酸化ケイ素膜を形成する方法であって、
a)表面フィーチャを含む1種もしくは2種以上の基材を反応器中に配置すること、
b)反応器を周囲温度~約550℃の範囲の1つもしくは2つ以上の温度に加熱し、そして随意選択的に該反応器を100トール以下の圧力に保持すること、
c)1-クロロ-1,3-ジシラシクロブタン、1-ブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-1,3-ジシラシクロブタン、1,3-ジブロモ-1,3-ジシラシクロブタン、1、1,3-トリクロロ-1,3-ジシラシクロブタン、1,1,3-トリブロモ-1,3-ジシラシクロブタン、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタン、1,1,3,3-テトラブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-ジメチル-1,3-ジシラシクロブタン、1,3-ブロモ-1,3-ジメチル-1,3-ジシラシクロブタン、1,1,1、3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1、1,3,3,5,5,5-オクタクロロ-1,5-ジメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-3,3-ジメチル-1,3,5-トリシラペンタン、1,1,3,5,5,5-ペンタクロロ-1,3,5-トリメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-1,3,5-トリシラペンタン、1,1,5,5-テトラクロロ-1,3,5-トリシラペンタンからなる群から選択される、2つのSi-C-Si結合を有する少なくとも1種のケイ素前駆体を該反応器中に導入すること、
d)不活性ガスでパージすること、
e)該反応器中に窒素源を供給して、該表面と反応させて、炭素ドープ窒化ケイ素膜を形成すること、
f)不活性ガスでパージして、反応副生成物を除去すること、
g)工程c~fを繰り返して炭素ドープ窒化ケイ素の所望の厚さを与えること、
h)結果として得られた炭素ドープ窒化ケイ素膜を酸素源と共に、約周囲温度~1000℃または約100℃~400℃の範囲の1つもしくは2つ以上の温度で加熱して、該炭素ドープ窒化ケイ素膜を炭素ドープ酸化ケイ素膜へと転換すること、ならびに、
i)該炭素ドープ酸化ケイ素膜に、水素を含むプラズマへの堆積後曝露を与えること、
を含んでなる、方法。
(7)前記ケイ素前駆体が、(1)記載の組成物を含む、(6)記載の方法。
(8)約4未満のk、少なくとも約10原子%の炭素含有量を有する、(6)記載の方法によって形成された膜。
(9)熱酸化ケイ素よりも少なくとも0.5倍小さいエッチング速度を有する、(6)記載の方法によって形成された膜。
(10)熱酸化ケイ素よりも少なくとも0.1倍小さいエッチング速度を有する、(6)記載の方法によって形成された膜。
(11)熱酸化ケイ素よりも少なくとも0.05倍小さいエッチング速度を有する、(6)記載の方法によって形成された膜。
(12)熱酸化ケイ素よりも少なくとも0.01倍小さいエッチング速度を有する、(6)記載の方法によって形成された膜。
(13)酸素アッシングプロセスに曝露した時に、より小さい損失層(50Å以下)を有する、(6)記載の方法によって形成された膜。
(14)酸素アッシングプロセスに曝露した時に、より小さい損失層(20Å以下)を有する、(6)記載の方法によって形成された膜。
(15)酸素アッシングプロセスに曝露した時に、より小さい損失層(10Å以下)を有する、(6)記載の方法によって形成された膜。
(16)酸素アッシングプロセスに曝露した時に、より小さい損失層(5Å以下)を有する、(6)記載の方法によって形成された膜。
(17)(1)記載の組成物を収容するステンレス鋼製の容器。
(18)熱ALDプロセスによって15原子%~30原子%の範囲の炭素含有量を有する炭素ドープ酸化ケイ素膜を形成する方法であって、
a)表面フィーチャを含む1種もしくは2種以上の基材を反応器中に配置すること、
b)該反応器を周囲温度~約150℃の範囲の1つもしくは2つ以上の温度に加熱し、そして随意選択的に該反応器を100トール以下の圧力に保持すること、
c)1-クロロ-1,3-ジシラシクロブタン、1-ブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-1,3-ジシラシクロブタン、1,3-ジブロモ-1,3-ジシラシクロブタン、1、1,3-トリクロロ-1,3-ジシラシクロブタン、1,1,3-トリブロモ-1,3-ジシラシクロブタン、1,1,3,3-テトラクロロ-1,3-ジシラシクロブタン、1,1,3,3-テトラブロモ-1,3-ジシラシクロブタン、1,3-ジクロロ-1,3-ジメチル-1,3-ジシラシクロブタン、1,3-ブロモ-1,3-ジメチル-1,3-ジシラシクロブタン、1,1,1、3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1、1,3,3,5,5,5-オクタクロロ-1,5-ジメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-3,3-ジメチル-1,3,5-トリシラペンタン、1,1,3,5,5,5-ペンタクロロ-1,3,5-トリメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-1,3,5-トリシラペンタン、1,1,5,5-テトラクロロ-1,3,5-トリシラペンタンからなる群から選択される、2つのSi-C-Si結合を有する少なくとも前駆体ならびに触媒を該反応器中に導入すること、
d)不活性ガスでパージすること、
e)該反応器中に水の蒸気を供給して、該前駆体ならびに触媒と反応させて、炭素ドープ酸化ケイ素の堆積されたままの膜を形成すること、
f)不活性ガスでパージして、反応副生成物を除去すること、
g)工程c~fを繰り返して炭素ドープ酸化ケイ素の所望の厚さを与えること、
を含んでなる、方法。
(19)前記炭素ドープ酸化ケイ素膜の、300~700℃の温度での熱アニールでの堆積後処理を更に含む、(18)記載の方法。
(20)前記炭素ドープ酸化ケイ素膜の、水素を含むプラズマでの水素プラズマ処理を更に含む、(18)記載の方法。

Claims (17)

  1. ALDプロセスに用いられる前駆体組成物であって、
    (a)1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2-エチル-1,3-ジシラプロパン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、1,1,3,3,5,5-ヘキサクロロ-1,5-ジメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-3,3-ジメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-1,3,5-トリシラペンタン、1,1,5,5-テトラクロロ-1,3,5-トリシラペンタンからなる群から選択される、1つのSi-C-Siまたは2つのSi-C-Si結合を有する少なくとも1種のケイ素前駆体化合物、および、
    (b)少なくとも1種の溶媒、
    を含んでなる前駆体組成物。
  2. 前記溶媒中に、エーテル、第3級アミン、シロキサン、アルキル炭化水素、芳香族炭化水素および第3級アミノエーテルからなる群から選択される少なくとも1種を含む、請求項1記載の前駆体組成物。
  3. 前記ケイ素前駆体の沸点と、前記溶媒の沸点との間の差異が、40℃以下である、請求項1記載の前駆体組成物。
  4. 5ppm未満の、Al3+イオン、Fe2+、Fe3+、Ni2+、およびCr3+からなる群から選択される少なくとも1種の金属イオンを含む、請求項1記載の前駆体組成物。
  5. 前記溶媒中に、ヘプタン、オクタン、ノナン、デカン、ドデカン、シクロオクタン、シクロノナン、シクロデカン、トルエン、およびメシチレンからなる群から選択される少なくとも1種を含む、請求項1記載の前駆体組成物。
  6. 熱ALDプロセスによって5原子%~30原子%の範囲の炭素含有量を有する炭素ドープ酸化ケイ素膜を形成する方法であって、
    a)表面フィーチャを含む1種もしくは2種以上の基材を反応器中に配置すること、
    b)反応器を周囲温度~550℃の範囲の1つもしくは2つ以上の温度に加熱し、そして随意選択的に該反応器を100トール以下の圧力に保持すること、
    c)1,1,1,3,3,3-ヘキサクロロ-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2-エチル-1,3-ジシラプロパン、1,1,1,3,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、11,3,3,5,5-ヘキサクロロ-1,5-ジメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-3,3-ジメチル-1,3,5-トリシラペンタン、1,1,3,5,5-ペンタクロロ-1,3,5-トリメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-1,3,5-トリシラペンタン、1,1,5,5-テトラクロロ-1,3,5-トリシラペンタンからなる群から選択される、1つのSi-C-Siまたは2つのSi-C-Si結合を有する少なくとも1種のケイ素前駆体を該反応器中に導入すること、
    d)不活性ガスでパージすること、
    e)該反応器中に窒素源を供給して、該表面と反応させて、炭素ドープ窒化ケイ素膜を形成すること、
    f)不活性ガスでパージして、反応副生成物を除去すること、
    g)工程c~fを繰り返して炭素ドープ窒化ケイ素の所望の厚さを与えること、
    h)結果として得られた炭素ドープ窒化ケイ素膜を酸素源と共に、周囲温度~1000℃または100℃~400℃の範囲の1つもしくは2つ以上の温度で加熱して、該炭素ドープ窒化ケイ素膜を炭素ドープ酸化ケイ素膜へと転換すること、ならびに、
    i)該炭素ドープ酸化ケイ素膜に、水素を含むプラズマへの堆積後曝露を与えること、
    を含んでなる、方法。
  7. 前記ケイ素前駆体が、溶媒を含む溶媒配合物中で用いられる、請求項6記載の方法。
  8. 前記炭素ドープ酸化ケイ素膜が4未満のk、少なくとも10原子%の炭素含有量を有する、請求項6記載の方法。
  9. 前記炭素ドープ酸化ケイ素膜が熱酸化ケイ素よりも少なくとも0.5倍小さい、希HF(1:99の49%HFとDI水)でのエッチング速度を有する、請求項6記載の方法。
  10. 前記炭素ドープ酸化ケイ素膜が熱酸化ケイ素よりも少なくとも0.1倍小さい、希HF(1:99の49%HFとDI水)でのエッチング速度を有する、請求項6記載の方法。
  11. 前記炭素ドープ酸化ケイ素膜が熱酸化ケイ素よりも少なくとも0.05倍小さい、希HF(1:99の49%HFとDI水)でのエッチング速度を有する、請求項6記載の方法。
  12. 前記炭素ドープ酸化ケイ素膜が熱酸化ケイ素よりも少なくとも0.01倍小さい、希HF(1:99の49%HFとDI水)でのエッチング速度を有する、請求項6記載の方法。
  13. 前記炭素ドープ酸化ケイ素膜が、酸素アッシングプロセスに曝露した時に、より小さい損失層(50Å以下)を有する、請求項6記載の方法。
  14. 請求項1記載の前駆体組成物を収容するステンレス鋼製の容器。
  15. 熱ALDプロセスによって15原子%~30原子%の範囲の炭素含有量を有する炭素ドープ酸化ケイ素膜を形成する方法であって、
    a)表面フィーチャを含む1種もしくは2種以上の基材を反応器中に配置すること、
    b)該反応器を周囲温度~150℃の範囲の1つもしくは2つ以上の温度に加熱し、そして随意選択的に該反応器を100トール以下の圧力に保持すること、
    c)1,1,13,3,5,5,5-オクタクロロ-1,3,5-トリシラペンタン、11,3,3,5,5-ヘキサクロロ-1,5-ジメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-3,3-ジメチル-1,3,5-トリシラペンタン、1,1,1,5,5,5-ヘキサクロロ-1,3,5-トリシラペンタン、1,1,5,5-テトラクロロ-1,3,5-トリシラペンタンからなる群から選択される、2つのSi-C-Si結合を有する少なくとも前駆体ならびに触媒を該反応器中に導入すること、
    d)不活性ガスでパージすること、
    e)該反応器中に水の蒸気を供給して、該前駆体ならびに触媒と反応させて、炭素ドープ酸化ケイ素の堆積されたままの膜を形成すること、
    f)不活性ガスでパージして、反応副生成物を除去すること、
    g)工程c~fを繰り返して炭素ドープ酸化ケイ素の所望の厚さを与えること、
    を含んでなる、方法。
  16. 前記炭素ドープ酸化ケイ素膜の、300~700℃の温度での熱アニールでの堆積後処理を更に含む、請求項15記載の方法。
  17. 前記炭素ドープ酸化ケイ素膜の、水素を含むプラズマでの水素プラズマ処理を更に含む、請求項15記載の方法。
JP2021034366A 2016-07-27 2021-03-04 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法 Active JP7153100B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662367260P 2016-07-27 2016-07-27
US62/367,260 2016-07-27
US15/654,426 US20180033614A1 (en) 2016-07-27 2017-07-19 Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US15/654,426 2017-07-19
JP2019504034A JP6849788B2 (ja) 2016-07-27 2017-07-26 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2019504034A Division JP6849788B2 (ja) 2016-07-27 2017-07-26 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法

Publications (2)

Publication Number Publication Date
JP2021097240A JP2021097240A (ja) 2021-06-24
JP7153100B2 true JP7153100B2 (ja) 2022-10-13

Family

ID=61011718

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019504034A Active JP6849788B2 (ja) 2016-07-27 2017-07-26 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法
JP2021034366A Active JP7153100B2 (ja) 2016-07-27 2021-03-04 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2019504034A Active JP6849788B2 (ja) 2016-07-27 2017-07-26 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法

Country Status (9)

Country Link
US (4) US20180033614A1 (ja)
EP (2) EP3491167B1 (ja)
JP (2) JP6849788B2 (ja)
KR (6) KR102345918B1 (ja)
CN (1) CN109689928A (ja)
IL (2) IL291934B2 (ja)
SG (1) SG11201900319PA (ja)
TW (3) TWI637075B (ja)
WO (1) WO2018022719A1 (ja)

Families Citing this family (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG10202000545RA (en) * 2014-10-24 2020-03-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) * 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
WO2019028136A1 (en) 2017-08-04 2019-02-07 Lam Research Corporation SELECTIVE DEPOSITION OF SILICON NITRIDE ON HORIZONTAL SURFACES
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP6806721B2 (ja) * 2018-02-20 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システムおよびプログラム
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR20210047966A (ko) * 2018-09-24 2021-04-30 버슘머트리얼즈 유에스, 엘엘씨 실리콘 및 질소 함유 막의 제조 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
WO2020072625A1 (en) 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20210380418A1 (en) * 2018-10-05 2021-12-09 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing film
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102157137B1 (ko) * 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP6910387B2 (ja) * 2019-03-05 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7224217B2 (ja) * 2019-03-15 2023-02-17 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7326912B2 (ja) * 2019-06-20 2023-08-16 株式会社リコー 液体吐出ヘッド、液体吐出ユニット及び液体を吐出する装置
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2023531513A (ja) * 2020-06-23 2023-07-24 インテグリス・インコーポレーテッド ケイ素前駆体化合物及びケイ素含有膜を形成するための方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
JP2022065560A (ja) * 2020-10-15 2022-04-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7444182B2 (ja) 2022-01-28 2024-03-06 トヨタ自動車株式会社 車両用スロープ展開装置
WO2023220650A1 (en) * 2022-05-13 2023-11-16 Versum Materials Us, Llc Compositions and methods using same for carbon doped silicon containing films

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030044533A1 (en) 2001-09-01 2003-03-06 Jusung Engineering Co., Ltd. Method for fabricating hydrogenated silicon oxycarbide thin film and PECVD apparatus therefor
WO2005045916A1 (ja) 2003-11-11 2005-05-19 Tokyo Electron Limited 基板処理方法
JP2007204626A (ja) 2006-02-02 2007-08-16 Jsr Corp ポリマーの製造方法、ポリマー、絶縁膜形成用組成物、絶縁膜の製造方法、およびシリカ系絶縁膜
WO2007114144A1 (ja) 2006-03-29 2007-10-11 Daiso Co., Ltd. 修飾シリカゲル及びその利用
WO2012128044A1 (ja) 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US20120270998A1 (en) 2009-12-31 2012-10-25 Sang-Ran Koh Resin composition for transparent encapsulation material and electronic device formed using the same
US20130071580A1 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Activated Silicon Precursors For Low Temperature Deposition
JP2014027285A (ja) 2012-07-30 2014-02-06 Air Products And Chemicals Inc 酸素不含ケイ素系膜及びその形成方法
JP2014183218A (ja) 2013-03-19 2014-09-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理装置及びプログラム
JP2015053445A (ja) 2013-09-09 2015-03-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
WO2015045163A1 (ja) 2013-09-30 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体
US20160002039A1 (en) 2013-03-01 2016-01-07 David Thompson Low Temperature Atomic Layer Deposition Of Films Comprising SiCN OR SiCON
WO2016065219A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02145590A (ja) 1988-11-26 1990-06-05 Shin Etsu Chem Co Ltd 新規ジシラシクロヘキサン化合物及びその製造方法
US5141817A (en) * 1989-06-13 1992-08-25 International Business Machines Corporation Dielectric structures having embedded gap filling RIE etch stop polymeric materials of high thermal stability
JP2614338B2 (ja) 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
DE69738136T2 (de) 1996-12-17 2008-06-12 Advanced Technology Materials, Inc., Danbury Reagenzzuführbehälter für cvd
KR100365641B1 (ko) * 2000-07-29 2002-12-26 삼성전자 주식회사 배선에 의한 기생 용량을 줄일 수 있는 반도체 장치 및 그형성방법
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP5218765B2 (ja) * 2006-03-29 2013-06-26 Jsr株式会社 ポリマーの製造方法、ポリマー、ポリマー膜形成用組成物、ポリマー膜の形成方法およびポリマー膜
EP2095446A1 (en) * 2006-12-20 2009-09-02 Dow Corning Corporation Composite article including a cation-sensitive layer
WO2009123032A1 (ja) * 2008-04-02 2009-10-08 Jsr株式会社 含ケイ素重合体を含む組成物およびその硬化物
US8241624B2 (en) 2008-04-18 2012-08-14 Ecolab Usa Inc. Method of disinfecting packages with composition containing peracid and catalase
KR100928942B1 (ko) * 2008-05-26 2009-11-30 제이에스아이실리콘주식회사 직선형이나 고리형의 트리실라알칸의 제조방법
CN101407466B (zh) 2008-12-08 2013-11-27 山西玉龙化工有限公司 乙二胺的纯化方法
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US20120030448A1 (en) * 2009-03-30 2012-02-02 Nec Corporation Single instruction multiple date (simd) processor having a plurality of processing elements interconnected by a ring bus
US20130022745A1 (en) 2009-08-14 2013-01-24 American Air Liquide, Inc. Silane blend for thin film vapor deposition
JP5188529B2 (ja) 2010-03-30 2013-04-24 株式会社日立ハイテクノロジーズ 電子ビーム照射方法、及び走査電子顕微鏡
KR101366002B1 (ko) * 2010-04-09 2014-02-21 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
TWI483995B (zh) * 2010-08-18 2015-05-11 Cheil Ind Inc 聚有機矽氧烷與由該聚有機矽氧烷獲得之封裝材料以及包含該封裝材料之電子元件
US8440571B2 (en) * 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
KR20140083619A (ko) * 2012-12-26 2014-07-04 제일모직주식회사 실록산 모노머, 봉지재 조성물, 봉지재 및 전자 소자
JP6112928B2 (ja) 2013-03-19 2017-04-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5864637B2 (ja) 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9071726B2 (en) * 2013-06-28 2015-06-30 Cellco Partnership Videocast service architecture
US8979549B2 (en) 2013-08-08 2015-03-17 Kuei-Yang Lin Rotating plug
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
CN103539675B (zh) 2013-10-21 2015-05-13 西安近代化学研究所 一种乙二胺和水共沸物的分离方法
US9401273B2 (en) * 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
WO2016027369A1 (ja) * 2014-08-22 2016-02-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
TWI585230B (zh) * 2015-02-06 2017-06-01 氣體產品及化學品股份公司 用於碳摻雜的含矽膜的組合物及其方法
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US11851756B2 (en) * 2017-09-14 2023-12-26 Versum Materials Us, Llc Methods for depositing silicon-containing films
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030044533A1 (en) 2001-09-01 2003-03-06 Jusung Engineering Co., Ltd. Method for fabricating hydrogenated silicon oxycarbide thin film and PECVD apparatus therefor
WO2005045916A1 (ja) 2003-11-11 2005-05-19 Tokyo Electron Limited 基板処理方法
JP2007204626A (ja) 2006-02-02 2007-08-16 Jsr Corp ポリマーの製造方法、ポリマー、絶縁膜形成用組成物、絶縁膜の製造方法、およびシリカ系絶縁膜
WO2007114144A1 (ja) 2006-03-29 2007-10-11 Daiso Co., Ltd. 修飾シリカゲル及びその利用
US20120270998A1 (en) 2009-12-31 2012-10-25 Sang-Ran Koh Resin composition for transparent encapsulation material and electronic device formed using the same
WO2012128044A1 (ja) 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US20130071580A1 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Activated Silicon Precursors For Low Temperature Deposition
JP2014027285A (ja) 2012-07-30 2014-02-06 Air Products And Chemicals Inc 酸素不含ケイ素系膜及びその形成方法
US20160002039A1 (en) 2013-03-01 2016-01-07 David Thompson Low Temperature Atomic Layer Deposition Of Films Comprising SiCN OR SiCON
JP2014183218A (ja) 2013-03-19 2014-09-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理装置及びプログラム
JP2015053445A (ja) 2013-09-09 2015-03-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
WO2015045163A1 (ja) 2013-09-30 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体
WO2016065219A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film

Also Published As

Publication number Publication date
TW201804012A (zh) 2018-02-01
TWI683025B (zh) 2020-01-21
US20200203155A1 (en) 2020-06-25
KR102510722B1 (ko) 2023-03-15
EP4253597A2 (en) 2023-10-04
TWI637075B (zh) 2018-10-01
TW201900916A (zh) 2019-01-01
EP3491167B1 (en) 2023-09-06
US20220037151A1 (en) 2022-02-03
IL291934B2 (en) 2024-01-01
KR20230170109A (ko) 2023-12-18
US11152206B2 (en) 2021-10-19
KR20220002730A (ko) 2022-01-06
KR20220143781A (ko) 2022-10-25
KR20220000992A (ko) 2022-01-04
KR20190025060A (ko) 2019-03-08
IL264379A (en) 2019-02-28
TWI720741B (zh) 2021-03-01
JP6849788B2 (ja) 2021-03-31
JP2021097240A (ja) 2021-06-24
SG11201900319PA (en) 2019-02-27
IL291934A (en) 2022-06-01
IL291934B1 (en) 2023-09-01
EP3491167A4 (en) 2020-01-01
US20180033614A1 (en) 2018-02-01
JP2019527483A (ja) 2019-09-26
TW202014546A (zh) 2020-04-16
US20230377874A1 (en) 2023-11-23
EP4253597A3 (en) 2023-12-06
KR102456373B1 (ko) 2022-10-18
WO2018022719A1 (en) 2018-02-01
IL264379B (en) 2022-06-01
KR102345918B1 (ko) 2022-01-03
KR20210107178A (ko) 2021-08-31
EP3491167A1 (en) 2019-06-05
US11742200B2 (en) 2023-08-29
CN109689928A (zh) 2019-04-26

Similar Documents

Publication Publication Date Title
JP7153100B2 (ja) 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法
TWI785891B (zh) 含矽及氮膜的製造方法
TWI762809B (zh) 具有高碳含量的含矽膜的製造方法
TWI814264B (zh) 用於含有矽及硼的膜之組合物及使用其之方法
TW202348590A (zh) 用於碳摻雜的含矽膜的組合物及使用其的方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210330

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210330

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220817

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220913

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220930

R150 Certificate of patent or registration of utility model

Ref document number: 7153100

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150