JP6585724B2 - 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法 - Google Patents

炭素ドープケイ素含有膜のための組成物及びそれを使用する方法 Download PDF

Info

Publication number
JP6585724B2
JP6585724B2 JP2017541257A JP2017541257A JP6585724B2 JP 6585724 B2 JP6585724 B2 JP 6585724B2 JP 2017541257 A JP2017541257 A JP 2017541257A JP 2017541257 A JP2017541257 A JP 2017541257A JP 6585724 B2 JP6585724 B2 JP 6585724B2
Authority
JP
Japan
Prior art keywords
disilacyclobutane
film
carbon
plasma
bis
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017541257A
Other languages
English (en)
Other versions
JP2018506185A (ja
JP2018506185A5 (ja
Inventor
チャンドラ ハリピン
チャンドラ ハリピン
スコット カットヒル カーク
スコット カットヒル カーク
マリカジュナン アヌパマ
マリカジュナン アヌパマ
レイ シンジエン
レイ シンジエン
アール.マクドナルド マシュー
アール.マクドナルド マシュー
シヤオ マンチャオ
シヤオ マンチャオ
バスカーラ ラオ マドゥカー
バスカーラ ラオ マドゥカー
ジエンホゥオン リー
ジエンホゥオン リー
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー, バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2018506185A publication Critical patent/JP2018506185A/ja
Publication of JP2018506185A5 publication Critical patent/JP2018506185A5/ja
Application granted granted Critical
Publication of JP6585724B2 publication Critical patent/JP6585724B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0605Carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02354Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

[関連出願への相互参照]
本出願は、それぞれ2015年2月6日及び2015年4月3日に出願された米国仮特許出願第62/113024号及び第62/142546号の優先権の利益を主張する。これらの仮特許出願の開示は、参照することによりその全体が本明細書に組み込まれる。
本明細書で説明されるのは、電子デバイスの製作のための組成物及び方法である。より具体的には、本明細書で説明されるのは、限定されないが、例えば、炭素ドープ酸化ケイ素、炭素ドープ窒化ケイ素、炭素ドープ酸窒化ケイ素、又は炭化ケイ素の膜のようなケイ素含有膜の堆積のための化合物及び組成物、並びにそれを含む方法である。
当技術分野において、電子産業内での幾つかの適用のために、高い炭素含有量(例えば、X線光電子分光法(XPS)で測定した場合に約10原子%以上の炭素含有量)のケイ素含有膜を堆積するための組成物及びそれを使用する方法を提供するためのニーズが存在している。
米国特許第8575033号明細書では、基材表面上に炭化ケイ素膜を堆積するための方法を説明している。その方法では、気相のカルボシラン前駆体の使用を含み、プラズマ原子層堆積プロセスを用いることができる。
米国特許出願公開第2013/022496号明細書では、原子層堆積(ALD)によって半導体基材上にSi−C結合を有する誘電体膜を形成する方法であって、(i)基材の表面上に前駆体を吸着させる工程と、(ii)表面上で、吸着した前駆体と反応ガスとを反応させる工程と、(iii)工程(i)及び(ii)を繰り返して、基材上に少なくともSi−C結合を有する誘電体膜を形成する工程とを含む方法を教示している。
米国特許出願公開第2014/302688号明細書では、化学気相堆積チャンバー内のプラズマを含まない基材処理領域で、ケイ素及び炭素含有前駆体とラジカル酸素前駆体とを組み合わせることを含むことができる、パターン化基材上に誘電体膜を形成するための方法を説明している。ケイ素及び炭素含有前駆体とラジカル酸素前駆体とが反応して、パターン化基材上に流動性のケイ素−炭素−酸素層を堆積する。
米国特許出願公開第2014/302690号明細書では、基材上に低k誘電体材料を形成するための方法を説明している。その方法は、非励起前駆体をリモートプラズマ領域に流入させることでラジカル前駆体を作り出す工程と、そのラジカル前駆体を気相のケイ素前駆体と反応させて、基材上に流動性膜を堆積する工程とを含むことができる。気相のケイ素前駆体は、少なくとも1つのケイ素及び酸素含有化合物と、少なくとも1つのケイ素及び炭素架橋剤とを含むことができる。流動性膜を硬化させて、低k誘電体材料を形成することができる。
米国特許出願公開第2014/051264号明細書では、基材上に初期流動性誘電体膜を堆積する方法を説明している。その方法は、基材を収容する堆積チャンバーにケイ素含有前駆体を導入することを含む。その方法は、少なくとも1つの励起前駆体、例えば、ラジカル窒素又は酸素前駆体を、堆積チャンバーの外側に位置したリモートプラズマシステムで作り出すことをさらに含む。励起前駆体はまた、堆積チャンバーに導入され、それは、反応領域においてケイ素含有前駆体と反応して、基材上に初期流動性膜を堆積する。流動性膜は、例えば蒸気環境内で処理されて、酸化ケイ素膜を形成することができる。
国際公開第14/134476号では、SiCN及びSiCONを含む膜を堆積するための方法を説明している。幾つかの方法は、基材表面を第1前駆体及び第2前駆体にさらすことを含み、第1前駆体は、式(Xy3-ySi)zCH4-z、(Xy3-ySi)(CH2)(SiXp2-p)(CH2)(SiXy3-y)、又は(Xy3-ySi)(CH2n(SiXy3-y)を有し、式中、Xはハロゲンであり、yは1〜3の値を有し、zは1〜3の値を有し、pは0〜2の値を有し、nは2〜5の値を有し、そして、第2前駆体は還元アミンを含む。幾つかの方法はまた、基材表面を酸素源にさらし、SiCONを含む膜を提供することを含む。
Han,Zらによる、Journal of Physical Chemistry C,2013,117,19967の「分子層堆積による極めて安定な超薄カルボシロキサン膜(Highly Stable Ultrathin Carbosiloxane Films by Molecular Layer Deposition)」と題した文献では、1,2−ビス[(ジメチルアミノ)ジメチルシリル]エタン及びオゾンを使用して、カルボシロキサン膜を成長させることを教示している。熱的安定性は、膜が40℃以下では安定で、60℃で厚さ損失がほとんどないことを示している。
本明細書で説明される組成物及び方法は、以下の特性:希フッ酸(HF)(例えば、1:99の49%HF及びDi水の浴)中で測定した場合に約0.20オングストローム/秒(Å/秒)以下のエッチ速度と、X線光電子分光法(XPS)で測定した場合に約10原子量%(at.%)以上の炭素含有量とのうち、1つ又は複数を有して形成したケイ素含有膜を堆積するための組成物又は配合物を提供することで、当技術分野の課題を克服する。1つの特定の実施形態において、本明細書で説明される組成物は、熱原子層堆積(ALD)プロセスを使用して炭素ドープ酸化ケイ素膜を堆積するための方法において使用することができる。
1つの態様において、ケイ素含有膜を堆積するための組成物は、以下の式I及びII
Figure 0006585724
を有する少なくとも1つの環状カルボシラン前駆体化合物と、少なくとも1つのアンカー基とを含み、式中、X1、X2、X3、X4、X5及びX6は、それぞれ独立して、水素原子;F、Cl、Br及びIから選択されるハライド原子;式NR12を有するアミノ基であって、式中、R1及びR2は、独立して、水素原子、C1〜C10直鎖状アルキル基、C3〜C10分枝状アルキル基、C3〜C10環状アルキル基、C3〜C10アルケニル基、C4〜C10アリール基、及びC4〜C10複素環基からなる群より選択されるアミノ基から選択される。式I、II又はIとIIの両方の幾つかの実施形態において、置換基X1、X2、X3、X4、X5及びX6のうち任意の1つ又は複数は結合して、置換又は非置換の、飽和又は不飽和の環状基を形成する。式I、II又はIとIIの両方の1つの特定の実施形態において、置換基X1、X2、X3、X4、X5及びX6のうち任意の1つ又は複数は、上で説明したハライド原子又はアミノ基のいずれかである。式IIの幾つかの実施形態において、X1、X2、X3、X4、X5及びX6は、全てアミノ基であることはできない。式I又はIIの幾つかの実施形態において、式NR12を有するアミノ基におけるR1及びR2は共に結合して環を形成する。1つの特定の実施形態において、R1及びR2は、直鎖状又は分枝状C3〜C6アルキル基から選択され、結合して環状環を形成する。アミノ基を有する式I又はIIの代替実施形態において、R1及びR2は共に結合して環を形成しない。アミノ基を有する式I及びIIの他の実施形態において、R1及びR2は異なっている。
式I及びIIの幾つかの実施形態において、ケイ素含有膜を形成するための組成物は、少なくとも1つの環状ハローカルボシラン(halocarbosilane)化合物を含み、式中、置換基X1、X2、X3、X4、X5、X6のうち少なくとも1つは、アンカー基として、F、Cl、Br、Iから選択されたハライド原子であり、置換基X1、X2、X3、X4、X5、X6のうちいずれも、アミノ基ではない。例示の環状ハローカルボシランとしては、限定されないが、1−クロロ−1,3−ジシラシクロブタン、1−ブロモ−1,3−ジシラシクロブタン、1−ヨード−1,3−ジシラシクロブタン、1,3−ジクロロ−1,3−ジシラシクロブタン、1,3−ジブロモ−1,3−ジシラシクロブタン、1,3−ジヨード−1,3−ジシラシクロブタン、1,1−ジクロロ−1,3−ジシラシクロブタン、1,1−ジブロモ−1,3−ジシラシクロブタン、1,1−ジヨード−1,3−ジシラシクロブタン、1,1,3,3−テトラクロロ−1,3−ジシラシクロブタン、1,1,3,3−テトラブロモ−1,3−ジシラシクロブタン、1,1,3,3−テトラヨード−1,3−ジシラシクロブタン、1,3−ジクロロ−1,3−ジメチル−1,3−ジシラシクロブタン、1,1,3,3,5,5−ヘキサクロロ−1,3,5−トリシラシクロヘキサン、1,1,3,3−テトラクロロ−1,3,5−トリシラシクロヘキサン、及び1,3,5−トリクロロ−1,3,5−トリシラシクロヘキサンが挙げられる。
式I及びIIの幾つかの実施形態において、ケイ素含有膜を形成するための組成物は、少なくとも1つの環状ハローアミノカルボシラン(haloaminocarbosilane)化合物を含み、式中、置換基X1、X2、X3、X4、X5、X6のうち少なくとも1つは、F、Cl、Br及びIから選択されるハライド原子であり、置換基X1、X2、X3、X4、X5、X6のうち少なくとも1つは、アンカー基としてのアミノ基である。例示の環状ハローアミノカルボシラン化合物としては、限定されないが、1,3−ビス(ジメチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、1,3−ビス(ジエチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、及び1−(ジメチルアミノ)−1,3,3−トリクロロ−1,3−ジシラシクロブタンが挙げられる。
式I及びIIの幾つかの実施形態において、ケイ素含有膜を形成するための組成物は、少なくとも1つの環状アミノカルボシラン化合物を含み、式中、置換基X1、X2、X3、X4、X5、X6のうち少なくとも1つは、アンカー基としてのアミノ基であり、置換基X1、X2、X3、X4、X5、X6のいずれもハライド原子ではない。例示の環状アミノカルボシランとしては、限定されないが、1,1,3,3−テトラキス(メチルアミノ)−1,3−ジシラシクロブタン、1,1,3,3−テトラキス(ジメチルアミノ)−1,3−ジシラシクロブタン、1,3−ビス(ジメチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、1,3−ビス(メチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、及び1,3−ビス(イソ−プロピルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタンが挙げられる。
別の態様において、炭素ドープ酸化ケイ素膜及び炭素ドープ酸窒化ケイ素膜から選択される膜を、基材の少なくとも1つの表面上に堆積するための方法であって、
基材を反応器中に設置する工程と、
約25〜約700℃の範囲の1つ又は複数の温度に反応器を加熱する工程と、
環状ハローカルボシラン化合物、環状ハローアミノカルボシラン化合物、及びそれらの組み合わせから選択される少なくとも1つの化合物を含む前駆体を反応器に導入する工程と、
窒素源を反応器中に導入し、前駆体の少なくとも一部と反応させて、炭素ドープ窒化ケイ素膜を形成する工程と、
炭素ドープ窒化ケイ素膜を膜に変換するのに十分な条件下で、約25〜1000℃又は約100〜400℃の範囲の1つ又は複数の温度において、炭素ドープ窒化ケイ素膜を酸素源で処理する工程と
を含む方法が提供される。幾つかの実施形態において、炭素ドープ酸化ケイ素膜又は炭素ドープ酸窒化ケイ素膜は、XPSで測定した場合に約10原子量パーセント(at.%)以上の炭素含有量と、希釈HF中で約0.20Å/秒以下のエッチ速度とを有する。
図1は、例11で説明されるような、前駆体1,3−ジクロロ−1,3−(ジメチル)アミノ−1,3−ジシラシクロブタンを使用して堆積された膜のフーリエ変換赤外分光(FTIR)スペクトルを提供する。 図2は、例15で説明されるような、真空アニールの前(実線)と後(点線)とのFTIRスペクトルの比較を提供する。 図3a〜3cは、例17で説明されるような、堆積したケイ素含有膜の断面走査電子顕微鏡(SEM)画像を提供する。
本明細書で説明されるのは、環状カルボシラン化合物、並びに、限定されないが、熱原子層堆積プロセスのような堆積プロセスを通じて、炭素ドープ(例えば、XPSで測定した場合に約10at%以上の炭素含有量)窒化ケイ素を堆積するための、環状カルボシラン化合物を含む組成物及び方法である。本明細書で説明される組成物及び方法を使用して堆積された膜は、極めて低いエッチ速度(例えば、希釈HF中で約0.20Å/秒以下又は約0.15Å/秒以下)を示し、一方で、限定されないが、密度、誘電率、屈折率、及び元素組成のような他の調整可能な特性の可変性を示す。
幾つかの実施形態において、本明細書で説明される環状カルボシラン前駆体、及びそれを使用した方法は、以下のように説明される特徴の1つ又は複数を与える。第1に、堆積された反応性炭素ドープ窒化ケイ素膜は、Si−C−Si結合を含む環状カルボシラン前駆体と窒素源とを使用して形成される。環状カルボシラン前駆体からのSi−C−Si結合は、得られる堆積膜内に留まり、XPSで測定した場合に少なくとも10at.%以上の高い炭素含有量を提供すると考えられる。第2に、堆積膜を酸素源、例えば水にさらした際にか、堆積プロセスの間で間欠的にか、後堆積処理の際としてか、又はそれらの組み合わせかで、膜中の窒素含有量の少なくとも一部又は全部を酸素に変換して、炭素ドープ酸化ケイ素又は炭素ドープ酸窒化ケイ素膜から選択される膜を提供する。堆積膜中の窒素は、1つ又は複数の窒素含有副産物として、例えば、アンモニア又はアミン基として放出される。この又は他の実施形態において、最終的な膜は多孔質であり、かつ、約1.7グラム/立方センチメートル(g/cc)以下の密度と、希釈HF中出測定した場合に0.20Å/秒以下のウェットエッチ速度とを有する。
1つの態様において、組成物は、以下の式I及びII
Figure 0006585724
を有する少なくとも1つの環状カルボシランと、ハライド原子、アミノ基及びそれらの組み合わせから選択される少なくとも1つのアンカー基とを含み、式中、X1、X2、X3、X4、X5及びX6は、それぞれ独立して、水素原子;F、Cl、Br及びIから選択されるハライド原子;式NR12を有するアミノ基であって、式中、R1及びR2は、独立して、水素原子、C1〜C10直鎖状アルキル基、C3〜C10分枝状アルキル基、C3〜C10環状アルキル基、C3〜C10アルケニル基、C4〜C10アリール基、及びC4〜C10複素環基からなる群より選択されるアミノ基から選択される。式I、II又はIとIIの両方の幾つかの実施形態において、置換基X1、X2、X3、X4、X5及びX6のうち任意の1つ又は複数は結合して、置換又は非置換の、飽和又は不飽和の環状基を形成する。式I、II又はIとIIの両方の1つの特定の実施形態において、置換基X1、X2、X3、X4、X5及びX6のうち任意の1つ又は複数は、上で説明したようなハライド又はアミノ基のいずれかである。式IIの幾つかの実施形態において、X1、X2、X3、X4、X5及びX6は、全てアミノ基ではない。式I又はIIの幾つかの実施形態において、式NR12を有するアミノ基におけるR1及びR2は共に結合して環を形成する。1つの特定の実施形態において、R1及びR2は、直鎖状又は分枝状C3〜C6アルキル基から選択され、結合して環状環を形成する。式I又はIIの代替実施形態において、R1及びR2は共に結合して環を形成しない。他の実施形態において、R1及びR2は異なっている。
前述したように、本明細書で説明される式I及びIIを有する環状カルボシラン前駆体は、少なくとも1つのアンカー基を含む。「アンカー基」という用語は、基材表面上のヒドロキシル又は他の反応部位、例えば、限定されないが、NH又はNH2と反応することができる不安的基を示す。例示のアンカー基としては、限定されないが、ハライド原子(例えば、Cl、Br及びI)及びアミノ基が挙げられる。「アミノ基」という用語は、式HNR12を持つ有機アミン由来の、1〜10個の炭素原子を有する有機アミノ基を示しており、式中、R1及びR2は、前述したとおりである。例示のアミノ基としては、限定されないが、2級アミン、例えば、ジメチルアミノ(Me2N−)、ジエチルアミノ(Et2N−)、及びジイソプロピルアミノ(iPr2N−)由来の2級アミノ基;又は、1級アミン、例えば、メチルアミノ(MeNH−)、エチルアミノ(EtNH−)、イソプロピルアミノ(iPrNH−)、sec−ブチルアミノ(sBuNH−)、及びtert−ブチルアミノ(tBuNH−)由来の1級アミノ基が挙げられる。
上述した式においてかつ説明を通じて、「アルキル」という用語は、1〜10個又は2〜4個の炭素原子を有する直鎖状又は分枝状官能基を示す。例示の直鎖状アルキル基としては、限定されないが、メチル、エチル、n−プロピル、n−ブチル、n−ペンチル、及びヘキシルが挙げられる。例示の分枝状アルキル基としては、限定されないが、イソプロピル、イソブチル、sec−ブチル、tert−ブチル、イソペンチル、tert−ペンチル、及びイソヘキシルが挙げられる。幾つかの実施形態において、アルキル基は、これに結合した、1つ又は複数の官能基、例えば、限定されないが、アルコキシ基、ジアルキルアミノ基、又はそれらの組み合わせを有することができる。
上述した式においてかつ説明を通じて、「環状アルキル」という用語は、3〜10個又は4〜10個の炭素原子を有する環状官能基を示す。例示の環状アルキル基としては、限定されないが、シクロブチル基、シクロペンチル基、シクロヘキシル基、及びシクロオクチル基が挙げられる。
上述した式においてかつ説明を通じて、「アルケニル基」という用語は、1つ又は複数の炭素−炭素二重結合を有し、2〜10個又は2〜6個の炭素原子を有する基を示す。例示のアルケニル基としては、限定されないが、ビニル基又はアリル基である。
上述した式においてかつ説明を通じて、「アルキニル基」という用語は、1つ又は複数の炭素−炭素三重結合を有し、2〜10個又は2〜6個の炭素原子を有する基を示す。
上述した式においてかつ説明を通じて、「アリール」という用語は、4〜10個の炭素原子、5〜10個の炭素原子、又は6〜10個の炭素原子を有する芳香族環状官能基を示す。例示のアリール基としては、限定されないが、フェニル、ベンジル、クロロベンジル、トリル、o−キシリル、1,2,3−トリアゾリル、ピロリル、及びフラニル、ピリダジニル、ピリミジニル、及びイミダゾリルが挙げられる。
幾つかの実施形態において、本明細書で説明される式I又はIIのいずれかを有する環状カルボシラン前駆体は、そのアンカー基として少なくとも1つのハライド原子を含み、アミノ基を含まない。式I又はIIを参照すると、これらの化合物は、アンカー基として、それらの置換基X1、X2、X3、X4、X5、X6の少なくとも1つとしてF、Cl、Br及びIから選択されるハライド原子を含み、置換基X1、X2、X3、X4、X5、X6のいずれもアミノ基でない。これらの前駆体は、本明細書において、環状ハローカルボシラン化合物と言い表される。幾つかのハローカルボシラン化合物の例が、例えば、以下の表Iにおいて提供される。
Figure 0006585724
幾つかの実施形態において、本明細書で説明される式I又はIIのいずれかを有する環状カルボシラン前駆体は、そのアンカー基として少なくともアミノ基及び少なくとも1つのハライド原子を含む。式I又はIIを参照すると、これらの化合物は、F、Cl、Br及びIから選択されるハライド原子と、アンカー基として、それらの置換基X1、X2、X3、X4、X5、X6のうちの少なくとも1つとして少なくともアミノ基とを有する。これらの化合物は、本明細書において、環状ハローアミノカルボシラン化合物と言い表される。幾つかのハローカルボシラン化合物の例が、例えば、以下の表IIにおいて提供される。
Figure 0006585724
幾つかの実施形態において、本明細書で説明される式I又はIIのいずれかを有する環状カルボシラン前駆体は、そのアンカー基として少なくとも1つのアミノ基を含み、ハライド原子を含まない。式I又はIIを参照すると、これらの化合物は、アンカー基として、それらの置換基X1、X2、X3、X4、X5、X6のうち少なくとも1つとしてアミノ基を有し、置換基X1、X2、X3、X4、X5、X6のいずれもハライド原子でない。これらの前駆体は、本明細書において、環状アミノカルボシラン化合物と言い表される。幾つかのアミノカルボシラン化合物の例が、例えば、以下の表IIIにおいて提供される。
Figure 0006585724
前述したように、ケイ素含有膜は、半導体基材のような基材の少なくとも表面上に堆積される。本明細書で説明される方法において、基材は、当技術分野においてよく知られた様々な材料、例えば、ケイ素、酸化ケイ素、窒化ケイ素、非晶質炭素、酸炭化ケイ素、酸窒化ケイ素、炭化ケイ素、ゲルマニウム、ゲルマニウムドープケイ素、金属(例えば、銅、タングステン、アルミニウム、コバルト、ニッケル、タンタル)、金属窒化物、例えば、窒化チタン、窒化タンタル、金属酸化物、グループIII/V金属又は半金属、例えば、GaAs、InP、GaP及びGaN、並びにそれらの組み合わせの膜で構成される、及び/又はコーティングされることがある。これらのコーティングは、半導体基材を完全にコーティングすることができ、様々な材料の複数の層であることができ、そして、部分的にエッチングされて材料の下地層を露出することができる。表面はまた、パターンを露出し、基材を部分的にコーティングして成長させるフォトレジスト材料をその上に堆積することができる。幾つかの実施形態において、半導体基材は、孔、ビア、トレンチ、及びそれらの組み合わせからなる群より選択される少なくとも1つの表面特徴を含む。
ケイ素含有膜又はコーティングを形成するために使用される堆積方法は、堆積プロセスである。本明細書で開示される方法のための適切な堆積プロセスの例としては、限定されないが、化学気相堆積又は原子層堆積プロセスが挙げられる。本明細書で使用される場合、「化学気相堆積プロセス」という用語は、基材が基材表面上で反応する及び/又は分解する1つ又は複数の揮発性前駆体にさらされて、所望の堆積を作り出す任意のプロセスを言い表す。本明細書で使用される場合、「原子層堆積」という用語は、様々な組成の基材上に材料の膜を堆積する、自己限定的な(例えば、各反応サイクルで堆積される膜材料の量が一定である)連続表面化学を言い表す。本明細書で使用される前駆体、反応剤及び源は時折「ガス状」として説明されることがあるが、前駆体は、直接気化、バブリング、又は昇華を通じて反応器中に、不活性ガスを含む又は含まないで移送される液体又は固体のいずれかであることができると理解される。幾つかの場合において、気化した前駆体は、プラズマ発生器を通過することができる。
1つの実施形態において、ケイ素含有膜は、ALDプロセスを使用して堆積される。別の実施形態において、ケイ素含有膜は、CCVDプロセスを使用して堆積される。更なる実施形態において、ケイ素含有膜は、熱ALDプロセスを使用して堆積される。本明細書で使用される場合、「反応器」という用語は、限定されないが、反応チャンバー又は堆積チャンバーを含む。
幾つかの実施形態において、本明細書で開示される方法は、反応器への導入の前に及び/又はその際に、1つ又は複数の前駆体を分離するALD又はCCVD法を使用することで、1つ又は複数の前駆体の事前の反応を防止する。この関係において、ALD又はCCVDプロセスのような堆積技術は、ケイ素含有膜を堆積するために使用される。1つの実施形態において、膜は、典型的な単一ウエハALD反応器、半バッチ式ALD反応器、又はバッチ式炉ALD反応器中でのALDプロセスを通じて、1つ又は複数のケイ素含有前駆体、酸素源、窒素含有源、又は他の前駆体若しくは反応剤に交互に基材表面をさらすことによって堆積される。膜成長は、堆積温度、各前駆体又は反応剤のパルス長、及び表面反応の自己限定的な制御により進行する。しかしながら、基材の表面が飽和すると、膜成長は停止する。別の実施形態において、基材を反応器の異なる区域へ移動するか又は回転させることで、環状カルボシランを含む各反応剤と反応ガスとが基材にさらされ、それぞれの区域は、不活性ガスカーテン、すなわち、空間的ALD反応器又はロールツーロールALD反応器によって分離されている。
幾つかの実施形態において、堆積方法に応じて、本明細書で説明される環状カルボシラン前駆体、及び任意選択で他のケイ素含有前駆体を、所定のモル容積又は約0.1〜約1000マイクロモルで、反応器に導入することができる。この又は他の実施形態において、前駆体を反応器に所定の期間導入することができる。幾つかの実施形態において、その期間は約0.001〜約500秒間の範囲である。
幾つかの実施形態において、本明細書で説明される方法を使用して堆積されるケイ素含有膜は、酸素源、酸素を含む反応剤又は酸素を含む前駆体を使用して、酸素の存在下で形成される。酸素源は、少なくとも1つの酸素源の形態で反応器中に導入されることがあり、及び/又は、堆積プロセスで使用されるその他の前駆体中に偶発的に存在することがある。適切な酸素源ガスとしては、水(H2O)(例えば、脱イオン水、精製水、蒸留水、水蒸気、水蒸気プラズマ、含酸素水、水及び他の有機液を含む組成物)、酸素(O2)、酸素プラズマ、オゾン(O3)、一酸化窒素(NO)、二酸化窒素(NO2)、一酸化炭素(CO)、水含有プラズマ、水及びアルゴン含有プラズマ、過酸化水素、水素含有組成物、水素及び酸素含有組成物、二酸化炭素(CO2)、空気、及びそれらの組み合わせを挙げることができる。幾つかの実施形態において、酸素源は、約1〜約2000標準立方センチメートル/分(sccm)又は約1〜約1000sccmの範囲の流量で、反応器に導入される酸素源ガスを含む。酸素源を、約0.1〜約100秒間の範囲の時間の間に導入することができる。
ALD又は周期的CVDプロセスにより膜が堆積される実施形態において、前駆体パルスは0.01秒間超のパルス幅を有することができ、酸素源は0.01秒間未満のパルス幅を有することができ、水パルス幅は、0.01秒間未満のパルス幅を有することができる。
幾つかの実施形態において、酸素源は、前駆体パルス及びプラズマが順に導入される間、反応器中に連続的に流入する。前駆体パルスは、0.01秒間超のパルス幅を有することができ、一方で、プラズマ間隔は、0.01〜100秒間の範囲であることができる。
幾つかの実施形態において、ケイ素含有膜は、ケイ素及び窒素を含み、任意選択で酸素を含む。これらの実施形態において、本明細書で説明される方法を使用して堆積されるケイ素含有膜は、窒素含有源の存在下で形成される。窒素含有源は、少なくとも1つの窒素源の形態で反応器に導入されることがあり、及び/又は、堆積プロセスで使用されるその他の前駆体中に偶発的に存在することがある。
適切な窒素含有又は窒素源のガスとしては、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、対称又は非対称ジアルキルヒドラジン、窒素、NO、N2O、NO2、窒素及び水素含有組成物、アンモニアプラズマ、窒素プラズマ、アンモニア及び窒素含有プラズマ、窒素及び水素含有プラズマ、有機アミンプラズマ、有機ジアミンプラズマ、及びそれらの組み合わせを挙げることができる。窒素含有源として有機アミンプラズマ又は有機ジアミンプラズマが使用される実施形態において、例示の有機アミノプラズマとしては、限定されないが、ジエチルアミンプラズマ、ジメチルアミンプラズマ、トリメチルプラズマ、トリメチルアミンプラズマ、アルコキシアミンプラズマ、メチルアミン、エチルアミン、エチレンジアミン、エタノールアミン、ピペラジン、N,N’−ジメチルエチレジアミン、イミダゾリジン、シクロトリメチレントリアミン、及びそれらの組み合わせが挙げられる。
幾つかの実施形態において、窒素源は、約1〜約2000標準立方センチメートル/分(sccm)又は約1〜約1000sccmの範囲の流量で、反応器に導入される。窒素含有源を、約0.1〜約100秒間の範囲の時間の間導入することができる。窒素源及び酸素源の両方を使用するALD及び周期的CVDプロセスにより膜が堆積される実施形態において、前駆体パルスは0.01秒間超のパルス幅を有することができ、窒素源は0.01秒間未満のパルス幅を有することができ、水パルス幅は0.01秒間未満のパルス幅を有することができる。また別の実施形態において、パルス間のパージ間隔は、0秒間程度に低いことができるか又は間でパージすることなく連続的にパルス化される。
幾つかの実施形態において、1つ又は複数の方法の工程は触媒の存在下で行われる。この又は他の実施形態において、触媒は、ルイス塩基、例えば、ピリジン、ピペラジン、アンモニア、又は他の有機アミンから選択される。
本明細書で開示される堆積方法は、1つ又は複数のパージガスを含むことができる。未消費の反応剤及び/又は反応副産物をパージするために使用されるパージガスは、前駆体と反応しない不活性ガスである。例示のパージガスとしては、限定されないが、アルゴン(Ar)、窒素(N2)、ヘリウム(He)、ネオン、水素(H2)、及びそれらの組み合わせが挙げられる。幾つかの実施形態において、Arのようなパージガスは、約0.1〜1000秒間の間、約10〜約2000sccmの範囲の流量で反応器中に供給され、それにより、反応器中に残っていることがある未反応の材料及び任意の副産物をパージする。
前駆体、酸素源、窒素含有源、及び/又は他の前駆体、源ガス、及び/又は反応剤を供給する連続工程は、それらを供給する時間を変更して、得られる膜の化学量論的組成を変更することで行うことができる。
前駆体、窒素含有源、還元剤、他の前駆体又はそれらの組み合わせのうち少なくとも1つにエネルギーが与えることで、反応を誘発し、基材上に膜又はコーティングを形成する。そのようなエネルギーは、限定されないが、熱、プラズマ、パルス化プラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、光子、リモートプラズマ法、及びそれらの組み合わせにより提供することができる。
幾つかの実施形態において、二次RF周波数源を使用して、基材表面でプラズマ特性を改質することができる。堆積がプラズマを含む実施形態において、プラズマ生成プロセスは、プラズマが反応器中で直接生成される直接プラズマ生成プロセス、又は代替的に、プラズマが反応器の外部で生成され、反応器中に供給されるリモートプラズマ生成プロセスを含むことができる。
環状カルボシラン前駆体及び/又は他のケイ素含有前駆体を、反応チャンバー、例えばCVD又はALD反応器に、様々な方法で運ぶことができる。1つの実施形態において、液体輸送システムを使用することができる。代替実施形態において、複合液体輸送及びフラッシュ蒸発プロセスのユニット、例えば、MSP Corporation(Shoreview、MN)製のターボ蒸発器を用いることができて、低揮発性材料が定量輸送されることが可能になり、それにより、前駆体の熱分解なく再現性のある輸送及び堆積がもたらされる。液体移送配合物において、本明細書で説明される前駆体は原液形態で移送されることがあり、又は代替的に、溶媒配合物又はそれを含む組成物中で用いられることがある。したがって、幾つかの実施形態において、前駆体配合物は、基材上に膜を形成するために所与の最終的な使用用途において望まれかつ有利であることができるように、適切な特性の1つ又は複数の溶媒成分を含むことができる。
この又は他の実施形態において、本明細書で説明される方法の工程は、様々な順序で行うことができ、連続して又は同時に(例えば、別の工程の少なくとも一部の間に)行うことができ、及びそれらに任意の組み合わせであることができることが理解される。前駆体及び窒素含有源のガスを供給する連続工程を、それらを提供する時間の間隔を変化させ、得られるケイ素含有膜の化学量論的組成を変化させることにより行うことができる。
本明細書で説明される方法のまた更なる実施形態において、膜又は堆積膜は処理工程を受ける。処理工程は、堆積工程の少なくとも一部の間、堆積工程の後、及びそれらの組み合わせで行うことができる。例示の処理工程としては、限定されないが、熱アニールを通じた処理、プラズマ処理、紫外線(UV)光処理、レーザー、電子ビーム処理、及びそれらの組み合わせが挙げられ、膜の1つ又は複数の特性に影響を及ぼす。本明細書で説明される環状カルボシラン前駆体で堆積された膜は、同一条件下において以前に開示されたカルボシラン前駆体で堆積された膜と比較した場合、限定されないが、処理工程前の膜のウェットエッチ速度より低いウェットエッチ速度、処理工程前の密度より高い密度、又は膜内の1つ又は複数の不純物の低減のような改善した特性を有する。1つの特定の実施形態において、堆積プロセスの間、堆積膜は間欠的に処理される。これらの間欠的又は中間の堆積処理は、例えば、各ALDサイクルの後に、特定回数のALDサイクルごとに、例えば、限定されないが、2回の(2)ALDサイクル、5回の(5)ALDサイクル、又は10回(10)以上のALDサイクルごとに行うことができる。
膜が熱アニール工程で処理される実施形態において、アニール温度は少なくとも100℃か又は堆積温度より高い。この又は他の実施形態において、熱アニール温度は、約400℃〜約1000℃の範囲である。代替実施形態において、アニール温度は堆積温度と同一であるか又は±100℃内である。この又は他の実施形態において、熱アニール処理は、真空(<760Torr)又は不活性環境において行うことができる。
膜がUV処理で処理される実施形態において、膜は、広帯域UV、又は代替的に約150ナノメートル(nm)〜約400nmの範囲の波長を有するUV源にさらされる。1つの特定の実施形態において、堆積膜は、所望の膜厚に達した後に、堆積チャンバーとは異なるチャンバー中でUVが照射される。
膜がプラズマで処理される実施形態において、プラズマ源は、窒素プラズマ、窒素及びヘリウム含有プラズマ、窒素及びアルゴン含有プラズマ、アンモニアプラズマ、アンモニア及びヘリウム含有プラズマ、アンモニア及びアルゴン含有プラズマ、ヘリウムプラズマ、アルゴンプラズマ、ネオンプラズマ、水素プラズマ、水素及びヘリウム含有プラズマ、水素及びアルゴン含有プラズマ、有機アミンプラズマ、有機ジアミンプラズマ、及びそれらの組み合わせからなる群より選択される。1つの特定の実施形態において、プラズマは、ヘリウム、ネオン、アルゴン、クリプトン、及びそれらの組み合わせからなる群より選択される不活性ガスを含む。
1つの特定に実施形態において、方法は、炭素ドープ酸化ケイ素、炭素ドープ窒化ケイ素、及び炭素ドープ酸窒化ケイ素の膜から選択されるケイ素含有膜を堆積し、以下の工程:
1つ又は複数の基材を反応器中に設置する工程と、
約25〜約700℃の範囲の1つ又は複数の温度に反応器を加熱し、任意選択で、100torr以下の圧力で維持する工程と、
環状ハローカルボシラン及び環状ハローアミノカルボシランからなる群より選択される少なくとも1つの環状カルボシランを導入する工程と、
約周辺温度(例えば25℃)〜1000℃、又は約100〜400℃の範囲の1つ又は複数の温度で、炭素ドープ窒化ケイ素を酸素源にさらし、炭素ドープ窒化ケイ素膜を炭素ドープ酸化ケイ素膜又は炭素ドープ酸窒化ケイ素膜に変換する工程と
を含む。
本明細書で説明される方法の更なる実施形態において、ケイ素含有膜は、熱ALDプロセスを使用して堆積される。この実施形態において、方法は、
1つ又は複数の基材を反応器中に設置する工程と、
約周辺温度(例えば25℃)〜約700℃の範囲の1つ又は複数の温度に反応器を加熱し、任意選択で、100torr以下の圧力で維持する工程;
環状ハローカルボシラン及び環状ハローアミノカルボシランからなる群より選択される少なくとも1つの環状カルボシランを導入する工程と、
酸素源及び任意選択で触媒を反応器中に提供して、表面と反応させて堆積膜を形成する工程であって、触媒がルイス塩基を含む工程とを含み、
任意選択で、加熱温度よりも高い1つ又は複数の温度で堆積膜をアニールするアニール工程であって、アニール工程が、約400〜約1000℃の範囲の1つ又は複数の温度で行われる工程と、
任意選択で、約周辺温度〜約1000℃の範囲、又は約100〜400℃の1つ又は複数の温度で、堆積膜を酸素源で後堆積処理をして、ある密度を有する膜を提供する工程と、
任意選択で、堆積膜がUV源にさらされる工程と、
任意選択で、被処理膜を希ガス又は水素含有プラズマで後堆積処理する工程と
を含む。
本明細書で説明される方法のまた別の更なる実施形態において、ケイ素含有膜は、有機アミンを含む窒素源と共に熱ALDプロセスを使用して堆積される。この実施形態において、方法は、
表面特徴を含む1つ又は複数の基材を反応器中に設置する工程と、
周辺温度〜約700℃の範囲の1つ又は複数の温度に反応器を加熱し、任意選択で、100torr以下の圧力で反応器を維持する工程と、
環状ハローカルボシラン、環状ハローアミノカルボシラン、及び環状アミノカルボシランからなる群より選択される少なくとも1つの環状カルボシランを反応器に導入する工程と、
窒素源を反応器中に提供して、前駆体と反応させて、炭窒化ケイ素堆積膜を形成する工程とを含み、
任意選択で、堆積膜を後堆積アニールする工程と、
任意選択で、約周辺温度〜1000℃、又は約100〜400℃の範囲の1つ又は複数の温度で、炭素ドープ窒化ケイ素膜を酸素源で後堆積処理して、炭素ドープ窒化ケイ素膜を炭素ドープ酸化ケイ素膜に変換する工程であって、酸素源が、水蒸気、水プラズマ、含酸素水、含酸素水蒸気、酸素、酸素プラズマ、酸素/ヘリウムプラズマ、酸素/アルゴンプラズマ、窒素酸化物プラズマ、二酸化炭素プラズマ、過酸化水素、有機過酸化物、亜酸化窒素、オゾン、オゾン水、及びそれらの組み合わせからなる群より選択される工程と、
任意選択で、炭素ドープ酸化ケイ素膜がUV光源にさらされる工程とを含む。この又は他の実施形態において、UVにさらされる工程は、膜堆積の間又は堆積が完了した後のいずれかに行うことができる。
本明細書で説明される方法のまた別の実施形態において、炭素ドープ酸化ケイ素、酸窒化ケイ素、炭素ドープ酸窒化ケイ素膜、炭素ドープ窒化ケイ素、又は炭化ケイ素膜は、プラズマALDプロセスを使用して堆積される。この実施形態において、方法は、
孔、ビア若しくはトレンチ、又はそれらの組み合わせから選択される表面特徴を含む1つ又は複数の基材を反応器中に設置する工程と、
周辺温度〜約700℃の範囲の1つ又は複数の温度に反応器を加熱し、任意選択で、100torr以下の圧力で反応器を維持する工程と、
環状ハローカルボシラン、環状ハローアミノカルボシラン、及び環状アミノカルボシランからなる群より選択される少なくとも1つの環状カルボシランを反応器中に導入する工程と、
プラズマ源を反応器中に提供し、前駆体と反応させて、炭窒化ケイ素膜を形成する工程であって、プラズマ源が、窒素プラズマ、窒素及びヘリウム含有プラズマ、窒素及びアルゴン含有プラズマ、アンモニアプラズマ、アンモニア及びヘリウム含有プラズマ、アンモニア及びアルゴン含有プラズマ、ヘリウムプラズマ、アルゴンプラズマ、ネオンプラズマ、水素プラズマ、水素及びヘリウム含有プラズマ、水素及びアルゴン含有プラズマ、有機アミンプラズマ、有機ジアミンプラズマ、及びそれらの組み合わせからなる群より選択される工程とを含み、
任意選択で、約400〜1000℃の範囲の1つ又は複数の温度で、堆積膜を後堆積熱アニールする工程と、
任意選択で、約周辺温度〜約1000℃又は約100℃〜約400℃の範囲の1つ又は複数の温度で、炭素ドープ窒化ケイ素膜を酸素源で後堆積処理して、炭素ドープ窒化ケイ素膜を炭素ドープ酸化ケイ素膜に変換する工程であって、酸素源が、水蒸気、水プラズマ、含酸素水、含酸素水蒸気、酸素、酸素プラズマ、酸素/ヘリウムプラズマ、酸素/アルゴンプラズマ、窒素酸化物プラズマ、二酸化炭素プラズマ、過酸化水素、有機過酸化物、及びそれらの組み合わせからなる群より選択される少なくとも1つである工程と、
任意選択で、被処理膜をUV光源で後堆積照射する工程と、
任意選択で、希ガス又は水素含有プラズマで被処理膜を後堆積処理して、膜の特性のうち少なくとも1つを改善する工程とを含む。
本明細書で説明される方法のまた別の更なる実施形態において、炭素ドープ酸化ケイ素、酸窒化ケイ素、炭素ドープ酸窒化ケイ素膜、炭素ドープ窒化ケイ素、又は炭化ケイ素は、プラズマALDプロセスを使用して堆積される。この実施形態において、方法は、
孔、ビア若しくはトレンチ、又はそれらの組み合わせから選択される表面特徴を含む1つ又は複数の基材を反応器中に設置する工程と、
25〜約650℃の範囲の1つ又は複数の温度に反応器を加熱し、任意選択で、10torr以下の圧力で反応器を維持する工程と、
環状ハローカルボシラン、環状ハローアミノカルボシラン、及び環状アミノカルボシランからなる群より選択される少なくとも1つの環状カルボシランを反応器に導入する工程と、
プラズマ源を反応器中に提供し、試料表面と反応させて、炭窒化ケイ素膜を形成する工程であって、プラズマ源が、窒素プラズマ、窒素及びヘリウム含有プラズマ、窒素及びアルゴン含有プラズマ、アンモニアプラズマ、アンモニア及びヘリウム含有プラズマ、アンモニア及びアルゴン含有プラズマ、ヘリウムプラズマ、アルゴンプラズマ、ネオンプラズマ、水素プラズマ、水素及びヘリウム含有プラズマ、水素及びアルゴン含有プラズマ、有機アミンプラズマ、有機ジアミンプラズマ、及びそれらの組み合わせからなる群より選択される工程とを含み、
任意選択で、堆積膜を後堆積熱アニールする工程と、
任意選択で、約周辺温度(例えば25℃)〜約1000℃の範囲の1つ又は複数の温度で、炭素ドープ窒化ケイ素膜を酸素源で後堆積処理する工程であって、酸素源が、水蒸気、水プラズマ、含酸素水、含酸素水蒸気、酸素、酸素プラズマ、酸素/ヘリウムプラズマ、酸素/アルゴンプラズマ、窒素酸化物プラズマ、二酸化炭素プラズマ、過酸化水素、有機過酸化物、及びそれらの組み合わせからなる群より選択される工程と、
任意選択で、被処理膜をUV光で後堆積照射する工程と、
任意選択で、希ガス又は水素含有プラズマから選択されるプラズマで被処理膜を後堆積処理する工程とを含む。
本明細書で説明される方法のまた別の更なる実施形態において、炭素ドープ酸化ケイ素、酸窒化ケイ素、炭素ドープ酸窒化ケイ素、又は炭素ドープ窒化ケイ素膜は、熱ALDプロセス及び不活性プラズマを使用して堆積されて、膜の特性を改善する。この実施形態において、方法は、
表面特徴を含む1つ又は複数の基材を反応器中に設置する工程と、
25〜約700℃の範囲の1つ又は複数の温度に反応器を加熱し、任意選択で、100torr以下の圧力で反応器を維持する工程と、
環状ハローカルボシラン、環状ハローアミノカルボシラン、及び環状アミノカルボシランからなる群より選択される少なくとも1つの環状カルボシランを反応器に導入する工程と、
窒素源を反応器中に提供して、表面と反応させて炭窒化ケイ素膜を形成する工程と、
不活性プラズマ及び水素プラズマから選択されるプラズマを提供する工程であって、不活性プラズマが、ヘリウム、ネオン、アルゴン、クリプトン及びそれらの組み合わせから選択される少なくとも1つを含む工程とを含み、
任意選択で、膜を後堆積アニールする工程と、
任意選択で、約周辺温度〜1000℃又は約100〜400℃の範囲の1つ又は複数の温度で、炭素ドープ窒化ケイ素膜を酸素源で後堆積処理して、炭素ドープ窒化ケイ素膜を炭素ドープ酸化ケイ素膜に変換する工程と、
任意選択で、被処理膜をUV光源で後堆積照射する工程と、
希ガス又は水素含有プラズマで被処理膜を後堆積処理して、膜の特性のうち少なくとも1つを改善する工程とを含む。
本明細書で説明される方法のまた別の更なる実施形態において、炭素ドープ酸化ケイ素、酸窒化ケイ素、炭素ドープ酸窒化ケイ素、又は炭素ドープ窒化ケイ素膜は、熱ALDプロセスを使用して堆積される。この実施形態において、方法は、
表面特徴を含む1つ又は複数の基材を設置する工程と、
25〜約700℃の範囲の1つ又は複数の温度に反応器を加熱し、任意選択で、100torr以下の圧力で反応器を維持する工程と、
環状ハローカルボシラン、環状ハローアミノカルボシラン、及び環状アミノカルボシランからなる群より選択される少なくとも1つの環状カルボシランを反応器に導入する工程と、
窒素源を反応器中に提供して、表面と反応させて炭窒化ケイ素膜を形成する工程と、
酸素源を提供して、炭窒化ケイ素を炭素ドープ酸窒化ケイ素に変換する工程であって、酸素源が、水蒸気、酸素、亜酸化窒素、エチレングリコール、オゾン、酸素プラズマ、亜酸化窒素プラズマ、二酸化炭素(CO2)、一酸化炭素(CO)、CO2プラズマ、COプラズマ、O2プラズマ、及びそれらの組み合わせからなる群より選択される少なくとも1つを含む工程とを含み、
任意選択で、真空(<760Torr)又は不活性環境において、約400〜約1000℃の範囲の1つ又は複数の温度で、堆積膜を後堆積アニールする工程と、
任意選択で、約25〜1000℃又は約100〜400℃の範囲の1つ又は複数の温度で、炭素ドープ窒化ケイ素膜を酸素源で後堆積処理して、炭素ドープ窒化ケイ素膜を炭素ドープ酸化ケイ素膜に変換する工程と、
任意選択で、被処理膜をUV光源で後堆積照射する工程と、
希ガス又は水素含有プラズマで被処理膜を後堆積処理して、膜の特性のうち少なくとも1つを改善する工程とを含む。
一般的な膜堆積の例
別段で指定されない限り、実験室規模の原子層堆積(ALD)反応器中で、環状カルボシラン前駆体及び窒素源のアンモニアを使用して膜堆積を行った。ALDサイクルの工程及びプロセス条件を、以下の表IVに示す。
Figure 0006585724
堆積の間、プロセスの工程3〜10を2000回以下のサイクル数繰り返して、堆積された炭素ドープ窒化ケイ素膜の所望の厚さを得た。得られた堆積膜に、現場アニール(反応器内部において堆積膜上で行われるアニール)又は現場外アニール(外部又は別のチャンバーでのアニール)のいずれかを行い、膜を炭素ドープ酸化ケイ素膜に変換した。行われた典型的なアニール条件は以下のとおりである。200mTorrの真空化で蒸気アニールを行うか、2Torrで酸素アニールを行うか、又は周辺温度(例えば25℃)又は約300℃においてホットプレート上で空気アニールを行うかであった。
632.8nmでエリプソメーターを使用して、堆積後に反射率及び厚さを直接測定した。偶発的炭素の効果を除去するために表面から数ナノメートル(2〜5nm)下で、X線光電子分光(XPS)を使用してバルク膜組成を特徴付けた。膜の密度を、X線反射計測(XRR)を使用して特徴付けた。
希釈HF(dHF、1:99の49%HFとDI水)下で、ウェットエッチ速度プロセスを行った。プロセスの間、熱酸化物膜をエッチングして、同時にそれを使用してエッチ溶液濃度を確かめた。典型的な熱酸化物のWERは0.55Å/秒である。
例1:1−クロロ−1,3−ジシラシクロブタンの合成
窒素充填グローブボックス内において、Et2O(50mL)中で塩化銅(I)(5.61g、0.0567mol)の撹拌混合物に1,3−ジシラシクロブタン(15.0g、0.170mol)を素早く加えた。30分間撹拌して反応させて、その間に固形物は濃い灰色/茶色に変化し、ガス状の副産物(おそらくH2)が発生した。反応混合物を濾過して固形物を取り除き、溶媒及び未反応の初期材料を、1気圧(atm)の圧力で蒸留により取り除いた。この手順を合計5回行って、複合粗製品を真空蒸留により精製して、20.4グラム(g)の1−クロロ−1,3−ジシラシクロブタンを溶液中で得た。溶液内の1−クロロ−1,3−ジシラシクロブタンを、ガスクロマトグラフィー(GC−TCD)、質量分析(GC−MS)、及び1H NMR分析で特定した。3μm厚のSPB−5媒体を含む0.53mm直径×30mのSuplecoカラム及びHP−5890シリーズII GCを備えたTCDを使用して、ガスクロマトグラフィー分析を製品の溶出液上で行った。GC−MSは以下のピーク:122(M+)、107(M−15)、93、85、81、58及び43を示した。
例2:1,3−ジクロロ−1,3−ジシラシクロブタンの合成
窒素充填グローブボックス内において、塩化銅(II)(89.6g、0.666mol)とヨウ化銅(I)(1.51g、0.00793mol)とを混合して、室温で2時間にわたって、Et2O(300mL)中で1,3−ジシラシクロブタン(14.0g、0.159mol)の撹拌溶液にゆっくり加えた。反応混合物を、針が刺された隔膜を通じて余分な圧力を排出した。6時間後、排出針を取り除き、灰色の反応混合物を夜通し撹拌した。固形物を濾過により取り除き、濾過物を減圧(80Torrまで下げた)下で濃縮した。20.4gの量の薄い黄色の粗製品を得て、GC−MSにより、主成分として1,3−ジクロロ−1,3−ジシラシクロブタンの2つの異性体(シス及びトランス)の混合物を含有することを決定した。GC−MSは、以下のピーク:156(M−1)、141、119、105、93、78、63、58及び43を示した。
例3:1−ブロモ−1,3−ジシラシクロブタン及び1,3−ジブロモ−1,3−ジシラシクロブタンの合成
窒素含有グローブボックス内において、シンチレーションバイアル中で、1,3−ジシラシクロブタン(1.0g、0.011mol)をジエチルエーテル(5g)内の臭化銅(I)(1.6g、0.011mol)の撹拌混合物に加えて、夜通し撹拌して反応を行った。ガス状の副産物(おそらくH2)を放出し、溶液について、GC−MSにより、1−ブロモ−1,3−ジシラシクロブタン(m/z=166(M+)、151(M−15)、137、123、109、85、69、59、43)と、1,3−ジブロモ−1,3−ジシラシクロブタン(m/z=246(M+)、231(M−15)、216、202、189、159、137、109、69、58、43)との混合物を含有することを決定した。
例4:1,1,3,3−テトラブロモ−1,3−ジシラシクロブタンの合成
窒素保護の下、臭化アセチル(209g、1.70mol)を、AlBr3(1.9g、0.0071mol)の存在下で、1,1,3,3−テトラエトキシ−1,3−ジシラシクロブタン(75g、0.28mol)と組み合わせて、室温でおよそ1月間撹拌した。未反応の臭化アセチルと、酢酸エチル副産物とを減圧化で取り除いた。残りのウェット固形物をペンタン(30mL)中で撹拌して、次いで、真空濾過により収集した。粗固形物をフラスコの真空昇華(90℃、150mTorr)を通じて精製して、59gの無色の結晶固形物を得て、GC−MSにより、1,1,3,3−テトラブロモ−1,3−ジシラシクロブタンであることを決定した。GC−MSは、以下のピーク:404(M+)、376、323、297、267、243、229、202、188、165、149、135、109、81、55、42を示した。融点(m.p.)=117℃;沸点(b.p.)=252℃であった。単結晶をX線回折により分析してその構造を確認した。
例5:1,3−ビス(ジエチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタンの合成
窒素保護の下、ヘキサン(80mL)中のジエチルアミン(29.5g、0.403mol)の溶液を、−20℃において、ヘキサン(400mL)中のトリエチルアミン(44.4g、0.439mol)と、1,1,3,3−テトラクロロ−1,3−ジシラシクロブタン(40.0g、0.177mol)との撹拌溶液に、漏斗での追加により滴下して加えた。得られた白いスラリーを室温に温めて、夜通し撹拌した。白い固形物を濾過により取り除き、ヘキサン(30mL)で2回洗浄した。複合濾過物を、容積が変わらないままになるまで、室温で減圧下において濃縮した。粗製品を100℃に加熱し、−78℃で冷却トラップ中に真空輸送して、28.6gの無色の液体を得て、それを、GC−MSにより、1,3−ビス(ジエチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタンの異性体(シス及びトランス)の混合物であると決定した。GC−MSは、以下のピーク:298(M−1)、283、269、255、239、226、212、198、184、170、157、143、134、121、106、93、72、58、42を示した。B.p.=283℃であった。
例6:1,3−ビス(ジメチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタンの合成
窒素保護の下、THF中のジメチルアミン(2.11M、500mL、1.06mol)の溶液を、−20℃において、ヘキサン(1.5L)中のEt3N(119g、1.18mol)と、1,1,3,3−テトラクロロ−1,3−ジシラシクロブタン(120.8g、0.535mol)との撹拌溶液に滴下して加えた。得られた白いスラリーを室温に温めて、夜通し撹拌した。白い固形物を濾過により取り除き、ヘキサン(100mL)で2回洗浄した。溶媒を、容積が変わらないままになるまで、室温で減圧下において取り除いた。粗製品をフラスコでの真空蒸留(80℃、150mTorr)により精製し、冷却トラップ(−15℃)において収集して、77gの無色の結晶固形物を得て、それを、GC−MSにより、1,3−ビス(ジメチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタンの異性体(シス及びトランス)の混合物であると決定された。GC−MSは、以下のピーク:243(M+)、228(M−15)、208、199、185、171、157、143、121、106、93、72、63、43を示した。M.p=6〜41℃;b.p=230℃であった。トランス異性体の単結晶を、−35℃においてペンタンの濃縮溶液から成長させて、X線回折により分析してその構造を確認した。
1,3−ジクロロ−1,3−ジメチル−1,3−ジシラシクロブタンの合成
窒素保護の下、塩化アセチル(115g、1.46mol)を、室温において、塩化鉄(III)(0.47g、0.0029mol)と、1,3−ジエトキシ−1,3−ジメチル−1,3−ジシラシクロブタン(120g、0.587mol)との撹拌混合物中に、漏斗での追加により滴下して加えた。14日間撹拌して反応させた。揮発物を鉄触媒から真空輸送して、次いで、真空蒸留(12Torr/70℃)により精製して、98gの無色の液体を得て、それを、GC−MSにより、1,3−ジクロロ−1,3−ジメチル−1,3−ジシラシクロブタンの異性体(シス及びトランス)の混合物であると決定した。GC−MSは、以下のピーク:184(M−1)、169、149、133、93、79、71、63を示した。
例8:環状カルボシラン前駆体1,1,3,3−テトラクロロ−1,3−ジシラシクロブタンを使用した、熱ALD堆積によるケイ素含有膜の堆積
後堆積処理を行わず表IVにおいて本明細書で説明したプロセス工程を使用して、300℃及び500℃の基材温度において、環状カルボシラン前駆体としての1,1,3,3−テトラクロロ−1,3−ジシラシクロブタンと、アンモニアとからケイ素含有膜を堆積した。初期の反射率及び厚さ測定を行った後、試料を室温で保存して、間欠的に測定した。堆積膜についての反射率及び厚さを表Vにまとめた。表VIでは、300℃で堆積された膜の反射率が時間の経過と共に減少し、24時間後に1.65から1.53に減少したことを示しており、室温での周辺環境による酸化プロセスを示している。24時間以後では、更なる反射率の低下は観察されなかった。XPS及び希釈HF中のウェットエッチ速度のような他の膜特性を、膜堆積後およそ1週間で測定した。これらの試験の結果を表VIIに示した。
Figure 0006585724
Figure 0006585724
Figure 0006585724
表VIIを参照すると、XPSのデータは、環状カルボシラン及びアンモニアから堆積した炭素ドープ酸化ケイ素膜は、低い塩素含有量(例えば0.5at.%未満)を有することを示している。300℃で堆積した膜では少量の窒素が検出されたが、それに対して、500℃で堆積した膜では有意により高い窒素含有量を有していた。300℃のより低い堆積温度では、プロセスにより、酸化の影響を受けやすいより多くのSi−NH2又はSi−NH−Si断片が提供されることがあると考えられる。他方で、より高い500℃の温度での堆積は、酸化により耐性があるSi−Nxネットワークを形成するのに十分なエネルギーを提供することができる。
例9:1,1,3,3−テトラクロロ−1,3−ジシラシクロブタンを使用し、その後様々な環境で現場外アニールを行った、炭素ドープケイ素含有膜のALD堆積
表IVで説明したプロセス工程を使用して、300℃において、環状カルボシラン前駆体としての1,1,3,3、−テトラクロロ−1,3−ジシラシクロブタンとアンモニアとからSi含有膜を堆積した。
堆積後、反射率及び厚さを測定して、各堆積からの試料を3つの(3)部分に分離した。各部分を、上で説明したように、300℃において、周辺環境(空気)、酸素(O2)、又は水(H2O)蒸気のいずれかの下で熱アニールした。1時間のアニール後と、別の2時間の追加のアニール処理を次に行った後とに、反射率及び厚さを測定した。結果を以下の表VIIIに与えた。
Figure 0006585724
膜堆積成長速度は0.2Å/サイクルであった。表VIIIでは、異なる条件下でアニールを行った際の反射率変化を示している。アニール後の反射率の低下は、膜が酸炭化ケイ素(SiO:C)膜に変換したことを示している。追加のアニールの際に反射率変化が小さいことにより示されているように、1時間のアニール後でプロセスは完了しつつあった。
後堆積アニール後の1:99のHF中での膜のウェットエッチ速度は検出されず(<0.01Å/秒)、熱酸化物膜は0.55Å/秒のエッチ速度を示した。膜を、溶液中でより高い濃度のHF(10:90の49%HF:DI水)を持つ希釈HFにさらした。より高いHF酸濃度にさらされた後、膜のエッチングは検出されず(<0.01Å/秒)、比較の熱酸化物膜は5.5Å/秒のエッチ速度を示した。
例10:熱ALD堆積による炭素ドープケイ素含有膜の膜組成
表IVで説明したプロセス工程を使用して、300℃において、環状カルボシラン前駆体としての1,1,3,3−テトラクロロ−1,3−ジシラシクロブタンと、アンモニアとからケイ素含有膜を堆積した。堆積後、反射率及び厚さを測定して、次いで、膜を3つの(3)部分に分離した。各部分を、上で説明したように、O2、水蒸気又は空気のいずれかの下で、300℃でそれぞれ連続3時間現場外熱アニールした。堆積膜の膜反射率は、それが反応器から取り出された後は1.64であった。現場外後堆積処理の後、表VIIIでの結果と一致して、反射率は1.55〜1.57に低下した。堆積膜の組成及び密度を表IXにまとめた。
Figure 0006585724
例11:アンモニア及び水プロセスを使用した、熱ALD堆積による炭素ドープケイ素含有膜
表Xで説明したプロセス工程を使用して、環状ハローアミノカルボシラン前駆体1,3−ジクロロ−1,3−(ジメチル)アミノ−1,3−ジシラシクロブタンからSi含有膜を堆積した。各ALDサイクルおいて、SiCNHxの表面をSiCOHに変換して、したがって、後堆積処理を行う必要性を取り除いた。
Figure 0006585724
堆積の間、プロセス工程3〜13を複数回繰り返して所望の膜厚を得た。得られた膜は、0.27Å/サイクルの成長/サイクルを持ち、1.58の反射率を有していた。試料のIRスペクトルを得て、図1に示した。図1では、堆積膜は多くがSiO:Cであり、24.3%C、5.0%N、41.0%O、33.6%Siの組成を有することを示している。得られた膜は、希釈HF中で検出不可能なウェットエッチ速度又は0.01Å/秒未満のWERを有していた。
例12:炭素ドープSi含有膜の熱ALD堆積及び空気中での後堆積現場外アニール
表IVで説明したプロセス工程を使用して、300℃において、環状ハローアミノカルボシラン1,1,3,3−テトラクロロ−1,3−ジシラシクロブタン前駆体と、アンモニアとからケイ素含有膜を堆積した。堆積膜に、上で説明した特徴付けプロセスと、繰り返しの現場外後堆積アニールとを行った。アニールは300℃でホットプレート上において周辺大気で行った。異なるアニール時間での反射率及び厚さ変化を表XIで報告した。
Figure 0006585724
表XIを参照すると、周辺環境下でアニールを行った後、膜の反射率が1.64から1.55に低下しており、これは、窒化ケイ素膜から酸化ケイ素膜への変換を示している。
例13:熱ALD堆積及び酸素源での現場後処理による炭素ドープSi含有膜
表XIIで説明されるプロセス工程を使用して、300℃において、環状ハローカルボシラン1,1,3,3−テトラクロロ−1,3−ジシラシクロブタン前駆体と、アンモニアとからケイ素含有膜を堆積した。
Figure 0006585724
プロセス工程3〜11を複数回繰り返して所望の膜厚を得た。ALDサイクルが完了した後、膜が現場のチャンバー内にある間、水蒸気を反応器に導入して酸炭化ケイ素(SiOC)膜を堆積した。得られた膜は、XPSで測定した場合に39.0%O、2.6%N、25.1%C、33.2%Siの組成を有し、XRRで測定した場合に1.55g/ccの密度を有していた。
例14:炭素ドープケイ素含有膜の後堆積UV処理
例10で説明したように、環状ハローカルボシラン前駆体1,1,3,3−テトラクロロジシラシクロブタンから複数のケイ素含有膜を堆積した。窒素源としてアンモニアを使用して、その後、ホットプレート上において3時間周辺大気で現場外熱アニールを行い、膜を堆積した。堆積とアニールの両方の温度は300℃であった。トラップした水及び最終的なヒドロキシルを膜から取り除くために、膜にUVアニールを行った。UVアニールは、Applied Materials Precision−5000システム上に設置された広帯域のUV電球を備えるフュージョンUVシステムを使用して行った。試料を、10Torr未満の1つ又は複数の圧力かつ400℃未満の1つ又は複数の温度で、ヘリウムガス流の下で保持した。UVアニールの前後においてWoollamのエリプソメータシステム上で厚さ及び反射率を測定した。中抵抗率のp型ウエハ上でHgプローブ技術を使用して誘電率を決定した。
Figure 0006585724
Figure 0006585724
表XIIIでは、UVを照射することでの誘電率及び収縮の比較を示し、表XIVでは、対応する密度及び組成を示している。UVを3分間照射した試料は操作中に損傷して、したがって、表XIVの(該当なし)で示されるように、密度測定値を取得していない。1分間以上UV照射することで、有意により低い誘電率と、より高い膜密度をもたらす幾らかの膜の収縮とを示したが、膜内の炭素含有量は影響を受けているようには見えなかった。
例15:炭素ドープケイ素含有膜の後堆積真空アニール
例10で説明したように、環状ハローカルボシラン1,1,3,3−テトラクロロジシラシクロブタン前駆体からケイ素含有膜を堆積した。アンモニアプロセスを使用し、その後、ホットプレート上において3時間周辺大気で現場外アニール工程を行って、膜を堆積した。堆積及びアニールの両方の温度は300℃であった。次いで、膜内の最終的なヒドロキシル基を取り除くために、600℃で1時間、膜を真空アニールした(<0.001Torr)。膜厚が9〜10%収縮し、反射率が1.55から1.50に低下した。図2に示される膜のIRスペクトルは、真空アニール後に減少した870cm-1でのSi−OHバンドを示している。
例16:炭素ドープケイ素含有膜のPEALD
商業的なPEALD反応器を使用して、300℃の基材温度において、環状ハローカルボシラン1,1,3,3−テトラクロロジシラシクロブタンと、アンモニアと、不活性ガスとを使用してケイ素含有膜を堆積した。不活性プラズマを使用して膜密度を改善した。表XVに示される堆積工程は以下のとおりである。
Figure 0006585724
プロセス工程3〜6を複数回繰り返して所望の膜厚を得て、その後工程7を行った。得られた膜は1.82g/ccの膜密度と、XPSで測定した場合に29.5%O、14%N、20.8%C、34.6%Si、1.1%Clの組成と、希釈HF中で測定した場合に0.19Å/秒のウェットエッチ速度とを有していた。膜内の高レベルの酸素含有量は周辺空気にさらされたためであると考えられる。
例17:炭素ドープケイ素含有膜の段差被覆率
例10で説明したように、環状ハローカルボシラン前駆体1,1,3,3−テトラクロロジシラシクロブタンからケイ素含有膜を、表面特徴を有するSiパターン化ウエハ上に堆積した。表面特徴の構造アスペクト比は1:10であり、1ミクロンの開口と10ミクロンの深さとを持つ。図3a〜3cに示されるように、断面は堆積膜から作られており、それを走査電子顕微鏡で観測した。表面特徴のアスペクト比は1:10(1ミクロンの開口)であり、(上部)構造の上部が3aに示され、(中間部)構造の側壁が3bで示され、及び(底部)構造の底部が3cで示されている。断面のSEM画像は膜の約100%の表面被覆率を示している。
例18:炭素ドープケイ素含有膜のALD堆積の間のプラズマ高密度化処理
300℃の基材温度において、ALDサイクル毎に、環状ハローカルボシラン前駆体1,1,3,3−テトラクロロジシラシクロブタンと、アンモニアと、不活性プラズマ高密度化工程とを使用してケイ素含有膜を堆積し、間欠的な膜処理が、得られた膜の幾つかの特性、例えば、密度を改善することができることを示した。堆積工程を以下の表XVIに示した。
Figure 0006585724
表XVIのプロセス工程3〜8を1000回繰り返して所望の厚さのケイ素含有膜を得た。得られた膜は、XPSで測定した場合に7.1%O、48.0%N、5.3%C、39.3%Si及び0.3%Clを含有し、2.6g/ccの比較的高い密度を有していた。膜内の高レベルの酸素含有量は周辺空気にさらされたためであると考えられる。
例19:熱ALDプロセスにおいてエチレンジアミンを使用して堆積した炭素ドープケイ素含有膜
300℃の温度において、環状カルボシラン前駆体としての1,1,3,3−テトラクロロ−1,3−ジシラシクロブタンと、エチレンジアミンとからケイ素含有膜を堆積した。前駆体を70℃の源温度での蒸気吸引を使用して輸送し、窒素源を蒸気吸引を使用して30℃で輸送した。
Figure 0006585724
プロセス工程3〜14を複数回繰り返して所望の膜厚を得た。得られた膜は1.56の反射率を有していた。膜密度は1.55g/ccであった。膜組成は、XRR及びXPSで測定した場合に33.7%C、6.4%N、24.4%N、34.3%O、1.2%Clであった。膜内の高レベルの酸素含有量は周辺空気にさらされたためと考えられる。エチレンジアミンプロセス(33.7%C)についての炭素含有量は、アンモニアプロセス(24.7%)よりも高かった。
比較例1:SiCl4及びアンモニアを使用して堆積したケイ素含有膜
表IVで説明したプロセス工程を使用して、300℃において、環状カルボシラン前駆体の代わりのテトラ塩化ケイ素(SiCl4)と、窒素源としてのアンモニアとからケイ素含有膜を堆積した。得られた膜は250nmの厚さを有し、1.70の反射率を有していた。
15秒間希釈HFに入れた後に膜は完全にエッチングされ、それは、16.7Å/秒超の極めて高いエッチ速度と解釈できる。
比較例2:ビス(トリクロロシリル)メタン及びアンモニアを使用して堆積した炭素ドープケイ素含有膜
表IVで説明したプロセス工程を使用して、300℃及び500℃において、前駆体ビス(トリクロロシリル)メタンと、直鎖状カルボシランと、窒素源としてのアンモニアとからケイ素含有膜を堆積した。膜を、堆積後およそ1週間希釈HFにさらして、そのウェットエッチ速度を測定した。XVIII及びXIXは、膜の組成のデータと、反射率と、ウェットエッチ速度(WER)とを示す。例9での環状カルボシランと比較して、直鎖状カルボシランを使用して堆積した膜は、極めて高いWERと、極めて低い炭素含有量とを示した。
Figure 0006585724
Figure 0006585724
比較例3:ビス(トリクロロシリル)メタン及びアンモニアを使用し、その後に現場外後堆積処理を行って堆積した炭素ドープケイ素含有膜
表IVで説明したプロセス工程を使用して、300℃において、環状カルボシランの代わりのビス(トリクロロシリル)メタンと、アンモニアとからケイ素含有膜を堆積した。次いで、堆積膜に、300℃で3時間、周辺環境で現場外アニールを行った。得られた膜は、57.9%O、2.4%N、11.9%C及び27.7%Siの組成を有していた。希釈HF中の膜のWERは0.17Å/秒であった。同一の実験条件下で例9及び10のもののような環状カルボシラン前駆体で堆積した膜と比較して、炭素含有量は極めて低く、WERは極めて高かった。
比較例4:ビス(トリクロロシリル)メタン(BTCSM)を使用した炭素ドープケイ素含有膜のALD堆積の間のプラズマ高密度化処理
ビス(トリクロロシリル)メタンと、窒素源としてのアンモニアとを使用し、ALD堆積の最後に現場での不活性プラズマ高密度化工程を行って、Si含有膜を堆積した。プロセス温度は300℃であった。堆積工程は表XXIに以下のように説明される。
Figure 0006585724
プロセス工程3〜6を複数回繰り返して、その後工程7を行い、所望の厚さのケイ素含有膜を得た。堆積膜は9Å/秒超の希釈HFでのWERを有し、それは、同一の堆積条件下において例16で環状カルボシランからのケイ素含有膜より極めて高かった。
本発明は、以下の態様を含んでいる。
(1)ケイ素含有膜を堆積するための組成物であって、
1−クロロ−1,3−ジシラシクロブタン、1−ブロモ−1,3−ジシラシクロブタン、1−ヨード−1,3−ジシラシクロブタン、1,3−ジクロロ−1,3−ジシラシクロブタン、1,3−ジブロモ−1,3−ジシラシクロブタン、1,3−ジヨード−1,3−ジシラシクロブタン、1,1−ジクロロ−1,3−ジシラシクロブタン、1,1−ジブロモ−1,3−ジシラシクロブタン、1,1−ジヨード−1,3−ジシラシクロブタン、1,1,3,3−テトラクロロ−1,3−ジシラシクロブタン、1,1,3,3−テトラブロモ−1,3−ジシラシクロブタン、1,1,3,3−テトラヨード−1,3−ジシラシクロブタン、1,3−ジクロロ−1,3−ジメチル−1,3−ジシラシクロブタン、1,1,3,3,5,5−ヘキサクロロ−1,3,5−トリシラシクロヘキサン、1,1,3,3−テトラクロロ−1,3,5−トリシラシクロヘキサン、及び1,3,5−トリクロロ−1,3,5−トリシラシクロヘキサンからなる群より選択される環状ハローカルボシランと、
1,3−ビス(ジメチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、1,3−ビス(ジエチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、及び1−(ジメチルアミノ)−1,3,3−トリクロロ−1,3−ジシラシクロブタンからなる群より選択される環状ハローアミノカルボシランと、
1,1,3,3−テトラキス(メチルアミノ)−1,3−ジシラシクロブタン、1,1,3,3−テトラキス(ジメチルアミノ)−1,3−ジシラシクロブタン、1,3−ビス(ジメチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、1,3−ビス(メチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、及び1,3−ビス(イソ−プロピルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタンからなる群より選択される環状アミノカルボシランと
からなる群より選択される少なくとも1つの前駆体を含む、組成物。
(2)炭素ドープ酸化ケイ素膜及び炭素ドープ酸窒化ケイ素膜から選択される炭素ドープケイ素含有膜を堆積するための方法であって、
基材を反応器中に設置する工程と、
約25〜約700℃の範囲の1つ又は複数の温度に前記反応器を加熱する工程と、
環状ハローカルボシラン、環状ハローアミノカルボシラン、環状アミノカルボシラン、及びそれらの組み合わせからなる群より選択される少なくとも1つの環状カルボシラン前駆体を導入する工程と、
前記少なくとも1つの環状カルボシラン前駆体と反応して、炭素ドープ窒化ケイ素膜を形成するのに十分な条件下で、窒素源を導入する工程と、
任意選択で、前記炭窒化ケイ素膜を酸素源にさらして、約100〜1000℃の範囲の1つ又は複数の温度で、前記炭窒化ケイ素膜を前記膜に変換する工程と
を含む、方法。
(3)熱アニール、プラズマ、紫外線光照射、レーザー照射、電子ビーム照射、及びそれらの組み合わせからなる群より選択される少なくとも1つで、前記ケイ素含有膜を処理することをさらに含む、(2)に記載の方法。
(4)前記環状カルボシランが、1−クロロ−1,3−ジシラシクロブタン、1−ブロモ−1,3−ジシラシクロブタン、1−ヨード−1,3−ジシラシクロブタン、1,3−ジクロロ−1,3−ジシラシクロブタン、1,3−ジブロモ−1,3−ジシラシクロブタン、1,3−ジヨード−1,3−ジシラシクロブタン、1,1−ジクロロ−1,3−ジシラシクロブタン、1,1−ジブロモ−1,3−ジシラシクロブタン、1,1−ジヨード−1,3−ジシラシクロブタン、1,1,3,3−テトラクロロ−1,3−ジシラシクロブタン、1,1,3,3−テトラブロモ−1,3−ジシラシクロブタン、1,1,3,3−テトラヨード−1,3−ジシラシクロブタン、1,3−ジクロロ−1,3−ジメチル−1,3−ジシラシクロブタン、1,1,3,3,5,5−ヘキサクロロ−1,3,5−トリシラシクロヘキサン、1,1,3,3−テトラクロロ−1,3,5−トリシラシクロヘキサン、及び1,3,5−トリクロロ−1,3,5−トリシラシクロヘキサンからなる群より選択される環状ハローカルボシランを含む、(2)に記載の方法。
(5)前記環状カルボシランが、1,3−ビス(ジメチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、1,3−ビス(ジエチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、及び1−(ジメチルアミノ)−1,3,3−トリクロロ−1,3−ジシラシクロブタンからなる群より選択される環状ハローアミノカルボシランを含む、(2)に記載の方法。
(6)前記環状カルボシランが、1,1,3,3−テトラキス(メチルアミノ)−1,3−ジシラシクロブタン、1,1,3,3−テトラキス(ジメチルアミノ)−1,3−ジシラシクロブタン、1,3−ビス(ジメチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、1,3−ビス(メチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、及び1,3−ビス(イソ−プロピルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタンからなる群より選択される環状アミノカルボシランを含む、(2)に記載の方法。
(7)前記膜が、XPSで測定した場合に、約10原子量%以上の炭素含有量を含む、(2)に記載の方法。
(8)前記膜が、希フッ酸で測定した場合に、約0.15Å/秒以下のウェットエッチを含む、(2)に記載の方法。
(9)炭化ケイ素膜及び炭素ドープ窒化ケイ素膜から選択されるケイ素含有膜を堆積するための方法であって、
半導体基材を反応器中に設置する工程と、
約25〜約700℃の範囲の1つ又は複数の温度に前記反応器を加熱し、任意選択で、100torr以下の圧力で前記反応器を維持する工程と、
環状ハローカルボシラン、環状ハローアミノカルボシラン、及びそれらの組み合わせからなる群より選択される少なくとも1つの環状カルボシランを導入する工程と、
前記カルボシラン前駆体と反応して、前記膜を形成するのに十分な条件下で、窒素含有プラズマ源を前記反応器に導入する工程と
を含む、方法。
(10)前記窒素含有プラズマ源が、窒素プラズマ、窒素及びヘリウム含有プラズマ、窒素及びアルゴン含有プラズマ、アンモニアプラズマ、アンモニア及びヘリウム含有プラズマ、アンモニア及びアルゴン含有プラズマ、ヘリウムプラズマ、アルゴンプラズマ、ネオンプラズマ、水素プラズマ、水素及びヘリウム含有プラズマ、水素及びアルゴン含有プラズマ、有機アミンプラズマ、有機ジアミンプラズマ、並びにそれらの組み合わせからなる群より選択される、(9)に記載の方法。
(11)熱アニール、プラズマ処理、紫外線光照射、レーザー照射、電子ビーム照射、及びそれらの組み合わせからなる群より選択される少なくとも1つで、前記ケイ素含有膜を処理することをさらに含む、(9)に記載の方法。
(12)前記反応器中の酸素源に前記膜をさらして、前記ケイ素含有膜を酸化ケイ素膜又は炭素ドープ酸化ケイ素膜に変換することをさらに含む、(9)に記載の方法。
(13)前記酸素源が、水蒸気、水プラズマ、含酸素水、含酸素水蒸気、酸素、酸素プラズマ、酸素及びヘリウム含有プラズマ、酸素及びアルゴン含有プラズマ、窒素酸化物含有プラズマ、二酸化炭素含有プラズマ、過酸化水素、有機過酸化物、並びにそれらの組み合わせからなる群より選択される、(12)に記載の方法。
(14)前記環状カルボシランが、1−クロロ−1,3−ジシラシクロブタン、1−ブロモ−1,3−ジシラシクロブタン、1−ヨード−1,3−ジシラシクロブタン、1,3−ジクロロ−1,3−ジシラシクロブタン、1,3−ジブロモ−1,3−ジシラシクロブタン、1,3−ジヨード−1,3−ジシラシクロブタン、1,1−ジクロロ−1,3−ジシラシクロブタン、1,1−ジブロモ−1,3−ジシラシクロブタン、1,1−ジヨード−1,3−ジシラシクロブタン、1,1,3,3−テトラクロロ−1,3−ジシラシクロブタン、1,1,3,3−テトラブロモ−1,3−ジシラシクロブタン、1,1,3,3−テトラヨード−1,3−ジシラシクロブタン、1,3−ジクロロ−1,3−ジメチル−1,3−ジシラシクロブタン、1,1,3,3,5,5−ヘキサクロロ−1,3,5−トリシラシクロヘキサン、1,1,3,3−テトラクロロ−1,3,5−トリシラシクロヘキサン、及び1,3,5−トリクロロ−1,3,5−トリシラシクロヘキサンからなる群より選択される環状ハローカルボシランを含む、(12)に記載の方法。
(15)前記環状カルボシランが、1,3−ビス(ジメチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、1,3−ビス(ジエチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、及び1−(ジメチルアミノ)−1,3,3−トリクロロ−1,3−ジシラシクロブタンからなる群より選択される環状ハローアミノカルボシランを含む、(12)に記載の方法。

Claims (16)

  1. ケイ素含有膜を堆積するための組成物であって
    ,3−ビス(ジメチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、1,3−ビス(ジエチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、及び1−(ジメチルアミノ)−1,3,3−トリクロロ−1,3−ジシラシクロブタンからなる群より選択される環状ハローアミノカルボシランと、
    1,1,3,3−テトラキス(メチルアミノ)−1,3−ジシラシクロブタン、1,1,3,3−テトラキス(ジメチルアミノ)−1,3−ジシラシクロブタン、1,3−ビス(ジメチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、1,3−ビス(メチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、及び1,3−ビス(イソ−プロピルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタンからなる群より選択される環状アミノカルボシランと
    からなる群より選択される少なくとも1つの前駆体を含む、組成物。
  2. 炭素ドープ酸化ケイ素膜及び炭素ドープ酸窒化ケイ素膜から選択される炭素ドープケイ素含有膜を堆積するための方法であって、
    基材を反応器中に設置する工程と、
    〜700℃の範囲の1つ又は複数の温度に前記反応器を加熱する工程と、
    1,3−ビス(ジメチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、1,3−ビス(ジエチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、及び1−(ジメチルアミノ)−1,3,3−トリクロロ−1,3−ジシラシクロブタンからなる群より選択される環状ハローアミノカルボシランと、
    1,1,3,3−テトラキス(メチルアミノ)−1,3−ジシラシクロブタン、1,1,3,3−テトラキス(ジメチルアミノ)−1,3−ジシラシクロブタン、1,3−ビス(ジメチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、1,3−ビス(メチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、及び1,3−ビス(イソ−プロピルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタンからなる群より選択される環状アミノカルボシランと
    からなる群より選択される少なくとも1つの環状カルボシラン前駆体を導入する工程と、
    前記少なくとも1つの環状カルボシラン前駆体と反応して、炭素ドープ窒化ケイ素膜を形成するのに十分な条件下で、窒素源を導入する工程と、
    任意選択で、前記炭素ドープ窒化ケイ素膜を酸素源にさらして、100〜1000℃の範囲の1つ又は複数の温度で、前記炭素ドープ窒化ケイ素膜を前記炭素ドープケイ素含有膜に変換する工程と
    を含む、方法。
  3. アニール、プラズマ、紫外線光照射、レーザー照射、電子ビーム照射、及びそれらの組み合わせからなる群より選択される少なくとも1つで、前記炭素ドープケイ素含有膜を処理することをさらに含む、請求項2に記載の方法。
  4. 前記環状カルボシランが、1,3−ビス(ジメチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、1,3−ビス(ジエチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、及び1−(ジメチルアミノ)−1,3,3−トリクロロ−1,3−ジシラシクロブタンからなる群より選択される環状ハローアミノカルボシランを含む、請求項2に記載の方法。
  5. 前記環状カルボシランが、1,1,3,3−テトラキス(メチルアミノ)−1,3−ジシラシクロブタン、1,1,3,3−テトラキス(ジメチルアミノ)−1,3−ジシラシクロブタン、1,3−ビス(ジメチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、1,3−ビス(メチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、及び1,3−ビス(イソ−プロピルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタンからなる群より選択される環状アミノカルボシランを含む、請求項2に記載の方法。
  6. 前記炭素ドープケイ素含有膜が、XPSで測定した場合に、10原子量%以上の炭素含有量を含む、請求項2に記載の方法。
  7. 前記炭素ドープケイ素含有膜が、希フッ酸で測定した場合に、0.15Å/秒以下のウェットエッチ速度を含み、前記希フッ酸が1:99の49%HF及びDI水の浴である、請求項2に記載の方法。
  8. 炭化ケイ素膜及び炭素ドープ窒化ケイ素膜から選択されるケイ素含有膜を堆積するための方法であって、
    半導体基材を反応器中に設置する工程と、
    〜700℃の範囲の1つ又は複数の温度に前記反応器を加熱し、任意選択で、100torr以下の圧力で前記反応器を維持する工程と、
    1,3−ビス(ジメチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、1,3−ビス(ジエチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、及び1−(ジメチルアミノ)−1,3,3−トリクロロ−1,3−ジシラシクロブタンからなる群より選択される少なくとも1つの環状カルボシランを導入する工程と、
    前記カルボシラン前駆体と反応して、前記ケイ素含有膜を形成するのに十分な条件下で、窒素含有プラズマ源を前記反応器に導入する工程と
    を含む、方法。
  9. 前記窒素含有プラズマ源が、窒素プラズマ、窒素及びヘリウム含有プラズマ、窒素及びアルゴン含有プラズマ、アンモニアプラズマ、アンモニア及びヘリウム含有プラズマ、アンモニア及びアルゴン含有プラズマ、有機アミンプラズマ、有機ジアミンプラズマ、並びにそれらの組み合わせからなる群より選択される、請求項に記載の方法。
  10. アニール、プラズマ処理、紫外線光照射、レーザー照射、電子ビーム照射、及びそれらの組み合わせからなる群より選択される少なくとも1つで、前記ケイ素含有膜を処理することをさらに含む、請求項に記載の方法。
  11. 酸素源に前記ケイ素含有膜をさらして、前記ケイ素含有膜を酸化ケイ素膜又は炭素ドープ酸化ケイ素膜に変換することをさらに含む、請求項に記載の方法。
  12. 前記酸素源が、水蒸気、水プラズマ、含酸素水、含酸素水蒸気、酸素、酸素プラズマ、酸素及びヘリウム含有プラズマ、酸素及びアルゴン含有プラズマ、窒素酸化物含有プラズマ、二酸化炭素含有プラズマ、過酸化水素、有機過酸化物、並びにそれらの組み合わせからなる群より選択される、請求項11に記載の方法。
  13. 炭素ドープ酸化ケイ素膜を堆積するための方法であって、
    基材を反応器中に設置する工程と、
    1,3−ビス(ジメチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、1,3−ビス(ジエチルアミノ)−1,3−ジクロロ−1,3−ジシラシクロブタン、及び1−(ジメチルアミノ)−1,3,3−トリクロロ−1,3−ジシラシクロブタンからなる群より選択される環状ハローアミノカルボシランと、
    1,1,3,3−テトラキス(メチルアミノ)−1,3−ジシラシクロブタン、1,1,3,3−テトラキス(ジメチルアミノ)−1,3−ジシラシクロブタン、1,3−ビス(ジメチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、1,3−ビス(メチルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタン、及び1,3−ビス(イソ−プロピルアミノ)−1,3−ジメチル−1,3−ジシラシクロブタンからなる群より選択される環状アミノカルボシランと
    からなる群から選択される少なくとも1つの環状カルボシラン前駆体を導入する工程と、
    前記少なくとも1つの環状カルボシラン前駆体と反応して、炭素ドープ窒化ケイ素膜を形成するのに十分な条件下で、窒素源を導入する工程と、
    前記炭素ドープ窒化ケイ素膜を前記炭素ドープ酸化ケイ素膜に変換するのに十分な条件下で、前記炭素ドープ窒化ケイ素膜を酸素源にさらす、さらし工程と
    を含む、方法。
  14. 前記さらし工程が、前記酸素源の存在下で前記炭素ドープ窒化ケイ素膜を熱アニールすることを含む、請求項13に記載の方法。
  15. 前記酸素源が、空気及び水のうち少なくとも1つを含む、請求項14に記載の方法。
  16. 前記炭素ドープ酸化ケイ素を水素含有プラズマで処理することをさらに含む、請求項13に記載の方法。
JP2017541257A 2015-02-06 2016-02-04 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法 Active JP6585724B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562113024P 2015-02-06 2015-02-06
US62/113,024 2015-02-06
US201562142546P 2015-04-03 2015-04-03
US62/142,546 2015-04-03
PCT/US2016/016514 WO2016126911A2 (en) 2015-02-06 2016-02-04 Compositions and methods using same for carbon doped silicon containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019161923A Division JP7048548B2 (ja) 2015-02-06 2019-09-05 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法

Publications (3)

Publication Number Publication Date
JP2018506185A JP2018506185A (ja) 2018-03-01
JP2018506185A5 JP2018506185A5 (ja) 2018-04-26
JP6585724B2 true JP6585724B2 (ja) 2019-10-02

Family

ID=55436159

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2017541257A Active JP6585724B2 (ja) 2015-02-06 2016-02-04 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
JP2019161923A Active JP7048548B2 (ja) 2015-02-06 2019-09-05 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
JP2021196975A Pending JP2022031313A (ja) 2015-02-06 2021-12-03 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
JP2023164716A Pending JP2023182658A (ja) 2015-02-06 2023-09-27 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2019161923A Active JP7048548B2 (ja) 2015-02-06 2019-09-05 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
JP2021196975A Pending JP2022031313A (ja) 2015-02-06 2021-12-03 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
JP2023164716A Pending JP2023182658A (ja) 2015-02-06 2023-09-27 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法

Country Status (9)

Country Link
US (1) US10145008B2 (ja)
EP (2) EP3460827B1 (ja)
JP (4) JP6585724B2 (ja)
KR (4) KR102058595B1 (ja)
CN (1) CN107406978B (ja)
IL (1) IL253746B (ja)
SG (2) SG10202012631SA (ja)
TW (1) TWI585230B (ja)
WO (1) WO2016126911A2 (ja)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI585230B (zh) * 2015-02-06 2017-06-01 氣體產品及化學品股份公司 用於碳摻雜的含矽膜的組合物及其方法
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
SG11202001450UA (en) 2017-09-12 2020-03-30 Applied Materials Inc Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
WO2019058477A1 (ja) * 2017-09-21 2019-03-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
US10510852B2 (en) * 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
JP6806719B2 (ja) * 2018-01-17 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10714331B2 (en) * 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20210047966A (ko) * 2018-09-24 2021-04-30 버슘머트리얼즈 유에스, 엘엘씨 실리콘 및 질소 함유 막의 제조 방법
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US20210380418A1 (en) * 2018-10-05 2021-12-09 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing film
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020112782A1 (en) * 2018-11-27 2020-06-04 Versum Materials Us, Llc 1-methyl-1-iso-propoxy-silacycloalkanes and dense organosilica films made therefrom
JP6957442B2 (ja) 2018-11-30 2021-11-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11756786B2 (en) 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
WO2020163359A1 (en) * 2019-02-05 2020-08-13 Versum Materials Us, Llc Deposition of carbon doped silicon oxide
JP2022542582A (ja) * 2019-07-25 2022-10-05 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のためのシラシクロアルカンを含む組成物及びその組成物を使用する方法
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
WO2021153986A1 (ko) * 2020-01-31 2021-08-05 주식회사 유피케미칼 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP2023531513A (ja) * 2020-06-23 2023-07-24 インテグリス・インコーポレーテッド ケイ素前駆体化合物及びケイ素含有膜を形成するための方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100267605B1 (ko) * 1992-09-24 2000-10-16 안자이 이치로 파이프 조인트
US5415126A (en) * 1993-08-16 1995-05-16 Dow Corning Corporation Method of forming crystalline silicon carbide coatings at low temperatures
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
JP5218765B2 (ja) * 2006-03-29 2013-06-26 Jsr株式会社 ポリマーの製造方法、ポリマー、ポリマー膜形成用組成物、ポリマー膜の形成方法およびポリマー膜
US7998536B2 (en) * 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
KR100923775B1 (ko) * 2007-11-30 2009-10-27 한국과학기술연구원 폴리염화카보실란의 제조방법
WO2009123032A1 (ja) * 2008-04-02 2009-10-08 Jsr株式会社 含ケイ素重合体を含む組成物およびその硬化物
US8241624B2 (en) 2008-04-18 2012-08-14 Ecolab Usa Inc. Method of disinfecting packages with composition containing peracid and catalase
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR20110084517A (ko) * 2008-10-20 2011-07-25 다우 코닝 코포레이션 Cvd 전구체
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
KR101366002B1 (ko) * 2010-04-09 2014-02-21 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US8440571B2 (en) * 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
JP6043546B2 (ja) * 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9643844B2 (en) 2013-03-01 2017-05-09 Applied Materials, Inc. Low temperature atomic layer deposition of films comprising SiCN or SiCON
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9343293B2 (en) 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
TWI585230B (zh) * 2015-02-06 2017-06-01 氣體產品及化學品股份公司 用於碳摻雜的含矽膜的組合物及其方法

Also Published As

Publication number Publication date
JP2023182658A (ja) 2023-12-26
JP7048548B2 (ja) 2022-04-05
US10145008B2 (en) 2018-12-04
EP3460827B1 (en) 2022-05-25
JP2019220713A (ja) 2019-12-26
KR20220027287A (ko) 2022-03-07
TW201629255A (zh) 2016-08-16
WO2016126911A3 (en) 2016-11-24
WO2016126911A2 (en) 2016-08-11
IL253746A0 (en) 2017-09-28
KR102650626B1 (ko) 2024-03-21
SG11201706257YA (en) 2017-08-30
KR20240042186A (ko) 2024-04-01
US20180023192A1 (en) 2018-01-25
EP3254303B1 (en) 2018-12-05
TWI585230B (zh) 2017-06-01
CN107406978A (zh) 2017-11-28
JP2022031313A (ja) 2022-02-18
KR102058595B1 (ko) 2019-12-23
SG10202012631SA (en) 2021-01-28
IL253746B (en) 2021-10-31
JP2018506185A (ja) 2018-03-01
EP3254303A2 (en) 2017-12-13
KR20190141034A (ko) 2019-12-20
KR20170115590A (ko) 2017-10-17
CN107406978B (zh) 2019-12-03
EP3460827A1 (en) 2019-03-27

Similar Documents

Publication Publication Date Title
JP7048548B2 (ja) 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
JP7153100B2 (ja) 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法
JP7025534B2 (ja) シリコン含有膜堆積用の組成物及び方法
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
JP6959304B2 (ja) ケイ素及び窒素を含有する膜を製造する方法
JP6999620B2 (ja) 高い炭素含有量を有する炭素ドープ酸化ケイ素膜および炭化ケイ素膜の製造方法
EP3902939A1 (en) Deposition of carbon doped silicon oxide
TWI750577B (zh) 用於含矽膜的組合物及使用其的方法
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
TWI761838B (zh) 熱沉積含矽膜的組合物及方法
TW202311273A (zh) 矽前驅物
JP2022071591A (ja) ハロゲンアミノジシラン化合物、シリコン含有薄膜形成用組成物およびシリコン含有薄膜
KR20190114874A (ko) 실리콘 박막 형성용 전구체 및 이를 이용한 실리콘 함유 박막 형성 방법 및 상기 실리콘 함유 박막을 포함하는 반도체 소자.

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180314

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180314

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190212

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190718

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190806

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190905

R150 Certificate of patent or registration of utility model

Ref document number: 6585724

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250