CN107406978A - 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法 - Google Patents

用于碳掺杂含硅膜的组合物以及使用所述组合物的方法 Download PDF

Info

Publication number
CN107406978A
CN107406978A CN201680019197.0A CN201680019197A CN107406978A CN 107406978 A CN107406978 A CN 107406978A CN 201680019197 A CN201680019197 A CN 201680019197A CN 107406978 A CN107406978 A CN 107406978A
Authority
CN
China
Prior art keywords
bis
silacyclobutanes
film
plasma
chloro
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680019197.0A
Other languages
English (en)
Other versions
CN107406978B (zh
Inventor
H·钱德拉
K·S·卡西尔
A·马利卡朱南
雷新建
M·R·麦克唐纳
萧满超
M·B·拉奥
李建恒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN107406978A publication Critical patent/CN107406978A/zh
Application granted granted Critical
Publication of CN107406978B publication Critical patent/CN107406978B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0605Carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02354Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文描述了用于在沉积工艺中形成含硅膜的组合物以及使用所述组合物的方法,所述含硅膜例如是但不限于碳掺杂氧化硅膜、碳掺杂氮化硅、碳掺杂氮氧化硅膜。在一个方面,所述组合物至少包含具有至少一个Si‑C‑Si键和选自卤素原子、氨基及其组合的至少一个锚定基团的环状碳硅烷。

Description

用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
相关申请的交叉引用
本申请要求分别于2015年2月6日提交的美国临时申请No.62/113,024和2015年4月3日提交的美国临时申请No.62/142,546的优先权权益。这些临时申请的公开内容通过引用全文并入本文。
背景技术
本文描述的是用于制造电子设备的组合物和方法。更具体地,本文描述的是用于沉积含硅膜的化合物,包含所述化合物的组合物和包括所述化合物的方法,所述含硅膜例如是但不限于碳掺杂氧化硅膜、碳掺杂氮化硅膜、碳掺杂氮氧化硅膜或碳化硅膜。
本领域需要提供用于沉积用于电子行业中的某些应用的高碳含量(例如,通过X射线光电子能谱(XPS)测量为约10原子%或更大的碳含量)含硅膜的组合物和使用所述组合物的方法。
美国专利No.8,575,033描述了在衬底表面上沉积碳化硅膜的方法。所述方法包括使用气相碳硅烷前体,并且可以采用等离子体增强原子层沉积工艺。
美国公布No.2013/022496教导了通过原子层沉积(ALD)在半导体衬底上形成具有Si-C键的介电膜的方法,包括:(i)在衬底的表面上吸附前体;(ii)使吸附的前体与反应物气体在表面上反应;和(iii)重复步骤(i)和(ii)以在衬底上形成具有至少Si-C键的介电膜。
美国公布No.2014/302688描述了用于在图案化衬底上形成介电层的方法,其可以包括在化学气相沉积室内的无等离子体衬底处理区域中结合含硅和含碳前体与自由基氧前体。含硅和含碳前体与自由基氧前体反应以在图案化衬底上沉积可流动硅-碳-氧层。
美国公布No.2014/302690描述了在衬底上形成低k介电材料的方法。所述方法可包括以下步骤:通过将未激发前体流入远程等离子体区域而产生自由基前体,和使自由基前体与气相硅前体反应以在衬底上沉积可流动膜。气相硅前体可以包括至少一种含硅和含氧化合物和至少一种硅-和-碳接头。可流动膜可以被固化以形成低k介电材料。
美国公布No.2014/051264描述了在衬底上沉积初始可流动的介电膜的方法。所述方法包括将含硅前体引入到含有衬底的沉积室中。所述方法还包括使用位于沉积室外部的远程等离子体系统产生至少一种激发前体,例如自由基氮或氧前体。激发前体也被引入沉积室,在那里其在反应区中与含硅前体反应,在衬底上沉积初始可流动的膜。可流动膜可以在例如蒸汽环境中被处理以形成氧化硅膜。
PCT申请No.WO14134476A1描述了用于沉积包含SiCN和SiCON的膜的方法。某些方法包括将衬底表面暴露于第一和第二前体,第一前体具有式(XyH3-ySi)zCH4-z,(XyH3-ySi)(CH2)(SiXpH2-p)(CH2)(SiXyH3-y),或(XyH3-ySi)(CH2)n(SiXyH3-y),其中X是卤素,y具有1和3之间的值,z具有1和3之间的值,p具有0和2之间的值,并且n具有2和5之间的值,并且第二前体包含还原性胺。某些方法还包括将衬底表面暴露于氧源以提供包含SiCON的膜。
题为“Highly Stable Ultrathin Carbosiloxane Films byMoleculaRLayeRDeposition”的参考文献(Han,Z.等,Journal of Physical Chemistry C,2013,117,19967)教导了使用1,2-双[(二甲基氨基)二甲基甲硅烷基]乙烷和臭氧生长碳硅氧烷膜。热稳定性显示膜在至多40℃下稳定,在60℃下具有很少的厚度损失。
发明内容
本文所述的组合物和方法通过提供用于沉积具有一种或多种以下性质的含硅膜的组合物或制剂而克服现有技术的问题:在稀氢氟酸(HF)(例如,1:99 49%HF和DI水浴)中测量的约0.20埃/秒或更小的蚀刻速率,和通过X射线光谱(XPS)测量的约10原子重量%(原子%)或更大的碳含量。在一个具体实施方式中,本文所述的组合物可以用于使用热原子层沉积(ALD)工艺沉积碳掺杂氧化硅膜的方法。
在一个方面,用于沉积含硅膜的组合物包含具有下式I和II及至少一个锚定基团的至少一种环状碳硅烷前体化合物:
其中X1,X2,X3,X4,X5和X6各自独立地选自氢原子;选自F,Cl,Br和I的卤素原子;具有式NR1R2的氨基,其中R1和R2独立地选自氢原子,C1至C10直链烷基,C3至C10支链烷基,C3至C10环烷基,C3至C10烯基,C4至C10芳基和C4至C10杂环基。在式I、II或I和II二者的一些实施方式中,取代基X1,X2,X3,X4,X5和X6中的任何一个或多个连接以形成取代或未取代的饱和或不饱和的环状基团。在式I、II或I和II二者的一个具体实施方式中,取代基X1,X2,X3,X4,X5和X6中的任何一个或多个是卤素原子或如上文描述的氨基。在式II的某些实施方式中,X1,X2,X3,X4,X5和X6不可以全部为氨基。在式I或II的某些实施方式中,具有式NR1R2的氨基中的R1和R2连接在一起形成环。在一个具体实施方式中,R1和R2选自直链或支链C3至C6烷基并连接以形成环状环。在具有氨基的式I或II的替代性实施方式中,R1和R2不连接在一起形成环。在具有氨基的式I和II的其它实施方式中,R1和R2不同。
在式I和II的某些实施方式中,用于形成含硅膜的组合物包含至少一种环状卤代碳硅烷化合物,其中取代基X1,X2,X3,X4,X5,X6中的至少一个是作为锚定基团的选自F,Cl,Br和I的卤素原子,并且取代基X1,X2,X3,X4,X5,X6中没有一个是氨基。示例性环状卤代碳硅烷包括但不限于1-氯-1,3-二硅杂环丁烷,1-溴-1,3-二硅杂环丁烷,1-碘-1,3-二硅杂环丁烷,1,3-二氯-1,3-二硅杂环丁烷,1,3-二溴-1,3-二硅杂环丁烷,1,3-二碘-1,3-二硅杂环丁烷,1,1-二氯-1,3-二硅杂环丁烷,1,1-二溴-1,3-二硅杂环丁烷,1,1-二碘-1,3-二硅杂环丁烷,1,1,3,3-四氯-1,3-二硅杂环丁烷,1,1,3,3-四溴-1,3-二硅杂环丁烷,1,1,3,3-四碘-1,3-二硅杂环丁烷,1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷,1,1,3,3,5,5-六氯-1,3,5-三硅杂环己烷,1,1,3,3-四氯-1,3,5-三硅杂环己烷和1,3,5-三氯-1,3,5-三硅杂环己烷。
在式I和II的某些实施方式中,用于形成含硅膜的组合物包含至少一种环状卤代氨基碳硅烷化合物,其中取代基X1,X2,X3,X4,X5,X6中的至少一个是选自F,Cl,Br和I的卤素原子,并且取代基X1,X2,X3,X4,X5,X6中的至少一个是作为锚定基团的氨基。示例性环状卤代氨基碳硅烷化合物包括但不限于1,3-双(二甲基氨基)-1,3-二氯-1,3-二硅杂环丁烷,1,3-双(二乙基氨基)-1,3-二氯-1,3-二硅杂环丁烷和1-(二甲基氨基)-1,3,3-三氯-1,3-二硅杂环丁烷。
在式I和II的某些实施方式中,用于形成含硅膜的组合物包含至少一种环状氨基碳硅烷化合物,其包含取代基X1,X2,X3,X4,X5,X6,其中至少一个是作为锚定基团的氨基,并且取代基X1,X2,X3,X4,X5,X6中没有一个是卤素原子。示例性环状氨基碳硅烷包括但不限于1,1,3,3-四(甲基氨基)-1,3-二硅杂环丁烷,1,1,3,3-四(二甲基氨基)-1,3-二硅杂环丁烷,1,3-双(二甲基氨基)-1,3-二甲基-1,3-二硅杂环丁烷,1,3-双(甲基氨基)-1,3-二甲基-1,3-二硅杂环丁烷和1,3-双(异丙基氨基)-1,3-二甲基-1,3-二硅杂环丁烷。
在另一方面,提供了用于在衬底的至少表面上沉积选自碳掺杂氧化硅膜和碳掺杂氮氧化硅膜的膜的方法,其包括:
将所述衬底置于反应器中;
将所述反应器加热到约25℃至约700℃范围内的一个或多个温度;
向所述反应器中引入包含选自环状卤代碳硅烷化合物、环状卤代氨基碳硅烷化合物及其组合的至少一种化合物的前体;
向所述反应器中引入氮源以与所述前体的至少一部分反应以形成碳掺杂氮化硅膜;和
在足以将所述碳掺杂氮化硅膜转化成所述膜的条件下,在约25℃至1000℃或约100℃至400℃范围内的一个或多个温度下用氧源处理所述碳掺杂氮化硅膜。在某些实施方式中,碳掺杂氧化硅膜或碳掺杂氮氧化硅膜具有通过XPS测量的约10原子重量百分比(原子%)或更大的碳含量,和在稀HF中约或更小的蚀刻速率。
附图说明
图1提供了实施例11所述使用前体1,3-二氯-1,3-(二甲基)氨基-1,3-二硅杂环丁烷沉积的膜的傅里叶变换红外光谱(FTIR)谱图。
图2提供了如实施例15所述的在真空退火前(实线)和后(虚线)的FTIR谱图的比较。
图3a至3c提供了如实施例17所述的沉积含硅膜的横截面扫描电子显微镜(SEM)图像。
具体实施方式
本文描述的是环状碳硅烷前体化合物及经由沉积工艺例如但不限于热原子层沉积工艺沉积碳掺杂(例如,通过XPS测量的约10原子%或更大的碳含量)含硅膜的包含所述环状碳硅烷前体化合物的组合物和方法。使用本文所述的组合物和方法沉积的膜表现出极低蚀刻速率(例如,在稀HF中约或更小或者约或更小),同时表现出其它可调节性质的可变性,例如但不限于密度、介电常数、折射率和元素组成。
在某些实施方式中,本文所述的环状碳硅烷前体以及使用所述环状碳硅烷前体的方法以下列方式赋予所描述的特征中的一个或多个。首先,如此沉积的反应性碳掺杂氮化硅膜是使用包含Si-C-Si键的环状碳硅烷前体和氮源形成。据信来自环状碳硅烷前体的Si-C-Si键保留在所得如此沉积的膜中,并提供通过XPS测量的至少10原子%或更高的高碳含量。第二,在将如此沉积的膜在沉积工艺过程中间歇地暴露于氧源(例如水)作为沉积后处理或沉积工艺与沉积后处理的组合时,膜中的氮含量的至少一部分或全部被转化为氧以提供选自碳掺杂氧化硅膜或碳掺杂氮氧化硅膜的膜。如此沉积的膜中的氮作为一种或多种含氮副产物如氨或胺基释放。在该实施方式或其它实施方式中,最终的膜是多孔的,并且具有约1.7克/立方厘米(g/cc)或更小的密度和在稀HF中测量的或更小的湿蚀刻速率。
在一个方面,组合物包含具有下式I和II及选自卤素原子、氨基及其组合的至少一个锚定基团的至少一种环状碳硅烷:
其中X1,X2,X3,X4,X5和X6各自独立地选自氢原子;选自F,Cl,Br和I的卤素原子;具有式NR1R2的氨基,其中R1和R2独立地选自氢原子,C1-10直链烷基,C3-10支链烷基,C3-10环烷基,C3-10烯基,C4-10芳基和C4-10杂环基。在式I、II或I和II二者的一些实施方式中,取代基X1,X2,X3,X4,X5和X6中的任何一个或多个连接以形成取代或未取代的饱和或不饱和的环状基团。在式I、II或I和II二者的一个具体实施方式中,取代基X1,X2,X3,X4,X5和X6中的任何一个或多个是卤素原子或上文描述的氨基。在式II的某些实施方式中,X1,X2,X3,X4,X5和X6不可以全部为氨基。在式I或II的某些实施方式中,其中具有式NR1R2的氨基中的R1和R2连接在一起形成环。在一个具体实施方式中,R1和R2选自直链或支链C3至C6烷基并连接以形成环状环。在式I或II的替代性实施方式中,R1和R2不连接在一起形成环。在其它实施方式中,R1和R2不同。
如前所述,本文所述具有式I和II的环状碳硅烷前体包含至少一个锚定基团。术语“锚定基团”表示可以与衬底表面上的羟基或其它反应性位点例如但不限于NH或NH2反应的不稳定基团。示例性锚定基团包括但不限于卤素原子(例如,Cl、Br和I)和氨基。术语“氨基”表示衍生自具有式HNR1R2的有机胺的具有1至10个碳原子的有机氨基,其中R1和R2如上所述。示例性氨基包括但不限于衍生自仲胺的仲氨基,例如二甲基氨基(Me2N-),二乙基氨基(Et2N-)和二异丙基氨基(iPr2N-);或衍生自伯胺的伯氨基,例如甲基氨基(MeNH-),乙基氨基(EtNH-),异丙基氨基(iPrNH-),仲丁基氨基(sBuNH-)和叔丁基氨基(tBuNH-)。
在上述式和整个说明书中,术语“烷基”表示具有1至10或2至4个碳原子的直链或支链官能团。示例性直链烷基包括但不限于甲基,乙基,正丙基,正丁基,正戊基和己基。示例性支链烷基包括但不限于异丙基,异丁基,仲丁基,叔丁基,异戊基,叔戊基和异己基。在某些实施方式中,烷基可以具有与其连接的一个或多个官能团,例如但不限于烷氧基,二烷基氨基或其组合。在其它实施方式中,烷基不具有与其连接的一个或多个官能团。
在上述式和整个说明书中,术语“环烷基”表示具有3至10或4至10个碳原子的环状官能团。示例性环烷基包括但不限于环丁基,环戊基,环己基和环辛基。
在上述式和整个说明书中,术语“烯基”表示具有一个或多个碳碳双键且具有2至10或2至6个碳原子的基团。示例性烯基包括但不限于乙烯基或烯丙基
在上述式和整个说明书中,术语“炔基”表示具有一个或多个碳碳三键且具有2至10或2至6个碳原子的基团。
在上述式和整个说明书中,术语“芳基”表示具有4至10个碳原子,5至10个碳原子或6至10个碳原子的芳族环状官能团。示例性芳基包括但不限于苯基,苄基,氯苄基,甲苯基,邻二甲苯基,1,2,3-三唑基,吡咯基和呋喃基,哒嗪基,嘧啶基,吡嗪基和咪唑基。
在某些实施方式中,具有本文所述式I或II的环状碳硅烷前体包含作为其锚定基团的至少一个卤素原子且不含氨基。参照式I或II,这些化合物具有作为锚定基团的选自F,Cl,Br和I的卤素原子,其作为其取代基X1,X2,X3,X4,X5,X6中的至少一个,并且取代基X1,X2,X3,X4,X5,X6中没有一个是氨基。这些前体在本文中称为环状卤代碳硅烷化合物。某些卤代碳硅烷化合物的实例例如在下表I中提供:
表I:具有作为锚定基团的至少一个卤素基团的环状碳硅烷(环状卤代碳硅烷)
在某些实施方式中,本文所述具有式I或II的环状碳硅烷前体包含作为其锚定基团的至少一个卤素原子和至少氨基且不含氨基。参照式I或II,这些化合物具有作为锚定基团的选自F,Cl,Br和I的卤素原子和至少氨基,其作为其取代基X1,X2,X3,X4,X5,X6中的至少一个。这些前体在本文中称为环状卤代氨基碳硅烷化合物。某些卤代碳硅烷化合物的实例例如在下表II中提供:
表II:具有作为锚定基团的至少一个卤素和至少一个氨基的环状碳硅烷(环状卤代氨基碳硅烷)
在某些实施方式中,本文所述的具有式I或II的环状碳硅烷前体包含作为其锚定基团的至少一个氨基且不含卤素原子。参照式I或II,这些化合物具有作为锚定基团的氨基,其作为其取代基X1,X2,X3,X4,X5,X6中的至少一个,并且取代基X1,X2,X3,X4,X5,X6中没有一个是卤素原子。这些前体在本文中称为环状氨基碳硅烷化合物。某些氨基碳硅烷化合物的实例例如在下表III中提供:
表III:具有作为锚定基团的至少一个氨基的环状碳硅烷(环状氨基碳硅烷)
如前所述,含硅膜沉积在衬底如半导体衬底的至少一个表面上。在本文所述的方法中,衬底可以由本领域公知的各种材料构成和/或被本领域公知的各种材料涂布,包括硅,氧化硅,氮化硅,无定形碳,碳氧化硅,氮氧化硅,碳化硅,锗,锗掺杂硅,金属(如铜、钨、铝、钴、镍、钽),金属氮化物如(氮化钛、氮化钽),金属氧化物,III/V族金属或类金属例如GaAs、InP、GaP和GaN及其组合的膜。这些涂层可以完全涂布半导体衬底,可以是各种材料的多个层,并且可以被部分蚀刻以暴露下面的材料层。表面也可以在其上沉积已经以图案暴露并显影以部分涂布衬底的光致抗蚀剂材料。在某些实施方式中,半导体衬底包含选自孔隙,通孔,沟槽及其组合的至少一种表面特征。
用于形成含硅膜或涂层的沉积方法是沉积工艺。用于本文公开的方法的适合沉积工艺的实例包括但不限于化学气相沉积或原子层沉积工艺。如本文所用,术语“化学气相沉积工艺”是指其中衬底暴露于一种或多种挥发性前体的任何工艺,所述挥发性前体在衬底表面上反应和/或分解以产生期望的沉积。如本文所用,术语“原子层沉积工艺”是指自限式(例如,在每个反应周期中沉积的膜材料的量是恒定的)连续表面化学过程,其将材料的膜沉积到不同组成的衬底上。尽管本文使用的前体、试剂和源有时可以被描述为“气态的”,但应理解,前体可以是液体或固体,其通过直接蒸发、鼓泡或升华在惰性气体存在或不存在的情况下输送到反应器中。在一些情况下,蒸发的前体可以通过等离子体发生器。
在一个实施方式中,使用ALD工艺沉积含硅膜。在另一个实施方式中,使用CCVD工艺沉积含硅膜。在又一个实施方式中,使用热ALD工艺沉积含硅膜。如本文所用,术语“反应器”包括但不限于反应室或沉积室。
在某些实施方式中,本文公开的方法通过使用在将前体引入反应器之前和/或期间将前体分离的ALD或CCVD方法而避免前体的预反应。在这个方面,使用沉积技术如ALD或CCVD工艺沉积含硅膜。在一个实施方式中,通过将衬底表面交替地暴露于一种或多种含硅前体、氧源、含氮源或其它前体或试剂,在典型的单晶片ALD反应器、半分批式ALD反应器或分批式炉ALD反应器中通过ALD工艺沉积膜。膜生长通过表面反应的自限式控制、每种前体或试剂的脉冲长度以及沉积温度而进行。然而,一旦衬底表面饱和,则膜生长停止。在另一个实施方式中,包括环状碳硅烷和反应性气体的每种反应物通过将衬底移动或旋转到反应器的不同区段而暴露于衬底,并且每个区段通过惰性气体幕分隔,即空间ALD反应器或辊对辊ALD反应器。
取决于沉积方法,在某些实施方式中,本文所述的环状碳硅烷前体和任选地其它含硅前体可以以预定摩尔体积或约0.1至约1000微摩尔引入反应器中。在这个或其他实施方式中,前体可以引入反应器中预定的时间段。在某些实施方式中,该时间段在约0.001至约500秒范围内。
在某些实施方式中,使用本文所述方法沉积的含硅膜使用氧源、包含氧的试剂或前体在氧的存在下形成。氧源可以以至少一种氧源的形式引入反应器中,和/或可以附带地存在于沉积工艺中使用的其它前体中。适合的氧源气体可包括例如水(H2O)(例如,去离子水,纯化水,蒸馏水,水蒸气,水蒸气等离子体,含氧水,包含水和其它有机液体的组合物),氧气(O2),氧等离子体,臭氧(O3),一氧化氮(NO),二氧化氮(NO2),一氧化碳(CO),包含水的等离子体,包含水和氩的等离子体,过氧化氢,包含氢的组合物,包含氢和氧的组合物,二氧化碳(CO2),空气及其组合。在某些实施方式中,氧源包括以约1至约2000标准立方厘米(sccm)或约1至约1000sccm范围内的流速引入反应器中的氧源气体。氧源可以引入约0.1至约100秒范围内的时间。
在其中通过ALD或循环CVD工艺沉积膜的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,并且氧源可以具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。
在某些实施方式中,氧源连续流入反应器中,同时依次引入前体脉冲和等离子体。前体脉冲可以具有大于0.01秒的脉冲持续时间,而等离子体持续时间可以在0.01秒至100秒范围内。
在某些实施方式中,含硅膜包含硅和氮和任选地氧。在这些实施方式中,使用本文所述方法沉积的含硅膜在含氮源的存在下形成。含氮源可以以至少一种氮源的形式引入反应器中,和/或可以附带地存在于沉积过程中使用的其它前体中。
适合的含氮源或氮源气体可以包括例如氨,肼,单烷基肼,对称或不对称二烷基肼,氮,NO,N2O,NO2,包含氮和氢的组合物,氨等离子体,氮等离子体,包含氨和氮的等离子体,包含氮和氢的等离子体,有机胺等离子体,有机二胺等离子体及其组合。在其中有机胺等离子体或有机二胺等离子体用作含氮源的实施方式中,示例性有机胺等离子体包括但不限于二乙胺等离子体,二甲胺等离子体,三甲基等离子体,三甲胺等离子体,烷氧基胺等离子体,甲胺,乙胺,乙二胺,乙醇胺,哌嗪,N,N'-二甲基乙二胺,咪唑烷,环三亚甲基三胺及其组合。
在某些实施方式中,氮源以约1至约2000标准立方厘米(sccm)或约1至约1000sccm范围的流速引入反应器中。含氮源可以引入约0.1至约100秒范围内的时间。在其中通过使用氮源和氧源二者的ALD或循环CVD工艺沉积膜的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且氮源可以具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在又一个实施方式中,脉冲之间的吹扫持续时间可以低至0秒,或者连续脉冲而没有其间的吹扫。
在某些实施方式中,一个或多个方法步骤在催化剂的存在下进行。在这个或其它实施方式中,催化剂选自路易斯碱如吡啶,哌嗪,氨或其它有机胺。
本文公开的沉积方法可涉及一种或多种吹扫气体。用于吹扫未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性吹扫气体包括但不限于氩气(Ar),氮气(N2),氦气(He),氖气,氢气(H2)及其组合。在某些实施方式中,吹扫气体如Ar以约10至约2000sccm范围内的流速在约0.1至1000秒内供应到反应器中,由此吹扫可能保留在反应器中的未反应的材料和任何副产物。
供应前体,氧源,含氮源和/或其它前体、源气体和/或试剂的相应步骤可以通过改变供应它们的时间而进行,以改变所得膜的化学计量组成。
将能量施加到前体,含氮源,还原剂,其它前体或其组合中的至少一种以引起反应并在衬底上形成膜或涂层。这样的能量可以由但不限于热,等离子体,脉冲等离子体,螺旋波等离子体,高密度等离子体,电感耦合等离子体,X射线,电子束,光子,远程等离子体方法及其组合提供。
在某些实施方式中,次级RF频率源可用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体发生工艺可以包括直接等离子体发生工艺,其中等离子体在反应器中直接发生,或者远程等离子体发生工艺,其中等离子体在反应器外部发生并供应到反应器中。
环状碳硅烷前体和/或其它含硅前体可以以各种方式递送到反应室,例如CVD或ALD反应器。在一个实施方式中,可以使用液体递送系统。在替代性实施方式中,可以使用组合的液体递送和闪蒸工艺单元,例如由MSP Corporation,Shoreview,MN制造的涡轮蒸发器,以使低挥发性材料能够被定量地(volumetrically)递送,这导致可重复的运输和沉积而不使前体热分解。在液体递送制剂中,本文所述的前体可以以纯液体形式递送,或者可以以溶剂制剂或包含溶剂制剂的组合物使用。因此,在某些实施方式中,前体制剂可以包含具有适合特性的一种或多种溶剂组分,如可以在给定最终用途应用中在衬底上形成膜期望和有利的适合特性。
在这个或其他实施方式中,应理解,本文所述方法的步骤可以以各种顺序进行,可以按顺序地或同时地(例如,在另一步骤的至少一部分的过程中)进行,及以其任何组合形式进行。供应前体和含氮源气体的相应步骤可以通过改变供应它们的持续时间进行,以改变所得含硅膜的化学计量组成。
在本文所述方法的又一个实施方式中,对膜或如此沉积的膜进行处理步骤。处理步骤可以在沉积步骤的至少一部分的过程中,在沉积步骤之后及以其组合方式进行。示例性处理步骤包括但不限于通过热退火的处理;等离子体处理;紫外(UV)光处理;激光;电子束处理及其组合,以影响膜的一个或多个性质。当与在相同条件下用以前公开的碳硅烷前体沉积的膜相比时,用本文所述的环状碳硅烷前体沉积的膜具有改进的性质,例如但不限于低于处理步骤前的膜湿蚀刻速率的湿蚀刻速率,高于处理步骤前的密度的密度,或膜内一种或多种杂质的减少。在一个具体实施方式中,在沉积工艺过程中,间歇地处理如此沉积的膜。这些间歇性或沉积中处理可以例如在每个ALD循环之后,在每隔特定数量的ALD循环之后,例如但不限于两(2)个ALD循环,五(5)个ALD循环,或者每十(10)个或更多个ALD循环之后进行。
在其中用热退火步骤处理膜的实施方式中,退火温度为至少100℃或高于沉积温度。在这个或其他实施方式中,热退火温度在约400℃至约1000℃范围内。在替代性实施方式中,退火温度与沉积温度相同或在沉积温度上下100℃内。在这个或其他实施方式中,热退火处理可以在真空(<760托)或惰性环境中进行。
在其中用UV处理来处理膜的实施方式中,将膜暴露于宽谱带的UV,或者具有约150纳米(nm)至约400nm范围内的波长的UV源。在一个具体实施方式中,在达到期望膜厚度之后,将如此沉积的膜在与沉积室不同的室中暴露于UV。
在其中用等离子体处理膜的实施方式中,等离子体源选自氮等离子体,包含氮和氦的等离子体,包含氮和氩的等离子体,氨等离子体,包含氨和氦的等离子体,包含氨和氩的等离子体,氦等离子体,氩等离子体,氖等离子体,氢等离子体,包含氢和氦的等离子体,包含氢和氩的等离子体,有机胺等离子体,有机二胺等离子体及其组合。在一个具体实施方式中,等离子体包含选自氦气,氖气,氩气,氪气及其组合的惰性气体。
在一个具体实施方式中,所述方法沉积选自碳掺杂氧化硅膜、碳掺杂氮化硅膜和碳掺杂氮氧化硅膜的含硅膜,其包括以下步骤:
将一个或多个衬底置于反应器中;
将所述反应器加热到约25℃至约700℃范围内的一个或多个温度,和任选地维持在100托或更低的压力下;
引入选自环状卤代碳硅烷和环状卤代氨基碳硅烷的至少一种环状碳硅烷;
引入氮源以与化学吸附的前体反应以形成碳掺杂氮化硅膜;和
在约环境温度(例如,25℃)至1000℃或约100℃至400℃范围内的一个或多个温度下将所述碳掺杂氮化硅膜暴露于氧源,以将所述碳掺杂氮化硅膜转化为碳掺杂氧化硅膜或碳掺杂氮氧化硅膜。
在本文所述方法的另一个实施方式中,使用热ALD工艺沉积含硅膜。在该实施方式中,所述方法包括:
将一个或多个衬底置于反应器中;
将所述反应器加热到环境温度(例如,25℃)至约700℃范围内的一个或多个温度,和任选地维持在100托或更低的压力下;
引入选自环状卤代碳硅烷和环状卤代氨基碳硅烷的至少一种环状碳硅烷;
向所述反应器中提供氧源和任选地催化剂以与表面反应而形成如此沉积的膜,其中所述催化剂包含路易斯碱;和
任选地在高于所述加热温度的一个或多个温度下退火所述如此沉积的膜,其中退火步骤在约400℃至约1000℃范围内的一个或多个温度下进行;
任选地在约环境温度至约1000℃或约100℃至400℃范围内的一个或多个温度下用氧源对所述如此沉积的膜进行沉积后处理,以提供具有密度的膜;和
任选地将所述如此沉积的膜暴露于UV源;和
任选地用稀有气体或包含氢的等离子体对经加工的膜进行沉积后处理。
在本文所述方法的又另一个实施方式中,使用具有包含有机胺的氮源的热ALD工艺沉积含硅膜。在该实施方式中,所述方法包括:
将包含表面特征的一个或多个衬底置于反应器中;
将所述反应器加热到环境温度至约700℃范围内的一个或多个温度,和任选地将所述反应器维持在100托或更低的压力下;
向所述反应器中引入选自环状卤代碳硅烷、环状卤代氨基碳硅烷和环状氨基碳硅烷的至少一种环状碳硅烷;
向所述反应器中提供氮源以与前体反应而形成如此沉积的碳氮化硅膜;和
任选地对所述如此沉积的膜进行沉积后退火;
任选地在约环境温度至1000℃或约100℃至400℃范围内的一个或多个温度下用氧源对碳掺杂氮化硅膜进行沉积后处理,以将所述碳掺杂氮化硅膜转化为碳掺杂氧化硅膜,其中氧源选自水蒸气,水等离子体,含氧水,含氧水蒸汽,氧,氧等离子体,氧/氦等离子体,氧/氩等离子体,氮氧化物等离子体,二氧化碳等离子体,过氧化氢,有机过氧化物,一氧化二氮,臭氧,臭氧水及其组合;和
任选地将所述碳掺杂氧化硅膜暴露于UV光源。在这个或其他实施方式中,UV暴露步骤可以在膜沉积过程中进行,或者一旦沉积完成就进行。
在本文所述方法的又一个实施方式中,使用等离子体ALD工艺沉积碳掺杂氧化硅膜,氮氧化硅膜,碳掺杂氮氧化硅膜,碳掺杂氮化硅或碳化硅膜。在该实施方式中,所述方法包括:
将包含选自孔隙、通孔或沟槽或其组合的表面特征的一个或多个衬底置于反应器中;
将所述反应器加热到环境温度至约700℃范围内的一个或多个温度,和任选地将所述反应器维持在100托或更低的压力下;
向所述反应器中引入选自环状卤代碳硅烷、环状卤代氨基碳硅烷和环状氨基碳硅烷的至少一种环状碳硅烷;
向所述反应器中提供等离子体源以与前体反应而形成碳氮化硅膜,其中所述等离子体源选自氮等离子体,包含氮和氦的等离子体,包含氮和氩的等离子体,氨等离子体,包含氨和氦的等离子体,包含氨和氩的等离子体,氦等离子体,氩等离子体,氖等离子体,氢等离子体,包含氢和氦的等离子体,包含氢和氩的等离子体,有机胺等离子体,有机二胺等离子体及其组合;和
任选地在约400℃至1000℃范围内的一个或多个温度下对如此沉积的膜进行沉积后热退火;
任选地在约环境温度至约1000℃或约100℃至约400℃范围内的一个或多个温度下用氧源对碳掺杂氮化硅膜进行沉积后处理,以将所述碳掺杂氮化硅膜转化为碳掺杂氧化硅膜,其中所述氧源是选自水蒸气,水等离子体,含氧水,含氧水蒸汽,氧,氧等离子体,氧/氦等离子体,氧/氩等离子体,氮氧化物等离子体,二氧化碳等离子体,过氧化氢,有机过氧化物及其组合中的至少一种;和
任选地将经加工的膜沉积后暴露于UV光源;和
任选地用稀有气体或包含氢的等离子体对经加工的膜进行沉积后处理,以改善膜性质中的至少一种。
在本文所述方法的又另一个实施方式中,使用等离子体ALD工艺沉积碳掺杂氧化硅,氮氧化硅,碳掺杂氮氧化硅膜,碳掺杂氮化硅或碳化硅。在该实施方式中,所述方法包括:
将包含选自孔隙、通孔或沟槽或其组合的表面特征的一个或多个衬底置于反应器中;
将所述反应器加热到25℃至约650℃范围内的一个或多个温度,和任选地将所述反应器维持在10托或更低的压力下;
向所述反应器中引入选自环状卤代碳硅烷、环状卤代氨基碳硅烷和环状氨基碳硅烷的至少一种环状碳硅烷;
向所述反应器中提供等离子体源以与样品表面反应而形成碳氮化硅膜,其中所述等离子体源选自氮等离子体,包含氮和氦的等离子体,包含氮和氩的等离子体,氨等离子体,包含氨和氦的等离子体,包含氨和氩的等离子体,氦等离子体,氩等离子体,氖等离子体,氢等离子体,包含氢和氦的等离子体,包含氢和氩的等离子体,有机胺等离子体,有机二胺及其组合;和
任选地对如此沉积的膜进行沉积后热退火;
任选地在约环境温度(例如,25℃)至约1000℃范围内的一个或多个温度下用氧源对碳掺杂氮化硅膜进行沉积后处理,其中所述氧源选自水蒸气,水等离子体,含氧水,含氧水蒸汽,氧,氧等离子体,氧/氦等离子体,氧/氩等离子体,氮氧化物等离子体,二氧化碳等离子体,过氧化氢,有机过氧化物及其组合;和
任选地将经加工的膜沉积后暴露于UV光;和
任选地用选自稀有气体或含氢等离子体的等离子体对经加工的膜进行沉积后处理。
在本文所述方法的又另一个实施方式中,使用热ALD工艺和惰性等离子体沉积碳掺杂氧化硅膜,氮氧化硅膜,碳掺杂氮氧化硅膜或碳掺杂氮化硅膜以改善膜性质。在该实施方式中,所述方法包括:
将包含表面特征的一个或多个衬底置于反应器中;
将反应器加热到25℃至约700℃范围内的一个或多个温度,和任选地将所述反应器维持在100托或更低的压力下;
向所述反应器中引入选自环状卤代碳硅烷、环状卤代氨基碳硅烷和环状氨基碳硅烷的至少一种环状碳硅烷;
向所述反应器中提供氮源以与表面反应而形成碳氮化硅膜;和
提供选自惰性等离子体和氢等离子体的等离子体,其中所述惰性等离子体包含选自氦,氖,氩,氪及其组合中的至少一种;
任选地对膜进行沉积后退火;
任选地在约环境温度至1000℃或约100℃至400℃范围内的一个或多个温度下用氧源对碳掺杂氮化硅膜进行沉积后处理以将所述碳掺杂氮化硅膜转化为碳掺杂氧化硅膜;和
任选地将经加工的膜沉积后暴露于UV光源;和
任选地用稀有气体或含氢等离子体对经加工的膜进行沉积后处理,以改善膜性质中的至少一种。
在本文所述方法的又另一个实施方式中,使用热ALD工艺沉积碳掺杂氧化硅膜,氮氧化硅膜,碳掺杂氮氧化硅膜或碳掺杂氮化硅膜。在该实施方式中,所述方法包括:
放置包含表面特征的一个或多个衬底;
将反应器加热到25℃至约700℃范围内的一个或多个温度,和任选地将所述反应器维持在100托或更低的压力下;
向所述反应器中引入选自环状卤代碳硅烷、环状卤代氨基碳硅烷和环状氨基碳硅烷的至少一种环状碳硅烷;
向所述反应器中提供氮源以与表面反应而形成碳氮化硅膜;和
提供氧源以将碳氮化硅转化为碳掺杂氧氮化硅,其中所述氧源包含选自水蒸气,氧,一氧化二氮,乙二醇,臭氧,氧等离子体,一氧化二氮等离子体,二氧化碳(CO2),一氧化碳(CO),CO2等离子体,CO等离子体,O2等离子体及其组合中的至少一种;
任选在约400℃至约1000℃范围内的一个或多个温度下在真空(<760托)或惰性环境中对如此沉积的膜进行沉积后退火;
任选地在约25℃至1000℃或约100℃至400℃范围内的一个或多个温度下用氧源对碳掺杂氮化硅膜进行沉积后处理,以将所述碳掺杂氮化硅膜转化为碳掺杂氧化硅膜;和
任选地将经加工的膜沉积后暴露于UV光源;和
任选地用稀有气体或含氢等离子体对经处理的膜进行沉积后处理,以改善膜性质中的至少一种。
实施例
通用膜沉积实施例
除非另有规定,膜沉积使用环状碳硅烷前体和氮源氨在实验室规模的原子层沉积(ALD)反应器中进行。ALD循环步骤和工艺条件在下表IV中提供:
表IV:ALD循环步骤和工艺条件
在沉积过程中,将工艺步骤3至10重复至多2000次的大量循环以获得如此沉积的碳掺杂氮化硅膜的期望厚度。对所得的如此沉积的膜进行原位退火(在反应器内在如此沉积的膜上进行的退火)或非原位退火(在室外或在单独的室中的退火)以将膜转化为碳掺杂氧化硅膜。所进行的典型退火条件如下:在200毫托的真空下进行水气退火(moistureannealing);在2托下进行氧退火;或在环境温度(例如,25℃)或约300℃下在热板上进行空气退火。
沉积后使用椭圆偏振计在632.8nm处直接测量折射率和厚度。使用X射线光电子能谱(XPS)在表面下方数纳米(2-5nm)处表征主体膜组成,以消除偶然的碳的影响。使用X射线反射仪(XRR)表征膜密度。
在稀HF(dHF,1:99 49%HF和DI水)下进行湿蚀刻速率工艺。在该工艺过程中,同时蚀刻热氧化物膜以确保蚀刻溶液的一致性。典型的热氧化物WER为
实施例1:1-氯-1,3-二硅杂环丁烷的合成
在填充氮的手套箱中,将1,3-二硅杂环丁烷(15.0g,0.170mol)快速加入到氯化铜(I)(5.61g,0.0567mol)在Et2O(50mL)中的搅拌混合物。将反应物搅拌30分钟,在此期间固体变成深灰/棕色,并逐步释放气态副产物(推测为H2)。过滤反应混合物以除去固体,并通过在1个大气压(atm)的压力下蒸馏而除去溶剂和未反应的起始材料。该过程总共进行5次,和通过真空蒸馏纯化合并的粗产物,以产生在溶液中的20.4克(g)1-氯-1,3-二硅杂环丁烷。通过气相色谱(GC-TCD),质谱(GC-MS)和1H NMR谱鉴定溶液中的1-氯-1,3-二硅杂环丁烷。使用配有HP-5890系列II GC和含有3μm厚SPB-5介质的0.53mm直径×30m Supleco柱的TCD对产物流出物进行气相色谱分析。GC-MS显示出以下峰:122(M+),107(M-15),93,85,81,58和43。
实施例2:1,3-二氯-1,3-二硅杂环丁烷的合成
在填充氮的手套箱中,将氯化铜(II)(89.6g,0.666mol)和碘化铜(I)(1.51g,0.00793mol)混合在一起并在室温下在2小时内缓慢加入到1,3-二硅杂环丁烷(14.0g,0.159mol)在Et2O(300mL)中的搅拌溶液。反应混合物允许通过针刺穿的隔膜排出过量压力。在6小时后,移除排气针,使灰色反应混合物搅拌过夜。通过过滤除去固体,并减压浓缩(低至80托)滤液。获得20.4克淡黄色粗产物,其通过GC-MS测定为含有作为主要成分的1,3-二氯-1,3-二硅杂环丁烷的异构体(顺式和反式)二者的混合物。GC-MS显示以下峰:156(M-1),141,119,105,93,78,63,58和43。
实施例3:1-溴-1,3-二硅杂环丁烷和1,3-二溴-1,3-二硅杂环丁烷的合成
在填充氮的手套箱中,将1,3-二硅杂环丁烷(1.0g,0.011mol)加入到闪烁瓶中的溴化铜(I)(1.6g,0.011mol)在乙醚(5g)中的搅拌混合物,并使反应搅拌过夜。使气态副产物(推测为H2)排出,并通过GC-MS测定溶液为含有1-溴-1,3-二硅杂环丁烷(m/z=166(M+),151(M-15),137,123,109,85,69,59,43)和1,3-二溴-1,3-二硅杂环丁烷(m/z=246(M+),231(M-15),216,202,189,159,137,109,69,58,43)的混合物。
实施例4:1,1,3,3-四溴-1,3-二硅杂环丁烷的合成
在氮的保护下,将乙酰溴(209g,1.70mol)与1,1,3,3-四乙氧基-1,3-二硅杂环丁烷(75g,0.28mol)在AlBr3(1.9g,0.0071mol)的存在下结合,并在室温下搅拌约1个月。在减压下除去未反应的乙酰溴和乙酸乙酯副产物。将剩余的湿固体在戊烷(30mL)中搅拌,然后通过真空过滤收集。通过烧瓶-至-烧瓶真空升华(90℃,150毫托)纯化粗固体,以产生59g无色结晶固体,其通过GC-MS测定为1,1,3,3-四溴-1,3--二硅杂环丁烷。GC-MS显示以下峰:404(M+),376,323,297,267,243,229,202,188,165,149,135,109,81,55,42。熔点(m.p.)=117℃;沸点(b.p.)=252℃。通过X射线衍射分析单晶以证实其结构。
实施例5:1,3-双(二乙基氨基)-1,3-二氯-1,3-二硅杂环丁烷的合成
在氮的保护下,在-20℃下通过加料漏斗将二乙胺(29.5g,0.403mol)在己烷(80mL)中的溶液滴加到1,1,3,3-四氯-1,3-二硅杂环丁烷(40.0g,0.177mol)和三乙胺(44.4g,0.439mol)在己烷(400mL)中的搅拌溶液。将所得白色浆料升温至室温并搅拌过夜。通过过滤除去白色固体,并用己烷(30mL)冲洗两次。将合并的滤液在室温下减压浓缩直至体积保持不变。将粗产物加热到100℃,并真空转移到-78℃的冷阱中,以产生28.6g无色液体,其通过GC-MS测定为1,3-双(二乙基氨基)-1,3-二氯-1,3-二硅杂环丁烷的异构体(顺式和反式)的混合物。GC-MS显示以下峰:298(M-1),283,269,255,239,226,212,198,184,170,157,143,134,121,106,93,72,58,42。b.p.=283℃。
实施例6:1,3-双(二甲基氨基)-1,3-二氯-1,3-二硅杂环丁烷的合成
在氮的保护下,将二甲胺(2.11M,500mL,1.06mol)在THF中的溶液在-20℃下滴加到1,1,3,3-四氯-1,3-二硅杂环丁烷(120.8g,0.535mol)和Et3N(119g,1.18mol)在己烷(1.5L)中的搅拌溶液。将所得白色浆料升温到室温并搅拌过夜。通过过滤除去白色固体,并用己烷(100mL)冲洗两次。在室温下减压除去溶剂直到体积保持不变。通过烧瓶-至-烧瓶真空蒸馏(80℃,150毫托)纯化粗产物,并在冷阱(-15℃)中收集,以产生77g无色结晶固体,其通过GC-MS测定为1,3-双(二甲基氨基)-1,3-二氯-1,3-二硅杂环丁烷的异构体(顺式和反式)的混合物。GC-MS显示以下峰:243(M+),228(M-15),208,199,185,171,157,143,121,106,93,72,63,43。m.p.=6-41℃;b.p.=230℃。反式异构体的单晶在-35℃下从浓缩戊烷溶液生长,并通过X射线衍射进行分析以确认其结构。
实施例7:1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷的合成
在氮的保护下,通过加料漏斗在室温下将乙酰氯(115g,1.46mol)滴加到1,3-二乙氧基-1,3-二甲基-1,3-二硅杂环丁烷(120g,0.587mol)和氯化铁(III)(0.47g,0.0029mol)的搅拌混合物中。反应搅拌14天。挥发物从铁催化剂真空转移走,然后通过真空蒸馏(12托/70℃)纯化,以产生98克无色液体,其通过GC-MS测定为1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷的异构体(顺式和反式)的混合物。GC-MS显示以下峰:184(M-1),169,149,133,93,79,71,63。
实施例8:使用环状碳硅烷前体1,1,3,3-四氯-1,3-二硅杂环丁烷通过热ALD沉积的含硅膜沉积
使用本文表IV中描述的工艺步骤而没有沉积后处理,在300℃和500℃的衬底温度下,从作为环状碳硅烷前体的1,1,3,3-四氯-1,3-二硅杂环丁烷和氨沉积含硅膜。在获得初始折射率和厚度测量后,将样品在室温下储存并间歇地测量。沉积膜的折射率和厚度总结在表V中。表VI显示,在300℃下沉积的膜的折射率随时间降低,24小时后从1.65降低至1.53,表明在室温下由环境引起的氧化过程。24小时后没有观察到进一步的折射率下降。在膜沉积后约一周,获得其它膜性质,如XPS和稀HF中的湿蚀刻速率。这些测试的结果在表VII中提供。
表V:热ALD碳掺杂氧化硅膜的折射率和厚度
表VI:在300℃下沉积的膜在室温下环境中储存后的折射率变化
时间(小时) 折射率
原样沉积的 1.64
24 1.53
48 1.53
表VII:ALD膜的膜组成和WER
参照表VII,XPS数据显示,从环状碳硅烷和氨沉积的碳掺杂氧化硅膜具有很低的氯含量(例如,小于0.5原子%)。在300℃下沉积的膜中检测到少量的氮,而在500℃下沉积的膜具有显著更高的氮含量。据信在300℃的较低沉积温度下,该工艺可以提供更多易于氧化的Si-NH2或Si-NH-Si片段。而在另一方面,在较高的500℃温度下的沉积可以提供足够的能量以形成更耐氧化的Si-Nx网络。
实施例9:使用1,1,3,3-四氯-1,3-二硅杂环丁烷,接着在各种环境中进行非原位退火的碳掺杂含硅膜ALD沉积
使用表IV中描述的工艺步骤,在300℃下从作为环状碳硅烷前体的1,1,3,3-四氯-1,3-二硅杂环丁烷和氨沉积含Si膜。
沉积后,测量折射率和厚度,并将每次沉积的样品分成三(3)个部分。如上所述,在300℃下在环境(空气),氧气(O2)或水(H2O)蒸气下对每个部分进行热退火。在1小时退火后和在随后再进行2小时的另外的退火处理前,测量折射率和厚度。结果在下表VIII中提供。
表VIII:在不同退火环境下300℃下退火的折射率变化
膜沉积生长速率为/循环。表VIII显示在不同条件下退火时的折射率变化。退火后折射率的下降表明膜转化为碳氧化硅(SiO:C)膜。在1小时退火后,该过程接近完成,如进一步退火时的最小折射率变化所指示的。
在沉积后退火后,未检测到1:99HF膜的湿蚀刻速率而热氧化物膜显示的蚀刻速率。使膜经受具有更高HF溶液浓度(10:90 49%HF:DI水)的稀HF。在经受更高HF酸浓度后,未检测到膜蚀刻而比较性热氧化物膜显示的蚀刻速率。
实施例10:通过热ALD沉积的碳掺杂含硅膜的膜组成
使用表IV中描述的工艺步骤,在300℃下从作为环状碳硅烷前体的1,1,3,3-四氯-1,3-二硅杂环丁烷和氨沉积含硅膜。沉积后,测量折射率和厚度,然后将膜分成三(3)个部分。如之前所述,在300℃下在O2、水蒸气或空气下对每个部分进行各自连续3小时非原位热退火。在从反应器中取出如此沉积的膜后,其膜折射率为1.64。在非原位沉积后处理后,折射率下降到1.55-1.57,与表VIII的结果一致。沉积膜的膜组成和密度总结在表IX中。
表IX:退火ALD膜的膜组成和密度
实施例11:通过使用氨和水处理的热ALD沉积的碳掺杂含硅膜
使用表X中描述的工艺步骤从环状卤代氨基碳硅烷前体1,3-二氯-1,3-(二甲基)氨基-1,3-二硅杂环丁烷沉积含Si膜。在每个ALD循环中,SiCNHx表面被转化为SiCOH,因此消除了对沉积后处理的需要。
表X:用于沉积SiOC的ALD循环
在沉积过程中,重复多次工艺步骤3至13以获得期望膜厚度。所得膜的折射率为1.58,每循环生长为/循环。获得样品的IR光谱,并在图1中提供。图1显示沉积的膜主要是SiO:C,且组成为24.3%C,5.0%N,41.0%O,33.6%Si。所得膜在稀HF中具有不可检测的湿蚀刻速率或小于的WER。
实施例12:碳掺杂含Si膜热ALD沉积和在空气中的沉积后非原位退火
使用表IV中描述的工艺步骤,在300℃下从环状卤代氨基碳硅烷1,1,3,3-四氯-1,3-二硅杂环丁烷前体和氨沉积含硅膜。对沉积的膜进行上文描述的非原位沉积后重复退火和表征过程。退火在环境气氛下在300℃的热板上进行。不同退火时间的折射率和厚度变化在表XI中报告。
表XI:在空气下退火的折射率和厚度变化
参照表XI,在环境下进行退火处理后,膜折射率从1.64下降到1.55,表明从氮化硅膜转化为氧化硅膜。
实施例13:通过热ALD沉积和使用氧源的原位后处理的碳掺杂含Si膜
使用表XII中描述的工艺步骤,在300℃下从环状卤代碳硅烷1,1,3,3-四氯-1,3-二硅杂环丁烷前体和氨沉积含硅膜。
表XII:ALD循环和工艺参数
重复多次工艺步骤3至11以获得期望的膜厚度。在ALD循环结束后,将水蒸气引入反应器中,同时将膜原位置于室中以沉积碳氧化硅(SiOC)膜。所得膜通过XPS测量为具有39.0%O,2.6%N,25.1%C,33.2%Si的组成,并且通过XRR测量为具有1.55g/cc的密度。
实施例14:碳掺杂含硅膜的沉积后UV处理
如实施例10所述,从环状卤代碳硅烷前体1,1,3,3-四氯二硅杂环丁烷沉积多个含硅膜。使用氨作为氮源沉积膜,接着在环境气氛中在热板上进行3小时非原位热退火。沉积和退火温度二者均为300℃。为了从膜中除去被捕获的水和末端羟基,对膜进行UV退火。使用安装在Applied Materials Precision-5000系统上的具有宽谱带UV灯泡的Fusion UV系统进行UV退火。将样品在低于10托的一个或多个压力和低于400℃的一个或多个温度下保持在氦气流下。在UV退火之前和之后,在Woollam椭圆偏振计系统上测量厚度和折射率。使用Hg探针技术在中电阻率p型晶片上测定介电常数。
表XIII:UV处理对膜介电常数和收缩率的影响
UV处理(分钟) 厚度收缩率(%) K
无UV处理 0 5.8
1 8 3.2
3 20 3.0
5 13 3.3
10 17 3.7
表XIV:UV处理后的膜组成和密度
UV处理时间(分钟) 密度(g/cc) C(%) N(%) O(%) Si(%) Cl(%)
0(无UV) 1.59 24.7 4.9 27.0 32.5 0.4
1 1.62 20.2 4.1 42.2 33.5 ND
3 N/A 25.2 ND 41.4 33.4 ND
5 1.65 23.8 1.5 40.1 34.6 ND
10 1.77 21.0 3.2 41.5 34.4 ND
表XIII显示UV暴露的情况下的收缩率和介电常数的比较,而表XIV显示相应密度和组成。暴露于3分钟UV的样品在操作期间被损坏,因此如表XIV中的(ND)所示无密度测量结果。UV暴露1分钟或更久显示显著更低的介电常数和导致更高膜密度的一些膜收缩,而膜中的碳含量似乎不受影响。
实施例15:碳掺杂含硅膜的沉积后真空退火
如实施例10所述,从环状卤代碳硅烷1,1,3,3-四氯二硅杂环丁烷前体沉积含硅膜。使用氨工艺沉积膜,接着在环境气氛中在热板上进行3小时非原位退火步骤。沉积和退火温度二者均为300℃。为了除去膜中的末端羟基,然后将膜在600℃下真空退火(<0.001托)1小时。膜厚度缩小9-10%,且折射率从1.55降低到1.50。图2所示的膜的IR光谱显示在真空退火后870cm-1处的Si-OH带降低。
实施例16:碳掺杂含硅膜的PEALD
使用商业PEALD反应器,在300℃衬底温度下使用环状卤代碳硅烷1,1,3,3-四氯二硅杂环丁烷前体、氨和惰性等离子体沉积含硅膜。使用惰性等离子体改善膜密度。表XV中提供的沉积步骤如下:
表XV:沉积步骤和工艺参数
重复多次工艺步骤3至6以获得期望膜厚度,接着是步骤7。所得膜的膜密度为1.82g/cc,通过XPS测量的组成为29.5%O,14%N,20.8%C,34.6%Si,1.1%Cl,且在稀HF中测量的湿蚀刻速率为 据信膜中的高水平氧含量可能是由于暴露于环境空气。
实施例17:碳掺杂含硅膜的阶梯覆盖率
在具有表面特征的Si图案化晶片上,如实施例10所述从环状卤代碳硅烷前体1,1,3,3-四氯二硅杂环丁烷沉积含硅膜。表面特征的结构纵横比为1:10,开口为1微米,深度为10微米。横截面由沉积的膜构成,其在扫描电子显微镜下检查,如图3a至3c所示。表面特征的纵横比为1:10(1微米开口),结构的顶部(顶部)显示于图3a中,结构的侧壁(中部)显示于图3b中;和结构的底部(底部)显示于图3c中。横截面SEM图像显示膜的~100%表面覆盖率。
实施例18:在碳掺杂含硅膜的ALD沉积过程中的等离子体致密化处理
在300℃衬底温度下,在每个ALD循环中,使用环状卤代碳硅烷前体1,1,3,3-四氯二硅杂环丁烷、氨和惰性等离子体致密化步骤沉积含硅膜,证实间歇性膜处理可以改善所得膜的某些性质,如密度。沉积步骤在下表XVI中提供:
表XVI:沉积步骤和工艺参数
重复1000次表XVI中的工艺步骤3至8以获得含硅膜的期望厚度。所得膜通过XPS测量为含有7.1%O,48.0%N,5.3%C,39.3%Si和0.3%Cl,并且具有2.6g/cc的相对高的密度。据信膜中的高水平氧含量可能是由于暴露于环境空气。
实施例19:在热ALD工艺中使用乙二胺沉积的碳掺杂含硅膜
在300℃温度下,从作为环状碳硅烷前体的1,1,3,3-四氯-1,3-二硅杂环丁烷和乙二胺沉积含硅膜。在70℃源温度下使用蒸气抽吸递送前体,同时使用蒸气抽吸在30℃下递送氮源。
表XVII
重复多次工艺步骤3至14以达到期望膜厚度。所得膜的折射率为1.56。膜密度为1.55g/cc。通过XRR和XPS测量,膜组成为33.7%C,6.4%N,24.4%N,34.3%O,1.2%Cl。据信膜中的高水平氧含量可能是由于暴露于环境空气。乙二胺工艺的碳含量(33.7%C)高于氨工艺(24.7%C)。
比较例1:使用SiCl4和氨沉积的含硅膜
使用表IV所述的工艺步骤,在300℃下从四氯化硅(SiCl4)而非环状碳硅烷前体及作为氮源的氨沉积含硅膜。所得膜的厚度为250nm,折射率为1.70。
15秒后,膜在稀HF中被完全蚀刻掉,这说明是非常高的蚀刻速率或大于
比较例2:使用双(三氯甲硅烷基)甲烷和氨沉积的碳掺杂含硅膜
使用表IV所述的工艺步骤,在300℃和500℃下从前体双(三氯甲硅烷基)甲烷,直链碳硅烷和作为氮源的氨沉积含硅膜。在沉积后约一周,使膜经受稀HF以获得其湿蚀刻速率。表XVIII和XIX提供膜的组成数据、折射率和湿蚀刻速率(WER)。与实施例9中的环状碳硅烷相比,使用直链碳硅烷沉积的膜显示高得多的WER和低得多的碳含量。
表XVIII
表XIX:从双(三氯甲硅烷基)甲烷和氨沉积的膜的折射率和湿蚀刻速率
比较实施例3:使用双(三氯甲硅烷基)甲烷和氨沉积接着非原位沉积后处理的碳掺杂含硅膜
使用表IV中描述的工艺步骤,在300℃下从双(三氯甲硅烷基)甲烷而非环状碳硅烷及氨沉积含硅膜。然后在300℃下在环境中对沉积的膜进行3小时非原位退火。所得膜具有57.9%O,2.4%N,11.9%C和27.7%Si的组成。膜在稀HF中的WER为在相同实验条件下,与使用环状碳硅烷前体(如实施例9和10中的那些)沉积的膜相比,碳含量低得多,并且WER高得多。
比较实施例4:使用双(三氯甲硅烷基)甲烷(BTCSM)的碳掺杂含硅膜的ALD沉积过程中的等离子体致密化处理
使用双(三氯甲硅烷基)甲烷和作为氮源的氨沉积含Si膜,接着在ALD沉积结束时进行原位惰性等离子体致密化步骤。工艺温度为300℃。沉积步骤在如下表XXI中描述:
表XXI:沉积步骤和工艺参数
重复多次工艺步骤3至6,接着是步骤7,以提供期望厚度的含硅膜。沉积的膜具有高于的稀HF WER,其比在相同沉积条件下实施例16中来自环状碳硅烷的含硅膜高得多。

Claims (15)

1.一种用于沉积含硅膜的组合物,所述组合物包含选自以下的至少一种前体:
环状卤代碳硅烷,所述环状卤代碳硅烷选自1-氯-1,3-二硅杂环丁烷,1-溴-1,3-二硅杂环丁烷,1-碘-1,3-二硅杂环丁烷,1,3-二氯-1,3-二硅杂环丁烷,1,3-二溴-1,3-二硅杂环丁烷,1,3-二碘-1,3-二硅杂环丁烷,1,1-二氯-1,3-二硅杂环丁烷,1,1-二溴-1,3-二硅杂环丁烷,1,1-二碘-1,3-二硅杂环丁烷,1,1,3,3-四氯-1,3-二硅杂环丁烷,1,1,3,3-四溴-1,3-二硅杂环丁烷,1,1,3,3-四碘-1,3-二硅杂环丁烷,1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷,1,1,3,3,5,5-六氯-1,3,5-三硅杂环己烷,1,1,3,3-四氯-1,3,5-三硅杂环己烷和1,3,5-三氯-1,3,5-三硅杂环己烷;
环状卤代氨基碳硅烷,所述环状卤代氨基碳硅烷选自1,3-双(二甲基氨基)-1,3-二氯-1,3-二硅杂环丁烷,1,3-双(二乙基氨基)-1,3-二氯-1,3-二硅杂环丁烷和1-(二甲基氨基)-1,3,3-三氯-1,3-二硅杂环丁烷;和
环状氨基碳硅烷,所述环状氨基碳硅烷选自1,1,3,3-四(甲基氨基)-1,3-二硅杂环丁烷,1,1,3,3-四(二甲基氨基)-1,3-二硅杂环丁烷,1,3-双(二甲基氨基)-1,3-二甲基-1,3-二硅杂环丁烷,1,3-双(甲基氨基)-1,3-二甲基-1,3-二硅杂环丁烷和1,3-双(异丙基氨基)-1,3-二甲基-1,3-二硅杂环丁烷。
2.一种用于沉积选自碳掺杂氧化硅膜和碳掺杂氮氧化硅膜的碳掺杂含硅膜的方法,所述方法包括:
将衬底置于反应器中;
将所述反应器加热到约25℃至约700℃范围内的一个或多个温度;
引入选自环状卤代碳硅烷、环状卤代氨基碳硅烷、环状氨基碳硅烷及其组合的至少一种环状碳硅烷前体;
在足以使氮源与所述至少一种环状碳硅烷前体反应并形成碳掺杂氮化硅膜的条件下引入所述氮源;和
任选地将所述碳氮化硅膜暴露于氧源,以在约100℃至1000℃范围内的一个或多个温度下将所述碳氮化硅膜转化成所述膜。
3.根据权利要求2所述的方法,其还包括用选自热退火、等离子体、紫外线暴露、激光暴露、电子束暴露及其组合的至少一种来处理所述含硅膜。
4.根据权利要求2所述的方法,其中所述环状碳硅烷包括环状卤代碳硅烷,所述环状卤代碳硅烷选自1-氯-1,3-二硅杂环丁烷,1-溴-1,3-二硅杂环丁烷,1-碘-1,3-二硅杂环丁烷,1,3-二氯-1,3-二硅杂环丁烷,1,3-二溴-1,3-二硅杂环丁烷,1,3-二碘-1,3-二硅杂环丁烷,1,1-二氯-1,3-二硅杂环丁烷,1,1-二溴-1,3-二硅杂环丁烷,1,1-二碘-1,3-二硅杂环丁烷,1,1,3,3-四氯-1,3-二硅杂环丁烷,1,1,3,3-四溴-1,3-二硅杂环丁烷,1,1,3,3-四碘-1,3-二硅杂环丁烷,1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷,1,1,3,3,5,5-六氯-1,3,5-三硅杂环己烷,1,1,3,3-四氯-1,3,5-三硅杂环己烷和1,3,5-三氯-1,3,5-三硅杂环己烷。
5.根据权利要求2所述的方法,其中所述环状碳硅烷包括环状卤代氨基碳硅烷,所述环状卤代氨基碳硅烷选自1,3-双(二甲基氨基)-1,3-二氯-1,3-二硅杂环丁烷,1,3-双(二乙基氨基)-1,3-二氯-1,3-二硅杂环丁烷和1-(二甲基氨基)-1,3,3-三氯-1,3-二硅杂环丁烷。
6.根据权利要求2所述的方法,其中所述环状碳硅烷包括环状氨基碳硅烷,所述环状氨基碳硅烷选自1,1,3,3-四(甲基氨基)-1,3-二硅杂环丁烷,1,1,3,3-四(二甲基氨基)-1,3-二硅杂环丁烷,1,3-双(二甲基氨基)-1,3-二甲基-1,3-二硅杂环丁烷,1,3-双(甲基氨基)-1,3-二甲基-1,3-二硅杂环丁烷,和1,3-双(异丙基氨基)-1,3-二甲基-1,3-二硅杂环丁烷。
7.根据权利要求2所述的方法,其中所述膜包含通过XPS测量的约10原子重量%或更大的碳含量。
8.根据权利要求2所述的方法,其中所述膜包含通过稀氢氟酸测量的约或更小的湿蚀刻。
9.一种用于沉积选自碳化硅膜和碳掺杂氮化硅膜的含硅膜的方法,所述方法包括:
将半导体衬底置于反应器中;
将所述反应器加热到约25℃至约700℃范围内的一个或多个温度,和任选地将所述反应器维持在100托或更低的压力下;
引入选自环状卤代碳硅烷、环状卤代氨基碳硅烷及其组合的至少一种环状碳硅烷;
在足以使含氮等离子体源与所述碳硅烷前体反应并形成所述膜的条件下向所述反应器中引入所述含氮等离子体源。
10.根据权利要求9所述的方法,其中所述含氮等离子体源选自氮等离子体,包含氮和氦的等离子体,包含氮和氩的等离子体,氨等离子体,包含氨和氦的等离子体,包含氨和氩的等离子体,氦等离子体,氩等离子体,氖等离子体,氢等离子体,包含氢和氦的等离子体,包含氢和氩的等离子体,有机胺等离子体,有机二胺等离子体及其组合。
11.根据权利要求9所述的方法,其还包括用选自热退火、等离子体处理、紫外线暴露、激光暴露、电子束暴露及其组合的至少一种来处理所述含硅膜。
12.根据权利要求9所述的方法,其还包括使所述膜暴露于进入所述反应器中的氧源,以将所述含硅膜转化为氧化硅膜或碳掺杂氧化硅膜。
13.根据权利要求12所述的方法,其中所述氧源选自水蒸气,水等离子体,含氧水,含氧水蒸汽,氧,氧等离子体,包含氧和氦的等离子体,包含氧和氩等离子体的等离子体,包含氮氧化物的等离子体,包含二氧化碳的等离子体,过氧化氢,有机过氧化物及其组合。
14.根据权利要求12所述的方法,其中所述环状碳硅烷包括环状卤代碳硅烷,所述环状卤代碳硅烷选自1-氯-1,3-二硅杂环丁烷,1-溴-1,3-二硅杂环丁烷,1-碘-1,3-二硅杂环丁烷,1,3-二氯-1,3-二硅杂环丁烷,1,3-二溴-1,3-二硅杂环丁烷,1,3-二碘-1,3-二硅杂环丁烷,1,1-二氯-1,3-二硅杂环丁烷,1,1-二溴-1,3-二硅杂环丁烷,1,1-二碘-1,3-二硅杂环丁烷,1,1,3,3-四氯-1,3-二硅杂环丁烷,1,1,3,3-四溴-1,3-二硅杂环丁烷,1,1,3,3-四碘-1,3-二硅杂环丁烷,1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷,1,1,3,3,5,5-六氯-1,3,5-三硅杂环己烷,1,1,3,3-四氯-1,3,5-三硅杂环己烷和1,3,5-三氯-1,3,5-三硅杂环己烷。
15.根据权利要求12所述的方法,其中所述环状碳硅烷包括环状卤代氨基碳硅烷,所述环状卤代氨基碳硅烷选自1,3-双(二甲基氨基)-1,3-二氯-1,3-二硅杂环丁烷,1,3-双(二乙基氨基)-1,3-二氯-1,3-二硅杂环丁烷和1-(二甲基氨基)-1,3,3-三氯-1,3-二硅杂环丁烷。
CN201680019197.0A 2015-02-06 2016-02-04 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法 Active CN107406978B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562113024P 2015-02-06 2015-02-06
US62/113,024 2015-02-06
US201562142546P 2015-04-03 2015-04-03
US62/142,546 2015-04-03
PCT/US2016/016514 WO2016126911A2 (en) 2015-02-06 2016-02-04 Compositions and methods using same for carbon doped silicon containing films

Publications (2)

Publication Number Publication Date
CN107406978A true CN107406978A (zh) 2017-11-28
CN107406978B CN107406978B (zh) 2019-12-03

Family

ID=55436159

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680019197.0A Active CN107406978B (zh) 2015-02-06 2016-02-04 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法

Country Status (9)

Country Link
US (1) US10145008B2 (zh)
EP (2) EP3460827B1 (zh)
JP (4) JP6585724B2 (zh)
KR (4) KR102058595B1 (zh)
CN (1) CN107406978B (zh)
IL (1) IL253746B (zh)
SG (2) SG11201706257YA (zh)
TW (1) TWI585230B (zh)
WO (1) WO2016126911A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110872703A (zh) * 2018-08-29 2020-03-10 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN110896023A (zh) * 2018-09-13 2020-03-20 株式会社国际电气 半导体装置的制造方法、基板处理装置及记录介质
CN112805405A (zh) * 2018-09-24 2021-05-14 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN112969818A (zh) * 2018-10-03 2021-06-15 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN113166937A (zh) * 2018-11-27 2021-07-23 弗萨姆材料美国有限责任公司 1-甲基-1-异丙氧基-硅杂环烷烃和由其制备的致密有机硅膜
CN113383108A (zh) * 2019-02-05 2021-09-10 弗萨姆材料美国有限责任公司 碳掺杂氧化硅的沉积
CN114174555A (zh) * 2019-07-25 2022-03-11 弗萨姆材料美国有限责任公司 用于沉积含硅膜的包含硅杂环烷烃的组合物及其使用方法
CN110896023B (zh) * 2018-09-13 2024-07-05 株式会社国际电气 半导体装置的制造方法、基板处理装置及记录介质

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107406978B (zh) * 2015-02-06 2019-12-03 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
WO2019058477A1 (ja) * 2017-09-21 2019-03-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
US10510852B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
JP6806719B2 (ja) * 2018-01-17 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10714331B2 (en) * 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
WO2020072874A1 (en) * 2018-10-05 2020-04-09 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
JP6957442B2 (ja) 2018-11-30 2021-11-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11756786B2 (en) 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
JP2023512674A (ja) * 2020-01-31 2023-03-28 ユーピー ケミカル カンパニー リミテッド シリコン前駆体化合物、これを含むシリコン含有膜形成用組成物及びシリコン含有膜形成方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP2023531513A (ja) * 2020-06-23 2023-07-24 インテグリス・インコーポレーテッド ケイ素前駆体化合物及びケイ素含有膜を形成するための方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102187011A (zh) * 2008-10-20 2011-09-14 陶氏康宁公司 Cvd前体
CN103168344A (zh) * 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
CN103632955A (zh) * 2008-06-02 2014-03-12 气体产品与化学公司 含硅薄膜的低温沉积

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW302063U (en) * 1992-09-24 1997-04-01 Honda Motor Co Ltd Pipe joint
US5415126A (en) * 1993-08-16 1995-05-16 Dow Corning Corporation Method of forming crystalline silicon carbide coatings at low temperatures
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
WO2007119554A1 (ja) * 2006-03-29 2007-10-25 Jsr Corporation ポリマーの製造方法、ポリマー、ポリマー膜形成用組成物、ポリマー膜の形成方法およびポリマー膜
US7998536B2 (en) * 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
KR100923775B1 (ko) * 2007-11-30 2009-10-27 한국과학기술연구원 폴리염화카보실란의 제조방법
KR20100130612A (ko) * 2008-04-02 2010-12-13 제이에스알 가부시끼가이샤 규소 함유 중합체를 포함하는 조성물 및 그의 경화물
US8241624B2 (en) 2008-04-18 2012-08-14 Ecolab Usa Inc. Method of disinfecting packages with composition containing peracid and catalase
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5374638B2 (ja) * 2010-04-09 2013-12-25 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
JP6043546B2 (ja) * 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9643844B2 (en) 2013-03-01 2017-05-09 Applied Materials, Inc. Low temperature atomic layer deposition of films comprising SiCN or SiCON
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9343293B2 (en) 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
CN107406978B (zh) * 2015-02-06 2019-12-03 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103632955A (zh) * 2008-06-02 2014-03-12 气体产品与化学公司 含硅薄膜的低温沉积
CN102187011A (zh) * 2008-10-20 2011-09-14 陶氏康宁公司 Cvd前体
CN103168344A (zh) * 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI749655B (zh) * 2018-08-29 2021-12-11 美商慧盛材料美國責任有限公司 含矽及氮膜的製造方法
TWI710661B (zh) * 2018-08-29 2020-11-21 美商慧盛材料美國責任有限公司 含矽及氮膜的製造方法
CN110872703A (zh) * 2018-08-29 2020-03-10 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN114959653A (zh) * 2018-08-29 2022-08-30 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN110872703B (zh) * 2018-08-29 2022-06-24 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN110896023A (zh) * 2018-09-13 2020-03-20 株式会社国际电气 半导体装置的制造方法、基板处理装置及记录介质
CN110896023B (zh) * 2018-09-13 2024-07-05 株式会社国际电气 半导体装置的制造方法、基板处理装置及记录介质
CN112805405A (zh) * 2018-09-24 2021-05-14 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN112805405B (zh) * 2018-09-24 2024-04-23 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN112969818A (zh) * 2018-10-03 2021-06-15 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN113166937A (zh) * 2018-11-27 2021-07-23 弗萨姆材料美国有限责任公司 1-甲基-1-异丙氧基-硅杂环烷烃和由其制备的致密有机硅膜
CN113383108A (zh) * 2019-02-05 2021-09-10 弗萨姆材料美国有限责任公司 碳掺杂氧化硅的沉积
CN114174555A (zh) * 2019-07-25 2022-03-11 弗萨姆材料美国有限责任公司 用于沉积含硅膜的包含硅杂环烷烃的组合物及其使用方法

Also Published As

Publication number Publication date
SG10202012631SA (en) 2021-01-28
EP3254303A2 (en) 2017-12-13
KR20190141034A (ko) 2019-12-20
JP2022031313A (ja) 2022-02-18
JP2019220713A (ja) 2019-12-26
US10145008B2 (en) 2018-12-04
JP2023182658A (ja) 2023-12-26
EP3460827A1 (en) 2019-03-27
KR102058595B1 (ko) 2019-12-23
IL253746A0 (en) 2017-09-28
JP2018506185A (ja) 2018-03-01
KR20170115590A (ko) 2017-10-17
JP6585724B2 (ja) 2019-10-02
EP3460827B1 (en) 2022-05-25
TWI585230B (zh) 2017-06-01
IL253746B (en) 2021-10-31
SG11201706257YA (en) 2017-08-30
TW201629255A (zh) 2016-08-16
WO2016126911A3 (en) 2016-11-24
CN107406978B (zh) 2019-12-03
EP3254303B1 (en) 2018-12-05
KR20220027287A (ko) 2022-03-07
US20180023192A1 (en) 2018-01-25
KR102650626B1 (ko) 2024-03-21
JP7048548B2 (ja) 2022-04-05
WO2016126911A2 (en) 2016-08-11
KR20240042186A (ko) 2024-04-01

Similar Documents

Publication Publication Date Title
CN107406978B (zh) 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
KR102478568B1 (ko) 질화규소 막을 증착시키는 방법
JP7025534B2 (ja) シリコン含有膜堆積用の組成物及び方法
JP6325613B2 (ja) 化学気相成長用組成物
EP3620549B1 (en) Methods for making silicon and nitrogen containing films
CN105845549A (zh) 制造3d装置的方法和前体
CN108026637A (zh) 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
EP3620550B1 (en) Methods for making silicon containing films that have high carbon content
WO2020068770A1 (en) Methods for making silicon and nitrogen containing films
WO2022187238A1 (en) Compositions and methods using same for films comprising silicon and boron
WO2023220650A1 (en) Compositions and methods using same for carbon doped silicon containing films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant