TW201629255A - 用於碳摻雜的含矽膜的組合物及其方法 - Google Patents

用於碳摻雜的含矽膜的組合物及其方法 Download PDF

Info

Publication number
TW201629255A
TW201629255A TW105103876A TW105103876A TW201629255A TW 201629255 A TW201629255 A TW 201629255A TW 105103876 A TW105103876 A TW 105103876A TW 105103876 A TW105103876 A TW 105103876A TW 201629255 A TW201629255 A TW 201629255A
Authority
TW
Taiwan
Prior art keywords
dioxetane
film
group
plasma
cyclic
Prior art date
Application number
TW105103876A
Other languages
English (en)
Other versions
TWI585230B (zh
Inventor
哈里賓 錢德拉
柯克 史考特 卡希爾
艾紐帕馬 馬里卡裘南
新建 雷
馬修 R 麥當勞
滿超 蕭
馬乎卡 巴斯卡拉 雷
李建恒
Original Assignee
氣體產品及化學品股份公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 氣體產品及化學品股份公司 filed Critical 氣體產品及化學品股份公司
Publication of TW201629255A publication Critical patent/TW201629255A/zh
Application granted granted Critical
Publication of TWI585230B publication Critical patent/TWI585230B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0605Carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02354Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文所述的是用於沉積製程中形成含矽膜例如,但不限於,摻碳的氧化矽膜、摻碳的氮化矽、摻碳的氧氮化矽膜之組合物及其方法。在一態樣中,該組合物至少包含具有至少一Si-C-Si鍵聯及至少一錨定基團(anchoring group)的環狀碳矽烷,該至少一錨定基團係選自鹵素原子、胺基及其組合。

Description

用於碳摻雜的含矽膜的組合物及其方法 相關申請案之交互參照
本案請求2015年2月6日申請的美國臨時申請案第62/113,024號及第62/142,546號之優先權。該臨時申請案的揭示內容在此以引用的方式將其全文併入本文。
本文所述的是用於製造電子裝置的組合物及方法。更明確地說,本文所述的是用於沉積含矽膜例如,但不限於,摻碳的氧化矽、摻碳的氮化矽、摻碳的氧氮化矽或碳化矽膜之化合物及包含該等化合物的組合物及其方法。
此技藝必須提供一種用於沉積供電子工業內的某些應用用的高碳含量(例如,藉由X-射線光電子光譜術(XPS)測量時約10原子%或更高的碳含量)含矽膜之組合物及其使用方法。
美國專利第8,575,033號描述將碳化矽膜沉積於基材表面上的方法。該等方法包括氣相碳矽烷前驅物的用途 而且可運用電漿強化原子層沉積製程。
美國公開案第2013/022496號教導一種藉由原子層沉積(ALD)將具有Si-C鍵的介電膜形成於半導體基材上之方法,其包括:(i)使前驅物吸附於基材表面上;(ii)使該被吸附的前驅物與該表面上的反應物氣體反應;及(iii)重複步驟(i)及(ii)以形成至少具有Si-C鍵的介電膜於該基材上。
美國公開案第2014/302688號描述一種介電層形成於圖案化基材上之方法,其可包括使含矽-和碳-前驅物與自由基氧前驅物在化學氣相沉積艙的無電漿基材加工區中結合。該含矽-和碳-前驅物與該自由基氧前驅物反應以將可流動的矽-碳-氧層沉積於該圖案化基材上。
美國公開案第2014/302690號描述用於將低-k介電材料形成於基材上之方法。該等方法可包括藉由使未被受激的前驅物流入遠距電漿區中產生自由基前驅物,及使該自由基前驅物與氣相矽前驅物反應以沉積可流動膜於該基材上之步驟。該氣相矽前驅物可包括至少一含矽-和氧-化合物及至少一矽-和碳-連結基。該可流動膜可被固化以形成該低-k介電材料。
美國公開案第2014/051264號描述將最初可流動的介電膜沉積於基材上之方法。該等方法包括將含矽前驅物引至含有該基材的沉積艙。該等方法另外包含利用位於該沉積艙外的遠距電漿系統產生至少一受激前驅物,例如自由基氮或氧前驅物。該受激前驅物也被引至該沉積艙,其在那裡與該含矽前驅物於反應帶反應而將該初始可流動膜沉積於該 基材上。該可流動膜可於,舉例來說,水蒸氣環境中被處理形成氧化矽膜。
專利合作條約申請案第WO14134476A1號描述用於沉積包含SiCN及SiCON的膜之方法。標準方法涉及使基材表面暴露於第一及第二前驅物,該第一前驅物具有式(XyH3-ySi)zCH4-z、(XyH3-ySi)(CH2)(SiXpH2-p)(CH2)(SiXyH3-y)或(XyH3-ySi)(CH2)n(SiXyH3-y),其中X係鹵素,y具有介於1與3之間的值,且z具有介於1與3之間的值,p具有介於0與2之間的值,且n具有介於2與5之間的值,且該第二前驅物包含還原胺。某些方法也包含使該基材表面暴露於氧來源以提供包含SiCON的膜。
題名為“Highly Stable Ultrathin Carbosiloxane Films by Molecular Layer Deposition”的參考資料,Han,Z.等人,Journal of Physical Chemistry C,2013,117,19967教導使用1,2-雙[(二甲基胺基)二甲基矽烷基]乙烷及臭氧來生長碳矽氧烷膜。熱安定性顯示膜安定達40℃而且於60℃下有少許厚度損失。
本文所述的組合物及方法藉由提供用於沉積含矽膜而且具有下列性質中的一或多者之組合物或配方克服先前技藝的問題:於稀氫氟酸(HF)(例如,1:99 49% HF及去離子水浴)中測量時約0.20埃/秒(Å/s)或更低的蝕刻速率及藉由X-射線光譜術(XPS)測量時約10原子重量百分比(原子%) 或更高的碳含量。在一特定具體實施例中,本文所述的組合物可用於使用熱原子層沉積(ALD)製程沉積碳摻雜氧化矽膜之方法。
在一態樣中,該用於沉積含矽膜的組合物包含至少一具有下列式I和II及至少一錨定基團的環狀碳矽烷前驅物化合物: 其中X1、X2、X3、X4、X5及X6係各自獨立地選自氫原子;選自F、Cl、Br及I的鹵素原子;具有式NR1R2的胺基,其中R1及R2係獨立地選自由氫原子、C1至C10線性烷基;C3至C10分支烷基;C3至C10環狀烷基;C3至C10烯基;C4至C10芳基;及C4至C10雜環族基團所組成的群組。在式I、II或I和II二者的一些具體實施例中,取代基X1、X2、X3、X4、X5及X6中的任一或多者係連接以形成經取代或未經取代、飽和或不飽和的環狀基團。在式I、II或I和II二者之一特定具體實施例中,取代基X1、X2、X3、X4、X5及X6中的任一或多者係上述鹵素原子或胺基。在式II的某些具體實施例中,X1、X2、X3、X4、X5及X6不能全為胺基。在式I或II的某些具體實施例中,具有式NR1R2的胺基中的R1及R2係連接 以形成一環。在一特定具體實施例中,R1及R2係選自線性或分支C3至C6烷基而且係連接以形成一環狀環。在具有胺基的式I或II的可供選擇的具體實施例中,R1及R2沒連在一起以形成一環。在具有胺基的式I及II的其他具體實施例中,R1及R2不同。
在式I及II的某些具體實施例中,該用於形成含矽膜的組合物包含至少一環狀鹵碳矽烷化合物,其中取代基X1、X2、X3、X4、X5、X6中的至少一者係選自F、Cl、Br及I的鹵素原子當成該錨定基團,而且該等取代基X1、X2、X3、X4、X5、X6中無一為胺基。示範環狀鹵碳矽烷包括,但不限於,1-氯-1,3-二矽雜環丁烷、1-溴-1,3-二矽雜環丁烷、1-碘-1,3-二矽雜環丁烷、1,3-二氯-1,3-二矽雜環丁烷、1,3-二溴-1,3-二矽雜環丁烷、1,3-二碘-1,3-二矽雜環丁烷、1,1-二氯-1,3-二矽雜環丁烷、1,1-二溴-1,3-二矽雜環丁烷、1、1-二碘-1,3-二矽雜環丁烷、1,1,3,3-四氯-1,3-二矽雜環丁烷、1,1,3,3-四溴-1,3-二矽雜環丁烷、1,1,3,3-四碘-1,3-二矽雜環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽雜環丁烷、1,1,3,3,5,5-六氯-1,3,5-三矽雜環己烷、1,1,3,3-四氯-1,3,5-三矽雜環己烷及1,3,5-三氯-1,3,5-三矽雜環己烷。
在式I和II的某些具體實施例中,該用於形成含矽膜的組合物包含至少一環狀鹵胺基碳矽烷化合物,其中該等取代基X1、X2、X3、X4、X5及X6中的至少一者係選自F、Cl、Br及I的鹵素原子,而且該等取代基X1、X2、X3、X4、X5及X6中的至少一者係胺基當成該錨定基團。示範環狀鹵胺 基碳矽烷化合物包括,但不限於,1,3-雙(二甲基胺基)-1,3-二氯-1,3-二矽雜環丁烷、1,3-雙(二乙基胺基)-1,3-二氯-1,3-二矽雜環丁烷及1-(二甲基胺基)-1,3,3-三氯-1,3-二矽雜環丁烷。
在式I和II的某些具體實施例中,該用於形成含矽膜的組合物包含至少一環狀胺基碳矽烷化合物,其中該等取代基X1、X2、X3、X4、X5、X6中的至少一者係當該錨定基團的胺基,而且該等取代基X1、X2、X3、X4、X5、X6中無一為鹵素原子。示範環狀胺基碳矽烷包括,但不限於,1,1,3,3-肆(甲基胺基)-1,3-二矽雜環丁烷、1,1,3,3-肆(二甲基胺基)-1,3-二矽雜環丁烷、1,3-雙(二甲基胺基)-1,3-二甲基-1,3-二矽雜環丁烷、1,3-雙(甲基胺基)-1,3-二甲基-1,3-二矽雜環丁烷及1,3-雙(異丙基胺基)-1,3-二甲基-1,3-二矽雜環丁烷。
在另一態樣中,提供一種用於將選自摻碳的氧化矽膜及摻碳的氧氮化矽膜中的膜沉積於至少一基材表面上之方法,其包含:將基材置於反應器中;將反應器加熱至介於約25℃至約700℃中的一或更多溫度;將包含選自由環狀鹵碳矽烷化合物、環狀鹵胺基碳矽烷化合物及其組合中的至少一化合物之前驅物引進該反應器;將氮來源引進該反應器以與該至少一部分前驅物反應並且形成摻碳的氮化矽膜;及藉著氧來源於介於約25℃至1000℃或約100℃至400℃中的一或更多溫度下在足以將該摻碳的氮化矽膜轉化成該膜 的條件之下處理該摻碳的氮化矽膜。在某些具體實施例中,該摻碳的氧化矽膜或該摻碳的氧氮化矽膜具有藉由XPS測量時約10原子重量百分比(原子%)或更高之碳含量及於稀HF中約0.20Å/s或更低的蝕刻速率。
圖1提供使用該前驅物,1,3-二氯-1,3-(二甲基)胺基-1,3-二矽雜環丁烷,所沉積的膜之傅利葉轉換紅外線光譜術(FTIR)光譜,如實施例11所述。
圖2提供在真空退火之前(實線)與之後(虛線)的FTIR光譜之比較結果,如實施例15所述。
圖3a至3c提供所沉積的含矽膜之截面掃描式電子顯微鏡(SEM)影像,如實施例17所述。
本文所述的是環狀碳矽烷前驅物化合物,及藉由沉積製程例如,但不限於,熱原子層沉積製程來沉積摻碳(例如,藉由XPS測量時具有約10原子%或更高)的含矽膜之組合物及方法。利用本文所述的組合物及方法所沉積之膜顯現極低蝕刻速率(例如,於稀HF中約0.20Å/s或更低或約0.15Å/s或更低),但另一方面顯現其他可調整性質例如,但不限於,密度、介電常數、折射率及元素組成,的變異性。
在某些具體實施例中,本文所述的環狀碳矽烷前 驅物及其使用方法依下列方式增添一或更多所述的特徵。首先,原沉積的反應性摻碳的氮化矽膜係利用包含Si-C-Si鍵聯及氮來源的環狀碳矽烷前驅物形成。咸相信該環狀碳矽烷前驅物的Si-C-Si鍵聯留在結果產生的原沉積膜中並且提供藉由XPS測量時至少10原子%或更高的高碳含量。其次,當該原沉積膜暴露於氧來源(例如水)時,無論是在該沉積製程期間間歇地、當沉積後處理或其組合,會將該膜中的至少一部分或全部氮含量轉化成氧以提供選自摻碳的氧化矽或摻碳的氧氮化矽膜中之膜。該原沉積膜中的氮係如一或更多含氮副產物例如氨或胺基般釋出。在各個不同具體實施例中,最終的膜係多孔性而且具有1.7克/立方釐米(g/cc)或更小的密度及於稀HF中測量時0.20Å/s或更小的濕式蝕刻速率。
在一態樣中,該組合物包含至少一具有下列式I和II的環狀碳矽烷及選自鹵素原子、胺基及其組合中之至少一錨定基團: 其中X1、X2、X3、X4、X5及X6係各自獨立地選自氫原子;選自F、Cl、Br及I的鹵素原子;具有式NR1R2的胺基,其中R1及R2係獨立地選自由氫原子、C1至C10線性烷基;C3至C10分支烷基;C3至C10環狀烷基;C3至C10烯基;C4至 C10芳基;及C4至C10雜環族基團所組成的群組。在式I、II或I和II二者的一些具體實施例中,取代基X1、X2、X3、X4、X5及X6中的任一或多者係連接以形成經取代或未經取代、飽和或不飽和的環狀基團。在式I、II或I和II二者之一特定具體實施例中,取代基X1、X2、X3、X4、X5及X6中的任一或多者係上述鹵素原子或胺基。在式II的某些具體實施例中,X1、X2、X3、X4、X5及X6不全為胺基。在式I或II的某些具體實施例中,具有式NR1R2的胺基中的R1及R2係連接以形成一環。在一特定具體實施例中,R1及R2係選自線性或分支C3至C6烷基而且係連接以形成一環狀環。在式I或II的可供選擇的具體實施例中,R1及R2沒連在一起以形成一環。在其他具體實施例中,R1及R2不同。
如先前所述的,本文所述的具有式I和II的環狀碳矽烷前驅物包含至少一錨定基團。該措辭“錨定基團”表示能與羥基或其他反應性部位例如,但不限於,該基材表面上的NH或NH2反應的不安定基團。示範錨定基團包括,但不限於,鹵素原子(例如,Cl、Br及I)及胺基。該措辭“胺基”表示衍生自具有式HNR1R2的有機胺類之具有1至10個碳原子的有機胺基,其中R1及R2係如前述。示範胺基包括,但不限於,衍生自二級胺類例如二甲基胺基(Me2N-)、二乙基胺基(Et2N-)及二異丙基胺基(iPr2N-)的二級胺基;或衍生自一級胺類例如甲基胺基(MeNH-)、乙基胺(EtNH-)、異丙基胺基(iPrNH-)、第二丁基胺基(sBuNH-)及第三丁基胺基(tBuNH-)的一級胺基。
在本文和整個說明書所述的式中,該措辭“烷基”表示具有1至10或2至4個碳原子的線性或分支官能基。示範線性烷基包括,但不限於,甲基、乙基、正丙基、正丁基、正戊基及己基。示範分支烷基包括,但不限於,異丙基、異丁基、第二丁基、第三丁基、異戊基、第三戊基及異己基。在某些具體實施例中,該烷基可具有接附於彼的一或更多官能基例如,但不限於,烷氧基、二烷基胺基或其組合。在其他具體實施例中,該烷基沒有接附於彼的一或更多官能基。
在本文和整個說明書所述的式中,該措辭“環狀烷基”表示具有3至10或4至10個碳原子的環狀官能基。示範環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。
在本文和整個說明書所述的式中,該措辭“烯基”表示具有一或更多碳-碳雙鍵並且具有2至10或2至6個碳原子的基團。示範烯基包括,但不限於,乙烯基或烯丙基。
在本文和整個說明書所述的式中,該措辭“炔基”表示具有一或更多碳-碳叁鍵並且具有2至10或2至6個碳原子的基團。
在本文和整個說明書所述的式中,該措辭“芳基”表示具有4至10、5至10個碳原子或6至10個碳原子的芳香族環狀官能基。示範芳基包括,但不限於,苯基、苯甲基、氯苯甲基、甲苯基及鄰-二甲苯基、1,2,3-三唑基、吡咯基及呋喃甲基、噠嗪基、嘧啶基、吡嗪基及咪唑基。
在某些具體實施例中,本文所述的具有式I或II的環狀碳矽烷前驅物包含至少一鹵素原子當成其錨定基團而且沒有胺基。參照式I或II,這些化合物具有選自F、Cl、Br及I的鹵素原子當成這些化合物當錨定基團的取代基X1、X2、X3、X4、X5及X6中的至少一者,而且該等取代基X1、X2、X3、X4、X5及X6中無一為胺基。這些前驅物在本文中指環狀鹵碳矽烷化合物。某些鹵碳矽烷化合物的實例係提供於,舉例來說,下列表I中:
在某些具體實施例中,本文所述的具有式I或II的環狀碳矽烷前驅物包含至少一鹵素原子及至少一胺基當成 其錨定基團而且沒有胺基。參照式I或II,這些化合物具有選自F、Cl、Br及I的鹵素原子及至少一胺基當成這些化合物當錨定基團的取代基X1、X2、X3、X4、X5及X6中的至少一者。這些前驅物在本文中指環狀鹵胺基碳矽烷化合物。某些鹵碳矽烷化合物的實例係提供於,舉例來說,下列表II中:
在某些具體實施例中,本文所述的具有式I或II的環狀碳矽烷前驅物包含至少一胺基當成其錨定基團而且沒有鹵素原子。參照式I或II,這些化合物具有胺基當成這些化合物當錨定基團的取代基X1、X2、X3、X4、X5及X6中的至少一者,而且該等取代基X1、X2、X3、X4、X5及X6中無一為鹵素原子。這些前驅物在本文中指環狀胺基碳矽烷化合物。某些胺基碳矽烷化合物的實例係提供於,舉例來說,下列表III中:表III:具有至少一胺基當成該錨定基團的環狀碳矽烷(環狀胺基碳矽烷)
如先前所述的,該含矽膜係沉積於基材例如半導體基材的至少一表面上。在本文所述的方法中,該基材可包含及/或塗覆著此技藝中眾所周知的各式各樣材料,包括矽、氧化矽、氮化矽、非晶性碳、氧碳化矽、氧氮化矽、碳化矽、鍺、摻鍺的矽、金屬例如銅、鎢、鋁、鈷、鎳、鉭、金屬氮化物例如氮化鈦、氮化鉭、金屬氧化物、III/V族金屬或類金屬例如GaAs、InP、GaP和GaN及其組合。這些塗層可完全塗覆該半導體基材,可為不同材料的多重層,而且可被部分蝕刻以暴露出底下的材料層。該表面也可被已經藉著圖案曝光並且顯影以部分塗覆該表面的光阻劑材料沉積在彼上面。在某些具體實施例中,該半導體基材包含選自由細孔、通孔、溝槽及其組合所組成的群組中之至少一表面特徵。
用以形成該等含矽膜或塗層的沉積方法係沉積 製程。用於本文所揭示的方法之適合沉積製程的實例包括,但不限於,化學氣相沉積或原子層沉積製程。如本文所用的,該措辭“化學氣相沉積製程”表示使基材暴露於一或更多揮發性前驅物,該等前驅物於該基材表面上反應及/或分解以產生預期沉積的任何製程。如本文所用的,該措辭“原子層沉積製程”表示把材料的膜沉積於變化組成的基材上之自限性(例如,各反應周期所沉積的膜材料量恆定)連續表面化學。儘管本文所用的前驅物、試劑及來源有時候可能被描述成“氣態”,但是咸了解該等前驅物也可能是液態或固態,該等前驅物係經由直接汽化、起泡或昇華利用或沒用惰性氣體輸送至該反應器中。在一些案例中,該等經汽化的前驅物能通過電漿產生器。
在一具體實施例中,該含矽膜係利用ALD製程來沉積。在另一具體實施例中,該含矽膜係利用CCVD製程來沉積。在另一具體實施例中,該含矽膜係利用熱ALD製程來沉積。本文所用的措辭“反應器”包括但不限於,反應艙或沉積艙。
在某些具體實施例中,本文所揭露的方法藉由運用ALD或CCVD方法在引進該反應器以前及/或期間分開該等前驅物而避免前驅物的預反應。關此,利用沉積技術例如ALD或CCVD方法來沉積該含矽膜。在一具體實施例中,該膜係經由ALD製程藉由使該基材表面輪流暴露於該含矽前驅物、氧來源、含氮來源或其他前驅物或試劑中的其一或更多者而於典型單晶圓ALD反應器、半批次ALD反應器或批次爐ALD 反應器中沉積。膜成長藉由表面反應的自限性控制、各前驅物或試劑的脈衝時間長度及沉積溫度來進行。然而,一旦該基材的表面達到飽和,該膜生長便停止。在另一具體實施例中,藉由將該基材移動或轉動至該反應器的不同段而且各段藉由惰性氣體帘幕分開,亦即空間ALD反應器或捲軸式ALD反應器,而使包括該等環狀碳矽烷及反應性氣體在內的各反應物接觸到基材。
依據該沉積方法,在某些具體實施例中,本文所述的環狀碳矽烷前驅物及任意其他含矽前驅物可以預定莫耳體積或約0.1至約1000微莫耳引進該反應器。在各個不同具體實施例中,該前驅物可經歷預定時期引進該反應器。在某些具體實施例中,該時期介於約0.001至約500秒。
在某些具體實施例中,利用本文所述的方法沉積的含矽膜係於氧存在的情形下使用包含氧的氧來源、試劑或前驅物形成。氧來源可依照至少一氧來源的形式引進該反應器及/或可能附帶地存在於該沉積製程所用的其他前驅物中。適合的含氧來源氣體可包括,舉例來說,水(H2O)(例如,去離子水、純水、蒸餾水、水蒸氣、水蒸氣電漿、含氧水、包含水和其他有機液體的組合物)、氧(O2)、氧電漿、臭氧(O3)、一氧化氮(NO)、二氧化氮(NO2)、一氧化碳(CO)、包含水的電漿、包含水和氬的電漿、過氧化氫、包含氫的組合物、包含氫和氧的組合物、二氧化碳(CO2)、空氣及其組合。在某些具體實施例中,該氧來源包含於介於約1至約2000標準立方釐米(sccm)或約1至約1000sccm的流速下引進該反應器的氧來 源氣體。該氧來源能引進經歷介於約0.1至約100秒的時間。
在藉由ALD或循環式CVD製程沉積該膜的多數具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝時期,而且該氧來源可具有小於0.01秒的脈衝時期,而該水脈衝時期可具有小於0.01秒的脈衝時期。
在某些具體實施例中,該氧來源係連續流入該反應器,但另一方面依序引進前驅物脈衝及電漿。該前驅物脈衝可具有大於0.01秒的脈衝時期,而該電漿時期可介於0.01秒至100秒之間。
在某些具體實施例中,該等含矽膜包含矽和氮及任意氧。在這些具體實施例中,利用本文所述的方法沉積的含矽膜係於含氮來源存在的情形下形成。含氮來源可依照至少一含氮來源的形式引進該反應器及/或可能附帶地存在於該沉積製程所用的其他前驅物中。
適合的含氮或氮來源氣體可能包括,舉例來說,氨、肼、單烷基肼、對稱或不對稱二烷基肼、氮、NO、N2O、NO2、包含氮和氫的組合物、氨電漿、氮電漿、包含氨和氮的電漿、包含氮和氫的電漿、有機胺電漿、有機二胺電漿及其組合。在使用有機胺電漿或有機二胺電漿當成含氮來源的具體實施例中,示範有機胺電漿包括,但不限於,二乙基胺電漿、二甲基胺電漿、三甲基電漿、三甲基胺電漿、烷氧基胺電漿、甲胺、乙胺、乙二胺、乙醇胺、六氫吡啶、N,N’-二甲基乙二胺、咪唑啶、環三亞甲基三胺及其組合。
在某些具體實施例中,該氮來源係於介於約1至 約2000標準立方釐米(sccm)或約1至約1000sccm的流速下引進該反應器。該含氮來源能引進經歷介於約0.1至約100秒的時間。在藉由ALD或循環式CVD製程同時使用氮和氧來源來沉積該膜的多數具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝時期,而且該氮來源可具有小於0.01秒的脈衝時期,而該水脈衝時期可具有小於0.01秒的脈衝時期。在又另一具體實施例中,介於該等脈衝之間的洗淨時期可能小到0秒或連續地脈衝而於其間沒有洗淨。
在某些具體實施例中,該一或更多方法步驟係於觸媒存在下進行。在各個不同具體實施例中,該觸媒係選自路易士鹼(Lewis base)例如吡啶、六氫吡啶、氨或其他有機胺類。
本文所揭露的沉積方法可能涉及一或更多洗淨氣體。該洗淨氣體,其係用以洗掉沒消耗的反應物及/或反應副產物,係不會與該等前驅物反應的惰性氣體。示範洗淨氣體包括,但不限於,氬(Ar)、氮(N2)、氦(He)、氖、氫(H2)及其混合物。在某些具體實施例中,洗淨氣體例如Ar係於介於約10至約2000sccm的流速下供入該反應器經歷約0.1至1000秒,藉以洗淨該未反應的材料和可能留在該反應器中的任何副產物。
供應該等前驅物、氧來源、該含氮來源、及/或其他前驅物、來源氣體、及/或試劑的分別步驟可藉由變化供應彼等的時期來進行以改變結果產生的膜的化學計量組成。
把能量施加於該前驅物、含氮來源、還原劑、其 他前驅物或其組合中的至少其一以引發反應並且將該含矽膜或塗層形成於該基材上。此能量可藉由以下提供,但不限於,熱、電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合。
在某些具體實施例中,二次射頻頻率來源可用以變更該基材表面處的電漿特徵。在該沉積涉及電漿的具體實施例中,該電漿產生的製程可能包含該電漿直接在該反應器中產生的直接電漿產生製程,或者電漿在該反應器外部產生並且供應至該反應器內的遠距電漿產生方法。
該等環狀碳矽烷前驅物及/或其他含矽前驅物可依各式各樣的方式輸送給該反應艙,例如CVD或ALD反應器。在一具體實施例中,可利用液體輸送系統。在一可供選用的具體實施例中,可運用合併液體輸送和閃蒸的處理單元,例如,舉例來說,明尼蘇達州,肖爾維市的MSP股份有限公司製造的渦輪汽化器,以使低揮發性材料能依體積輸送,導致可再現的輸送和沉積而不會使該前驅物熱分解。在液體輸送配方中,本文所述的前驅物可以純液體形式輸送,或者,可依溶劑配方或其組合物方式運用。因此,在某些具體實施例中,該等前驅物配方可包括可能想要的適合特性和在特定最終用途應用中有優點的溶劑組分以於基材上形成膜。
在各個不同具體實施例中,咸了解本文所述的方法步驟可依照多變的順序進行,可依序地或同時地進行(例如,於另一步驟的至少一部分的期間),及依其任何組合進行。 供應該等前驅物和該等含氮來源氣體的分別步驟可藉由變化供應彼等的時期來進行以改變所得含矽膜的化學計量組成。
在本文所述的方法之又另一具體實施例中,該膜或該原沉積膜係施以處理步驟。該處理步驟能在該沉積步驟至少一部分的期間、該沉積步驟之後及其組合進行。示範處理步驟包括,但不限於,藉由熱退火;電漿處理;紫外(UV)光處理;雷射;電子束處理及其組合來處理以影響該膜的一或更多性質。利用本文所述的環狀碳矽烷前驅物所沉積的膜,與先前揭露的碳矽烷前驅物所沉積的膜在相同條件之下作比較時,具有改良性質例如,但不限於,在該處理步驟之前比該膜的濕式蝕速率更低的濕式蝕刻速率,或該膜內的一或更多雜質的減量。在一特定具體實施例中,在該沉積製程的期間,間歇性地處理原沉積膜。這些間歇性或中間沉積處理能,舉例來說,在各ALD周期之後、在每隔一定數目的ALD周期之後,例如,但不限於,兩個(2)ALD周期、五個(5)ALD周期,或在每隔十個(10)或更多ALD周期之後進行。
在藉著熱退火步驟來處理該膜的具體實施例中,該退火溫度比該沉積溫度更高至少100℃。在各個不同具體實施例中,該熱退火溫度介於約400℃至約1000℃。在一可供選擇的具體實施例中,該退火溫度與該沉積溫度相同或在該沉積溫度加減100℃的範圍以內。在各個不同具體實施例中,該退火處理能於真空中(<760托耳)或惰性環境中進行。
在該膜以UV處理步驟來處理的具體實施例中,膜用寬帶UV,或者波長介於約150奈米(nm)至約400nm的 UV來源曝光。在一特定具體實施例中,等到達成期望膜厚度之後該原沉積膜用UV於該沉積艙以外的不同艙中曝光。
在該膜以電漿來處理的具體實施例中,該電漿來源係選自由氮電漿、包含氮和氦的電漿、包含氮和氬的電漿、氨電漿、包含氨和氦的電漿、包含氨和氬的電漿、氦電漿、氬電漿、氖電漿、氫電漿、包含氫和氦的電漿、包含氫和氬的電漿、有機胺電漿、有機二胺電漿及其組合所組成的群組。在一特定具體實施例中,該電漿包含選自由氦、氖、氬、氪及其組合所組成的群組中的惰性氣體。
在一特定具體實施例中,該方法沉積選自摻碳的氧化矽、摻碳的氮化矽及摻碳的氧氮化矽膜之含矽膜,其包含下列步驟:將一或更多基材置於反應器中;將反應器加熱至介於約25℃至約700℃中的一或更多溫度並且任意地保持於100托耳或更低的壓力下;引進選自由環狀鹵碳矽烷及環狀鹵胺基碳矽烷所組成的群組中之至少一環狀碳矽烷;引進氮來源以與該等化學吸附的前驅物反應形成摻碳的氮化矽膜;及使該摻碳的氮化矽膜於介於約周遭溫度(例如,25℃)至1000℃,或約100°至400℃中的一或更多溫度下暴露於氧來源,以將該摻碳的氮化矽膜轉化成摻碳的氧化矽或摻碳的氧氮化矽膜。
在本文所述的方法之另一具體實施例中,該含矽 膜係利用熱ALD製程來沉積。在此具體實施例中,該方法包含:將一或更多基材置於反應器中;將反應器加熱至介於周遭溫度(例如,約25℃)至約700℃中的一或更多溫度並且任意地保持於100托耳或更低的壓力下;引進選自由環狀鹵碳矽烷及環狀鹵胺基碳矽烷所組成的群組中之至少一環狀碳矽烷;將氧來源及任意地觸媒供入該反應器以與其表面反應形成原沉積膜,其中該觸媒包含路易士鹼;及任意地使該原沉積膜於比加熱溫度更高的一或更多溫度下退火,其中該退火步驟係於介於約400℃至約1000℃中的一或更多溫度進行;任意地利用氧來源於介於約周遭溫度至約1000℃,或約100°至400℃中的一或更多溫度下進行該原沉積膜的沉積後處理,以提供具有一密度的膜;及任意地使該原沉積膜暴露於UV來源;及任意地以鈍氣(noble gas)或包含氫的電漿進行該加工膜的沉積後處理。
在本文所述的方法之又另一具體實施例中,該含矽膜係利用熱ALD製程藉著包含有機胺的氮來源沉積。在此具體實施例中,該方法包含:將一或更多包含表面特徵的基材置於反應器中;將反應器加熱至介於約周遭溫度至約700℃中的一 或更多溫度並且任意地保持於100托耳或更低的壓力下;將選自由環狀鹵碳矽烷、環狀鹵胺基碳矽烷及環狀胺基碳矽烷所組成的群組中之至少一環狀碳矽烷引進該反應器;將氮來源供入該反應器以與該前驅物反應形成碳氮化矽原沉積膜;及任意地對該原沉積膜進行沉積後退火;任意地利用氧來源於介於約周遭溫度至約1000℃,或約100°至400℃中的一或更多溫度下進行該摻碳的氮化矽膜的沉積後處理,以將該摻碳的氮化矽膜轉化成摻碳的氧化矽膜,其中該氧來源係選自由水蒸氣、水電漿、含氧水、含氧水蒸氣、氧、氧電漿、氧/氦電漿、氧/氬電漿、氮氧化物電漿、二氧化碳電漿、過氧化氫、有機過氧化物、一氧化氮、臭氧、臭氧化水及其組合所組成的群組;及任意地使該摻碳的氧化矽膜暴露於UV光源。在各個不同具體實施例中,該UV曝光步驟能在膜沉積期間或沉積一完成就進行。
在本文所述的方法之又另一具體實施例中,該摻碳的氧化矽、氧氮化矽、摻碳的氧氮化矽膜、摻碳的氮化矽或碳化矽膜係利用電漿ALD製程來沉積。在此具體實施例中,該方法包含:將一或更多包含表面特徵的基材置於反應器中,該表面特徵係選自細孔、通孔或溝槽或其組合;將反應器加熱至介於約周遭溫度至約700℃中的一 或更多溫度並且任意地保持於100托耳或更低的壓力下;將選自由環狀鹵碳矽烷、環狀鹵胺基碳矽烷及環狀胺基碳矽烷所組成的群組中之至少一環狀碳矽烷引進該反應器;將電漿來源供入該反應器以與該前驅物反應形成碳氮化矽膜,其中該電漿來源係選自由氮電漿、包含氮和氦的電漿、包含氮和氬的電漿、氨電漿、包含氨和氦的電漿、包含氨和氬的電漿、氦電漿、氬電漿、氖電漿、氫電漿、包含氫和氦的電漿、包含氫和氬的電漿、有機胺電漿、有機二胺電漿及其組合所組成的群組;及任意地於介於約400℃至1000℃中的一或更多溫度下對該等原沉積膜進行沉積後熱退火;任意地利用氧來源於介於約周遭溫度至約1000℃,或約100°至400℃中的一或更多溫度下進行該摻碳的氮化矽膜的沉積後處理,以將該摻碳的氮化矽膜轉化成摻碳的氧化矽膜,其中該氧來源係選自由水蒸氣、水電漿、含氧水、含氧水蒸氣、氧、氧電漿、氧/氦電漿、氧/氬電漿、氮氧化物電漿、二氧化碳電漿、過氧化氫、有機過氧化物及其組合所組成的群組中之至少一者;及任意地使該加工膜在沉積後暴露於UV光;及任意地以鈍氣或包含氫的電漿進行該加工膜的沉積後處理以改良該膜性質中之至少其一。
在本文所述的方法之又另一具體實施例中,該摻碳的氧化矽、氧氮化矽、摻碳的氧氮化矽膜、摻碳的氮化矽 或碳化矽膜係利用電漿ALD製程來沉積。在此具體實施例中,該方法包含:將一或更多包含表面特徵的基材置於反應器中,該表面特徵係選自細孔、通孔或溝槽或其組合;將反應器加熱至介於25℃至約650℃中的一或更多溫度並且任意地使該反應器保持於10托耳或更低的壓力下;將選自由環狀鹵碳矽烷、環狀鹵胺基碳矽烷及環狀胺基碳矽烷所組成的群組中之至少一環狀碳矽烷引進該反應器;將電漿來源供入該反應器以與該樣品表面反應形成碳氮化矽膜,其中該電漿來源係選自由氮電漿、包含氮和氦的電漿、包含氮和氬的電漿、氨電漿、包含氨和氦的電漿、包含氨和氬的電漿、氦電漿、氬電漿、氖電漿、氫電漿、包含氫和氦的電漿、包含氫和氬的電漿、有機胺電漿、有機二胺電漿及其組合所組成的群組;及任意地對該等原沉積膜進行沉積後熱退火;任意地利用氧來源於介於約周遭溫度(例如,25℃)至約1000℃中的一或更多溫度下進行該摻碳的氮化矽膜的沉積後處理,其中該氧來源係選自由水蒸氣、水電漿、含氧水、含氧水蒸氣、氧、氧電漿、氧/氦電漿、氧/氬電漿、氮氧化物電漿、二氧化碳電漿、過氧化氫、有機過氧化物及其組合所組成的群組;及任意地使該加工膜在沉積後暴露於UV光;及任意地以鈍氣或包含氫的電漿進行該加工膜的沉積 後處理。
在本文所述的方法之又另一具體實施例中,該摻碳的氧化矽、氧氮化矽、摻碳的氧氮化矽或摻碳的氮化矽膜係利用熱ALD製程及惰性電漿來沉積以改良膜性質。在此具體實施例中,該方法包含:將一或更多包含表面特徵的基材置於反應器中;將反應器加熱至介於25℃至約700℃中的一或更多溫度並且任意地使該反應器保持於100托耳或更低的壓力下;將選自由環狀鹵碳矽烷、環狀鹵胺基碳矽烷及環狀胺基碳矽烷所組成的群組中之至少一環狀碳矽烷引進該反應器;將氮來源供入該反應器以與該表面反應形成碳氮化矽膜;及提供選自惰性電漿及氫電漿的電漿,其中該惰性電漿包含選自氦、氖、氬、氬及其組合中之至少其一;任意地對該等膜進行沉積後退火;任意地利用氧來源於介於約周遭溫度至約1000℃或約100°至400℃中的一或更多溫度下進行該摻碳的氮化矽膜的沉積後處理,以將該摻碳的氮化矽膜轉化成摻碳的氧化矽膜;及任意地使該加工膜在沉積後暴露於UV光源;及任意地以鈍氣或包含氫的電漿進行該加工膜的沉積後處理以改良該膜性質中之至少其一。
在本文所述的方法之又另一具體實施例中,該摻 碳的氧化矽、氧氮化矽、摻碳的氧氮化矽或摻碳的氮化矽膜係利用熱ALD製程來沉積。在此具體實施例中,該方法包含:將一或更多包含表面特徵的基材置於反應器中;將反應器加熱至介於25℃至約700℃中的一或更多溫度並且任意地使該反應器保持於100托耳或更低的壓力下;將選自由環狀鹵碳矽烷、環狀鹵胺基碳矽烷及環狀胺基碳矽烷所組成的群組中之至少一環狀碳矽烷引進該反應器;將氮來源供入該反應器以與該表面反應形成碳氮化矽膜;及任意地對該等膜進行沉積後退火;任意地利用氧來源於介於約周遭溫度至約1000℃或約100°至400℃中的一或更多溫度下進行該摻碳的氮化矽膜的沉積後處理,以將該摻碳的氮化矽膜轉化成摻碳的氧化矽膜;及提供氧來源以將碳氮化矽轉化成摻碳的氧氮化矽,其中該氧來源包含選自由水蒸氣、氧、一氧化氮、乙二醇、臭氧、氧電漿、一氧化氮電漿、二氧化碳(CO2)、一氧化碳(CO)、CO2電漿、CO電漿、O2電漿及其組合所組成的群組中之至少一者;任意地於真空中(<760托耳)或惰性環境中於介於約400℃至約1000℃中的一或更多溫度下進行該等原沉積膜的沉積後退火;任意地利用氧來源於介於約25℃至約1000℃,或 約100°至400℃中的一或更多溫度下進行該摻碳的氮化矽膜的沉積後處理以將該摻碳的氮化矽膜轉化成摻碳的氧化矽膜;及 任意地使該加工膜在沉積後暴露於UV光源;及任意地以鈍氣或包含氫的電漿進行該加工膜的沉積後處理以改良該膜性質中之至少其一。
實施例 一般膜沉積實施例
除非另行指明,否則膜沉積係於實驗室級原子層沉積(ALD)反應器中使用環狀碳矽烷前驅物及該氮來源氨來進行。將ALD周期步驟及製程條件列於下列表IV:
在沉積的期間,重複製程步驟3至10經過至多2000次的周期數以得到期望的原沉積的摻碳的氮化矽膜厚度。對結果產生的原沉積膜施以就地退火(在該反應內部的原沉積膜上進行退火)或易地退火(在單獨的艙外部或裡面退火) 以將該等膜轉化成摻碳的氧化矽膜。所執行的典型退火條件如下:濕氣退火在200毫托耳的真空度下進行;氧退火在2托耳下進行;或空氣退火在加熱板上於周遭溫度(例如,25℃)或約300℃下進行。
折射率及厚度在沉積之後立即使用橢圓儀於632.8nm下測量。整體膜組成利用X-射線光電子光譜術(XPS)於表面下數奈米(2至5nm)來界定其特徵以消除偶發的碳效應。膜密度使用X-射線利用反射測量術(XRR)來界定其特徵。
濕式蝕刻速率製程在稀HF(dHF,1:9949% HF和DI水)下進行。在該製程的期間,同時蝕刻熱氧化物膜以確保蝕刻溶液一致性。典型熱氧化物WER係為0.55Å/s。實施例1:1-氯-1,3-二矽雜環丁烷的合成。
在填充氮的手套箱中,將1,3-二矽雜環丁烷(15.0g,0.170mol)迅速加入Et2O(50mL)中的氯化銅(I)(5.61g,0.0567mol)攪拌混合物。將該反應攪拌30分鐘,在此期間固體轉為暗灰/棕色而且放出氣態副產物(推測為H2)。將該反應混合物過濾以去除固體並且藉由蒸餾於1大氣壓(atm)的壓力下去除溶劑及未反應的起始材料。此程序總共進行5次,並且藉由真空蒸餾將合併的粗製產物純化於溶液中產生20.4克(g)的1-氯-1,3-二矽雜環丁烷。於該溶液內的1-氯-1,3-二矽雜環丁烷藉由氣體層析術(GC-TCD)、質譜術(GC-MS)及1H NMR光譜術來鑑定。氣體層析分析運用裝備HP-5890 Series II GC和含有3μm厚SPB-5介質的0.53mm直徑×30m Supleco管柱之TCD對著產物流出物進行。GC-MS顯示下列峰:122(M+)、 107(M-15)、93、85、81、58及43。
實施例2:1,3-二氯-1,3-二矽雜環丁烷的合成。
在填充氮的手套箱中,將氯化銅(II)(89.6g,0.666mol)及碘化銅(I)(1.51g,0.00793mol)混在一起並且歷經2小時於室溫度下緩慢加入Et2O(300mL)中的1,3-二矽雜環丁烷(14.0g,0.159mol)攪拌溶液。該反應混合物藉由用針刺破的隔膜排出過壓。經過6小時之後,移走排氣針並且讓灰色反應混合物攪拌過夜。藉由過濾去除固體,並且在減壓(降至80托耳)之下將濾液濃縮。獲得20.4克的淺黃色粗製產物,並且藉由GC-MS測定其含有1,3-二氯-1,3-二矽雜環丁烷的二異構物(順式及反式)之混合物充當主要組分。GC-MS顯示下列峰:156(M-1)、141、119、105、93、78、63、58及43。
實施例3:1-溴-1,3-二矽雜環丁烷及1,3-二溴-1,3-二矽雜環丁烷的合成。
在填充氮的手套箱中,將1,3-二矽雜環丁烷(1.0g,0.011mol)加至置於閃爍瓶中之溴化銅(I)(1.6g,0.011mol)於二乙醚(5g)中的攪拌混合物,並且將該反應攪拌過夜。讓氣態副產物(推測為H2)排空並且藉由GC-MS測定該溶液含有1-溴-1,3-二矽雜環丁烷(m/z=166(M+)、151(M-15)、137、123、109、85、69、59、43)及1,3-二溴-1,3-二矽雜環丁烷(m/z=246(M+)231(M-15)、216、202、189、159、137、109、69、58、43之混合物。
實施例4:1,1,3,3-四溴-1,3-二矽雜環丁烷的合成。
在氮氣保護之下,在存有AlBr3(1.9g,0.0071mol)的情況下合併乙醯溴(209g,1.70mol)與1,1,3,3-四乙氧基-1,3-二矽雜環丁烷(75g,0.28mol)並且於室溫下攪拌大約1個月。未反應的乙醯溴及醋酸乙酯副產物在減壓之下被去除。在戊烷(30mL)中攪拌剩下的濕潤固體,接著藉由真空過濾收集。藉由燒瓶至燒瓶的真空昇華(flask-to-flask vacuum sublimation)(於90℃及150毫托耳下)將粗製固體純化而產生59g的無色結晶固體,藉由GC-MS來測定其為1,1,3,3-四溴-1,3-二矽雜環丁烷。GC-MS顯示下列峰:404(M+)、376、323、297、267、243、229、202、188、165、149、135、109、81、55、42。熔點(m.p.)=117℃;沸點(b.p)=252℃。藉由X-射線繞射來分析單晶以確認其構造。
實施例5:1,3-雙(二乙基胺基)-1,3-二氯-1,3-二矽雜環丁烷的合成。
在氮氣保護之下,於-20℃下藉由滴液漏斗將二乙胺(29.5g,0.403mol)於己烷類(80mL)中的溶液逐滴加於1,1,3,3-四氯-1,3-二矽雜環丁烷(40.0g,0.177mol)及三乙胺(44.4g,0.439mol)於己烷類(400mL)中的攪拌溶液。使結果產生的白色漿料暖化至室溫並且攪拌過夜。藉由過濾去除白色固體並且以己烷類(30mL)沖洗兩次。在減壓作用之下於室溫下將合併濾液濃縮直到剩下的體積不變為止。將粗製產物 加熱至100℃並且於-78℃下真空轉移至冷凝阱(cold trap)而產生28.6g的無色液體,藉由GC-MS來測定其為1,3-雙(二乙基胺基)-1,3-二氯-1,3-二矽雜環丁烷的異構物(順式及反式)之混合物。GC-MS顯示下列峰:298(M-1)、283、269、255、239、226、212、198、184、170、157、143、134、121、106、93、72、58、42。B.p.=283℃。
實施例6:1,3-雙(二甲基胺基)-1,3-二氯-1,3-二矽雜環丁烷的合成。
在氮氣保護之下,於-20℃下將二甲胺(2.11M,500Ml,1.06mol)於THF中的溶液逐滴加於1,1,3,3-四氯-1,3-二矽雜環丁烷(120.8g,0.535mol)及Et3N(119g,1.18mol)於己烷類(1.5L)中的攪拌溶液。使結果產生的白色漿料暖化至室溫並且攪拌過夜。藉由過濾去除白色固體並且以己烷類(100mL)沖洗兩次。在減壓作用之下於室溫下將溶劑去除直到剩下的體積不變為止。藉由燒瓶至燒瓶的真空昇華(於80℃及150毫托耳下)將粗製產物純化並且收集於冷凝阱(-15℃)而產生77g的無色結晶固體,藉由GC-MS來測定其為1,3-雙(二甲基胺基)-1,3-二氯-1,3-二矽雜環丁烷的異構物(順式及反式)之混合物。GC-MS顯示下列峰:243(M+)、228(M-15)、208、199,185、171、157、143、121、106、93、72、63、43。M.p=6-41℃;b.p=230℃。反式異構物的單晶係由於戊烷中的濃縮溶液於-35℃下長出來並且藉由X-射線繞射來分析以確認其構造。
實施例7:1,3-二氯-1,3-二甲基-1,3-二矽雜環丁烷的合成。
在氮氣保護之下,於室溫下藉由滴液漏斗將乙醯氯(115g,1.46mol)逐滴加於1,3-二乙氧基-1,3-二甲基-1,3-二矽雜環丁烷(120g,0.587mol)及氯化鐵(III)(0.47g,0.0029mol)的攪拌混合物。將該反應攪拌14天。從該鐵觸媒真空轉移揮發物並且接著藉由真空蒸餾(12托耳/70℃)來純化而產生98g的無色液體,藉由GC-MS來測定其為1,3-二氯-1,3-二甲基-1,3-二矽雜環丁烷的異構物(順式及反式)之混合物。GC-MS顯示下列峰:184(M-1)、169、149、133、93、79、71、63。
實施例8:藉由熱ALD沉積使用該環狀碳矽烷前驅物1,1,3,3-四氯-1,3-二矽雜環丁烷來沉積含矽膜。
含矽膜係由1,1,3,3-四氯-1,3-二矽雜環丁烷當成該環狀碳矽烷前驅物及氨於300℃和500℃的基材溫度下使用本文表IV所述的製程步驟沉積而沒有沉積後處理。等到獲得初始折射率及厚度測量結果之後,將樣品儲存於室溫下並且間歇性地測量。將該沉積膜的折射率及厚度彙總於表V。表VI顯示於300℃下沉積的膜之折射率隨著時間降低。經過24小時之後從1.65降至1.53,表示周遭氛圍於室溫下引起的氧化過程。經過24小時之後沒見到進一步折射率滑落。等到膜沉積之後大約一周獲得其他膜性質例如XPS及於稀HF中的濕式蝕刻速率。將這些試驗的結果列於表VII中。
參照表VII,該XPS數據顯示由環狀碳矽烷及氨沉積的摻碳的氧化矽膜具有少許氯含量(例如,低於0.5原子%)。於300℃下沉積的膜中檢測到小量的氮,而於500℃下沉積的膜具有明顯較高的氮含量。咸相信於300℃的較低沉積溫度下,該製程可能提供更多易受氧化作用影響的Si-NH2或Si-NH-Si片斷。另一方面,於該較高500℃溫度下沉積可能提供足夠能量以形成更耐氧化的Si-Nx網狀結構。
實施例9. 使用1,1,3,3-四氯-1,3-二矽雜環丁烷進行摻碳的含矽膜的ALD沉積,接著在不同環境中的易地退火
含Si膜係由1,1,3,3-四氯-1,3-二矽雜環丁烷當該環狀碳矽烷前驅物及氨於300℃下運用表IV所述的製程步驟沉積。
沉積之後,測量折射率及厚度並且將各沉積的樣品分成三(3)段。各段在周遭氛圍(空氣)、氧(O2)或水(H2O)蒸氣作用之下,如先前所述於300℃下熱退火。經過1小時之後及後繼施以另外2小時的附加退火處理之前測量折射率及厚度。將結果列於下列表VIII。
該膜沉積生長速率係為0.2Å/周期。表VIII顯示在不同條件之下退火時的折射率變化。退火之後的折射率滑落表示該膜轉變成氧碳化矽(SiO:C)膜。經過1小時退火之後該製程便接近完全,如進一步退火時極微的折射率變化所示的。
經過沉積後退火之後1:99 HF膜的濕式蝕刻速率並未檢出(<0.01Å/s),而熱氧化物膜則顯示0.55Å/s的蝕 刻速率。該膜係施以藉著將較高濃度HF加於溶液中(10:90的49% HF:DI水)的稀HF。等到施以較高HF酸濃度之後,沒偵測到膜蝕刻(<0.01Å/s),而該比較性熱氧化物膜顯示5.5Å/s的蝕刻速率。
實施例10. 藉由熱ALD沉積得到的摻碳的含矽膜之膜組成
含矽膜係由1,1,3,3-四氯-1,3-二矽雜環丁烷當該環狀碳矽烷前驅物及氨於300℃下運用表IV所述的製程步驟沉積。沉積之後,測量折射率及厚度並且將該等膜分成三(3)段。各段在O2、水蒸氣或空氣之下,如先前所述於300℃下,各自易地熱退火經過連續3小時。等到該原沉積膜從反應器取出之後其膜折射率係為1.64。等到易地沉積後處理之後該折射率滑落到1.55至1.57,與表VIII的結果一致。將沉積膜的膜組成及密度彙總於表IX。
實施例11. 藉由熱ALD沉積使用氨及水製程得到摻碳的含矽膜
含Si膜由該環狀鹵胺基碳矽烷前驅物1,3-二氯 -1,3-(二甲基)胺基-1,3-二矽雜環丁烷運用表X所述的製程步驟來沉積。在各ALD周期中,將該SiCNHx表面轉化成SiCOH,因此省去了沉積後處理的需求。
在沉積的期間,重複製程步驟3至13多次以得到期望的膜厚度。結果產生的膜伴隨著0.27Å/周期的每周期生長量具有1.58的折射率。測得該樣品的IR光譜並且將其列於圖1。圖1顯示該沉積膜大部分為SiO:C而且具有24.3%C、5.0%N、41.0%O、33.6%Si的組成。結果產生的膜具有於稀HF中未檢出的濕式蝕刻速率或低於0.01Å/s的WER。
實施例12. 藉由熱ALD沉積及於空氣中的沉積後易地退火得到摻碳的含Si膜
含矽膜由該環狀鹵胺基碳矽烷1,1,3,3-四氯-1,3-二矽雜環丁烷前驅物及氨於300℃下運用表IV所述的製程步驟來沉積。該沉積膜係施以上述的易地沉積後反覆退火及特徵化製程。退火係於周遭氣氛下靠加熱板於300℃下進行。將伴隨著不同退火時間的折射率及厚度變化記錄於表XI。
參照表XI,被施以周遭氛圍之下的退火處理之後 該膜折射率從1.64滑落至1.55,表示從氮化矽膜轉化成氧化矽膜。
實施例13. 藉由熱ALD沉積及以氧來源進行就地後段處理得到摻碳的含Si膜
含矽膜由該環狀鹵碳矽烷1,1,3,3-四氯-1,3-二矽雜環丁烷前驅物及氨於300℃下運用表XII所述的製程步驟來沉積。
重複製程步驟3至13多次以得到期望的膜厚度。等到該等ALD周期完成之後,將水蒸氣引進該反應器,同時該膜係於該艙中原地以沉積氧碳化矽(SiOC)膜。結果產生的膜具有由XPS測得的39.0%O、2.6%N、25.1%C、33.2%Si的組成及由XRR測得的1.55g/cc的密度。
實施例14. 摻碳的含矽膜的沉積後UV處理
多數含矽膜由實施例10所述的環狀鹵碳矽烷前驅物1,1,3,3-四氯二矽雜環丁烷來沉積。該等膜使用氨當氮來源,接著在周遭氣氛中靠加熱板進行易地熱退火經過3小時而沉積。沉積及退火溫度皆為300℃。為了從該等膜去除截留水(trapped water)及末端羥基,該等膜係施以UV退火。UV退火係利用裝設於Applied Materials Precision-5000系統上帶有寬帶UV燈泡的Fusion UV系統來進行。讓該等樣品在氦氣流作用之下保持於低於10托耳的一或更多壓力下及低於400℃的一或更多溫度下。厚度及折射率在UV退火前後靠Woollam Ellipsometer系統來測量。介電常數利用Hg探針技術對中等電阻率p-型晶圓測定。
表XIII顯示伴隨著UV曝光的收縮率及介電常數之比較結構,而表XIV顯示相應的密度及比較結果。在UV下曝光3分鐘的樣品在處理的期間損壞,因此,如表XIV所示(未檢出)沒進行密度測量。UV曝光1分鐘或更久顯示明顯較低的介電常數及若干膜收縮,其導致較高的膜密度,而該膜中的碳含量似乎不受到影響。
實施例15. 摻碳的含矽膜之沉積後真空退火
含矽膜由實施例10所述的環狀鹵碳矽烷1,1,3,3-四氯二矽雜環丁烷前驅物來沉積。該等膜使用氨製程,接著在周遭氣氛中靠加熱板進行易地熱退火步驟經過3小時而沉積。沉積及退火溫度皆為300℃。為了去除該等膜的末端羥基,該等膜接著於600℃下真空退火(<0.001托耳)經過1小時。該膜厚度收縮9至10%而且該折射率從1.55滑落至1.50。圖2所示的膜IR光譜顯示經過真空退火之後於870cm-1處的Si-OH帶縮減。
實施例16. 摻碳的含矽膜之PEALD
含矽膜使用該環狀鹵碳矽烷1,1,3,3-四氯二矽雜環丁烷前驅物、氨及惰性電漿於300℃基材溫度下運用工業用PEALD反應器來沉積。該惰性電漿係用以改良膜密度。將沉積步驟列於下列表XV:
重複製程步驟3至6多次以得到期望的膜厚度,接著步驟7。結果產生的膜具有1.82g/cc的膜密度、由XPS測得的29.5%O、14%N、20.8%C、34.6%Si、1.1%Cl的組成及0.19Å/s的稀HF中濕式蝕刻速率。咸相信該膜中的高氧含量有可能歸因於暴露於周遭空氣。
實施例17. 摻碳的含矽膜之步階覆蓋率(step coverage)
含矽膜由實施例10所述的環狀鹵碳矽烷1,1,3,3-四氯二矽雜環丁烷沉積在具有表面特徵的Si圖案化晶圓上。該表面特徵的結構深寬比係為1:10及1微米開口和10微米深度。截面係由圖3a至3c所示的掃描式電子顯微鏡底下檢查的沉積膜組成。該表面特徵的深寬比為1:10(1微米開口)(上部)3a所示的結構頂部;(中間)3b所示的結構側壁;及(底部)3c所示的結構底部。截面的SEM影像顯示該膜的約100%表面覆蓋率。
實施例18. 在摻碳的含矽膜進行ALD沉積的期間之電漿緻密化處理
含矽膜使用該環狀鹵碳矽烷前驅物1,1,3,3-四氯二矽雜環丁烷、氨及每一ALD周期的惰性電漿緻密化步驟於300℃基材溫度下沉積,其證實間歇性膜處理能改良結果產生的膜的某些性質,例如密度。將該等沉積步驟列於下列表XVI:
重複表XVI中的製程步驟3至8經過1000次以得到期望厚度的含矽膜,結果產生的膜含有由XPS測得的7.1%O、48.0%N、5.3%C、39.3%Si和0.3%Cl及2.6g/cc的較高密度。咸相信該膜中的高氧含量有可能歸因於暴露於周遭空氣。
實施例19. 使用乙二胺以熱ALD製程沉積之摻碳的含矽膜
含矽膜由1,1,3,3-四氯-1,3-二矽雜環丁烷當該環狀碳矽烷前驅物及乙二胺於300℃的溫度下沉積。該前驅物 於70℃來源溫度下使用蒸氣吸引來遞送,而氮來源則於30℃下使用蒸氣吸引來遞送。
重複製程步驟3至14多次以達到希望的膜厚度。結果產生的膜具有1.56的折射率。該膜密度係為1.55g/cc。藉由XRR及XPS測量時,該膜組成係33.7%C、6.4%N、24.4%N、34.3%O、1.2%Cl。咸相信該膜中的高氧含量有可能歸因於暴露於周遭空氣。乙二胺製程的碳含量(33.7%C)比氨製程(24.7%C)更高。
比較例1. 使用SiCl4和氨沉積的含矽膜
含矽膜由代替環狀碳矽烷前驅物的四氯化矽(SiCl4)及氨當氮來源於300℃下運用表IV所述的製程步驟沉積。結果產生的膜具有250nm的厚度及1.70的折射率。
該膜於稀HF中經過15秒之後完全被蝕刻掉,其轉換為非常高的蝕刻速率或比16.7Å/s更高。
比較例2. 使用雙(三氯矽烷基)甲烷及氨沉積的摻碳的含矽膜
含矽膜由該前驅物雙(三氯矽烷基)甲烷、線性碳矽烷及氨當氮來源於300℃及500℃下運用表IV所述的製程步驟來沉積。該膜在沉積之後被施以稀HF大約1周以獲得濕式蝕刻速率。表XVIII及XIX提供該等膜的組成數據、折射率及濕式蝕刻速率(WER)。與實施例9的環狀碳矽烷相比,使用該線性碳矽烷沉積的膜顯示更高許多的WER及更低許多的碳含量。
比較例3. 使用雙(三氯矽烷基)甲烷及氨,接著易地沉積後處理來沉積的摻碳的含矽膜
含矽膜由代替環狀碳矽烷的雙(三氯矽烷基)甲烷及氨於300℃下運用表IV的製程步驟。該沉積膜接著於300℃下在周遭氣氛下易地退火3小時。結果產生的膜具有57.9%O、2.4%N、11.9%C及27.7%Si的組成。該膜於稀HF中的WER係0.17Å/s。與該環狀碳矽烷前驅物沉積的膜例如實施例9和10沉積者相比在相同實驗條件之下該碳含量更低許多而且該WER更高許多。
比較例4. 使用雙(三氯矽烷基)甲烷(BTCSM)進行摻碳的含矽膜的ALD沉積的期間之電漿緻密化處理
使用雙(三氯矽烷基)甲烷、氨當氮來源來沉積含Si膜,在ALD沉積結束時緊接著就地惰性電漿緻密化步驟。製程溫度係於300℃。沉積步驟係描述於下列表XXI:
重複製程步驟3至6多次,接著步驟7以提供希望厚度的含矽膜。該沉積膜具有高於9Å/s的稀HF WER,其比由實施例16的環狀碳矽烷在相同沉積條件之下沉積的含矽膜更高許多。

Claims (15)

  1. 一種用於沉積含矽膜之組合物,該組合物包含選自由以下所組成的群組中之至少一前驅物:選自由以下所組成的群組之環狀鹵碳矽烷:1-氯-1,3-二矽雜環丁烷、1-溴-1,3-二矽雜環丁烷、1-碘-1,3-二矽雜環丁烷、1,3-二氯-1,3-二矽雜環丁烷、1,3-二溴-1,3-二矽雜環丁烷、1,3-二碘-1,3-二矽雜環丁烷、1,1-二氯-1,3-二矽雜環丁烷、1,1-二溴-1,3-二矽雜環丁烷、1,1-二碘-1,3-二矽雜環丁烷、1,1,3,3-四氯-1,3-二矽雜環丁烷、1,1,3,3-四溴-1,3-二矽雜環丁烷、1,1,3,3-四碘-1,3-二矽雜環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽雜環丁烷、1,1,3,3,5,5-六氯-1,3,5-三矽雜環己烷、1,1,3,3-四氯-1,3,5-三矽雜環己烷及1,3,5-三氯-1,3,5-三矽雜環己烷;選自由以下所組成的群組之環狀鹵胺基碳矽烷:1,3-雙(二甲基胺基)-1,3-二氯-1,3-二矽雜環丁烷、1,3-雙(二乙基胺基)-1,3-二氯-1,3-二矽雜環丁烷及1-(二甲基胺基)-1,3,3-三氯-1,3-二矽雜環丁烷;及選自由以下所組成的群組之環狀胺基碳矽烷:1,1,3,3-肆(甲基胺基)-1,3-二矽雜環丁烷、1,1,3,3-肆(二甲基胺基)-1,3-二矽雜環丁烷、1,3-雙(二甲基胺基)-1,3-二甲基-1,3-二矽雜環丁烷、1,3-雙(甲基胺基)-1,3-二甲基-1,3-二矽雜環丁烷及1,3-雙(異丙基胺基)-1,3-二甲基-1,3-二矽雜環丁烷。
  2. 一種用於沉積選自摻碳的氧化矽膜及摻碳的氧氮化矽膜之摻碳的含矽膜之方法,該方法包含: 將基材置於反應器中;將反應器加熱至介於約25℃至約700℃中的一或更多溫度;引進選自由環狀鹵碳矽烷、環狀鹵胺基碳矽烷、環狀胺基碳矽烷及其組合所組成的群組中之至少一環狀碳矽烷前驅物;在足以與該至少一環狀碳矽烷前驅物反應並且形成摻碳的氮化矽膜的條件之下引進氮來源;及任意使該碳氮化矽膜暴露於氧來源以於介於約100℃至1000℃中的一或更多溫度下使該碳氮化矽膜轉化成該膜。
  3. 如申請專利範圍第2項之方法,其另外包含藉著選自由熱退火、電漿、紫外光曝光、雷射曝光、電子束曝光及其組合所組成的群組中之至少一者來處理該含矽膜。
  4. 如申請專利範圍第2項之方法,其中該環狀碳矽烷包含選自由以下所組成的群組之環狀鹵碳矽烷:1-氯-1,3-二矽雜環丁烷、1-溴-1,3-二矽雜環丁烷、1-碘-1,3-二矽雜環丁烷、1,3-二氯-1,3-二矽雜環丁烷、1,3-二溴-1,3-二矽雜環丁烷、1,3-二碘-1,3-二矽雜環丁烷、1,1-二氯-1,3-二矽雜環丁烷、1,1-二溴-1,3-二矽雜環丁烷、1,1-二碘-1,3-二矽雜環丁烷、1,1,3,3-四氯-1,3-二矽雜環丁烷、1,1,3,3-四溴-1,3-二矽雜環丁烷、1,1,3,3-四碘-1,3-二矽雜環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽雜環丁烷、1,1,3,3,5,5-六氯-1,3,5-三矽雜環己烷、1,1,3,3-四氯-1,3,5-三矽 雜環己烷及1,3,5-三氯-1,3,5-三矽雜環己烷。
  5. 如申請專利範圍第2項之方法,其中該環狀碳矽烷包含選自由1,3-雙(二甲基胺基)-1,3-二氯-1,3-二矽雜環丁烷、1,3-雙(二乙基胺基)-1,3-二氯-1,3-二矽雜環丁烷及1-(二甲基胺基)-1,3,3-三氯-1,3-二矽雜環丁烷所組成的群組中之環狀鹵胺基碳矽烷。
  6. 如申請專利範圍第2項之方法,其中該環狀碳矽烷包含選自由1,1,3,3-肆(甲基胺基)-1,3-二矽雜環丁烷、1,1,3,3-肆(二甲基胺基)-1,3-二矽雜環丁烷、1,3-雙(二甲基胺基)-1,3-二甲基-1,3-二矽雜環丁烷、1,3-雙(甲基胺基)-1,3-二甲基-1,3-二矽雜環丁烷及1,3-雙(異丙基胺基)-1,3-二甲基-1,3-二矽雜環丁烷所組成的群組中之環狀胺基碳矽烷。
  7. 如申請專利範圍第2項之方法,其中該膜包含藉由XPS測量時約10原子重量%或更高之碳含量。
  8. 如申請專利範圍第2項之方法,其中該膜包含藉由稀氫氟酸測量時約0.15Å/s或更低的濕式蝕刻速率。
  9. 一種用於沉積選自碳化矽膜及摻碳的氮化矽膜之含矽膜之方法,該方法包含:將半導體基材置於反應器中; 將反應器加熱至介於約25℃至約700℃中的一或更多溫度而且使該反應器任意地保持於100托耳或更低的壓力下;引進選自由環狀鹵碳矽烷、環狀鹵胺基碳矽烷及其組合所組成的群組中之至少一環狀碳矽烷;在足以與該碳矽烷前驅物反應並且形成該膜的條件之下將含氮電漿來源引進該反應器。
  10. 如申請專利範圍第9項之方法,其中該含氮電漿來源係選自由氮電漿、包含氮和氦的電漿、包含氮和氬的電漿、氨電漿、包含氨和氦的電漿、包含氨和氬的電漿、氦電漿、氬電漿、氖電漿、氫電漿、包含氫和氦的電漿、包含氫和氬的電漿、有機胺電漿、有機二胺電漿及其組合所組成的群組。
  11. 如申請專利範圍第9項之方法,其另外包含藉著選自由熱退火、電漿處理、紫外光曝光、雷射曝光、電子束曝光及其組合所組成的群組中之至少一者來處理該含矽膜。
  12. 如申請專利範圍第9項之方法,其另外包含使該膜暴露於加入該反應器的氧來源以使該含矽膜轉化成氧化矽或摻碳的氧化矽膜。
  13. 如申請專利範圍第12項之方法,其中該氧來源係選自由水蒸氣、水電漿、含氧水、含氧水蒸氣、氧、氧電漿、包含氧和氦的電漿、包含氧和氬的電漿、包含氮氧化物的電漿、包 含二氧化碳的電漿、過氧化氫、有機過氧化物及其組合所組成的群組。
  14. 如申請專利範圍第12項之方法,其中該環狀碳矽烷包含選自由以下所組成的群組之環狀鹵碳矽烷:1-氯-1,3-二矽雜環丁烷、1-溴-1,3-二矽雜環丁烷、1-碘-1,3-二矽雜環丁烷、1,3-二氯-1,3-二矽雜環丁烷、1,3-二溴-1,3-二矽雜環丁烷、1,3-二碘-1,3-二矽雜環丁烷、1,1-二氯-1,3-二矽雜環丁烷、1,1-二溴-1,3-二矽雜環丁烷、1,1-二碘-1,3-二矽雜環丁烷、1,1,3,3-四氯-1,3-二矽雜環丁烷、1,1,3,3-四溴-1,3-二矽雜環丁烷、1,1,3,3-四碘-1,3-二矽雜環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽雜環丁烷、1,1,3,3,5,5-六氯-1,3,5-三矽雜環己烷、1,1,3,3-四氯-1,3,5-三矽雜環己烷及1,3,5-三氯-1,3,5-三矽雜環己烷。
  15. 如申請專利範圍第12項之方法,其中該環狀碳矽烷包含選自由1,3-雙(二甲基胺基)-1,3-二氯-1,3-二矽雜環丁烷、1,3-雙(二乙基胺基)-1,3-二氯-1,3-二矽雜環丁烷及1-(二甲基胺基)-1,3,3-三氯-1,3-二矽雜環丁烷所組成的群組中之環狀鹵胺基碳矽烷。
TW105103876A 2015-02-06 2016-02-04 用於碳摻雜的含矽膜的組合物及其方法 TWI585230B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562113024P 2015-02-06 2015-02-06
US201562142546P 2015-04-03 2015-04-03

Publications (2)

Publication Number Publication Date
TW201629255A true TW201629255A (zh) 2016-08-16
TWI585230B TWI585230B (zh) 2017-06-01

Family

ID=55436159

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105103876A TWI585230B (zh) 2015-02-06 2016-02-04 用於碳摻雜的含矽膜的組合物及其方法

Country Status (9)

Country Link
US (1) US10145008B2 (zh)
EP (2) EP3254303B1 (zh)
JP (4) JP6585724B2 (zh)
KR (4) KR20190141034A (zh)
CN (1) CN107406978B (zh)
IL (1) IL253746B (zh)
SG (2) SG10202012631SA (zh)
TW (1) TWI585230B (zh)
WO (1) WO2016126911A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI721588B (zh) * 2018-10-05 2021-03-11 美商慧盛材料美國責任有限公司 含矽膜的高溫原子層沉積

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3254303B1 (en) * 2015-02-06 2018-12-05 Versum Materials US, LLC Method for formation of carbon doped silicon containing films
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
WO2019058477A1 (ja) * 2017-09-21 2019-03-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US10510852B2 (en) * 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
JP6806719B2 (ja) * 2018-01-17 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) * 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20220037144A1 (en) * 2018-09-24 2022-02-03 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
JP7421551B2 (ja) * 2018-10-03 2024-01-24 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素及び窒素を含有する膜を製造するための方法
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
JP7274578B2 (ja) * 2018-11-27 2023-05-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 1-メチル-1-イソ-プロポキシ-シラシクロアルカン及びそれから製造される緻密有機シリカ膜
JP6957442B2 (ja) 2018-11-30 2021-11-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11756786B2 (en) 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
CN113383108A (zh) * 2019-02-05 2021-09-10 弗萨姆材料美国有限责任公司 碳掺杂氧化硅的沉积
EP3990676A4 (en) * 2019-07-25 2023-07-05 Versum Materials US, LLC COMPOSITIONS COMPRISING SILACYCLOALKANES AND METHODS USING THEM FOR DEPOSITING A FILM CONTAINING SILICON
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210098360A (ko) * 2020-01-31 2021-08-10 주식회사 유피케미칼 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN115867689A (zh) * 2020-06-23 2023-03-28 恩特格里斯公司 硅前体化合物及形成含硅膜的方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW302063U (en) * 1992-09-24 1997-04-01 Honda Motor Co Ltd Pipe joint
US5415126A (en) * 1993-08-16 1995-05-16 Dow Corning Corporation Method of forming crystalline silicon carbide coatings at low temperatures
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
JP5218765B2 (ja) * 2006-03-29 2013-06-26 Jsr株式会社 ポリマーの製造方法、ポリマー、ポリマー膜形成用組成物、ポリマー膜の形成方法およびポリマー膜
US7998536B2 (en) * 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
KR100923775B1 (ko) * 2007-11-30 2009-10-27 한국과학기술연구원 폴리염화카보실란의 제조방법
WO2009123032A1 (ja) * 2008-04-02 2009-10-08 Jsr株式会社 含ケイ素重合体を含む組成物およびその硬化物
US8241624B2 (en) 2008-04-18 2012-08-14 Ecolab Usa Inc. Method of disinfecting packages with composition containing peracid and catalase
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
CN103467506B (zh) * 2008-10-20 2016-03-23 陶氏康宁公司 Cvd前体
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
KR101366002B1 (ko) * 2010-04-09 2014-02-21 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR20130135261A (ko) * 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
WO2013039881A2 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
JP6043546B2 (ja) * 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9643844B2 (en) 2013-03-01 2017-05-09 Applied Materials, Inc. Low temperature atomic layer deposition of films comprising SiCN or SiCON
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9343293B2 (en) 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
EP3254303B1 (en) * 2015-02-06 2018-12-05 Versum Materials US, LLC Method for formation of carbon doped silicon containing films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI721588B (zh) * 2018-10-05 2021-03-11 美商慧盛材料美國責任有限公司 含矽膜的高溫原子層沉積

Also Published As

Publication number Publication date
EP3254303B1 (en) 2018-12-05
TWI585230B (zh) 2017-06-01
JP6585724B2 (ja) 2019-10-02
SG10202012631SA (en) 2021-01-28
SG11201706257YA (en) 2017-08-30
CN107406978B (zh) 2019-12-03
EP3460827A1 (en) 2019-03-27
KR20220027287A (ko) 2022-03-07
JP2022031313A (ja) 2022-02-18
WO2016126911A3 (en) 2016-11-24
IL253746B (en) 2021-10-31
KR102058595B1 (ko) 2019-12-23
EP3460827B1 (en) 2022-05-25
CN107406978A (zh) 2017-11-28
JP2023182658A (ja) 2023-12-26
KR20190141034A (ko) 2019-12-20
JP7048548B2 (ja) 2022-04-05
IL253746A0 (en) 2017-09-28
JP2019220713A (ja) 2019-12-26
KR102650626B1 (ko) 2024-03-21
JP2018506185A (ja) 2018-03-01
US20180023192A1 (en) 2018-01-25
KR20240042186A (ko) 2024-04-01
WO2016126911A2 (en) 2016-08-11
EP3254303A2 (en) 2017-12-13
US10145008B2 (en) 2018-12-04
KR20170115590A (ko) 2017-10-17

Similar Documents

Publication Publication Date Title
TWI585230B (zh) 用於碳摻雜的含矽膜的組合物及其方法
JP7025534B2 (ja) シリコン含有膜堆積用の組成物及び方法
JP6849788B2 (ja) 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法
JP6219870B2 (ja) 酸化ケイ素膜の堆積のための組成物および方法
JP6959304B2 (ja) ケイ素及び窒素を含有する膜を製造する方法
JP6999620B2 (ja) 高い炭素含有量を有する炭素ドープ酸化ケイ素膜および炭化ケイ素膜の製造方法
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
TW202035430A (zh) 用於含矽膜的組合物及使用其的方法
JP2018503247A (ja) ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物
KR102411034B1 (ko) 알킬아미노 치환 카보실란 전구체
JP2018502449A (ja) ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物
TWI761838B (zh) 熱沉積含矽膜的組合物及方法
KR20210104123A (ko) 550℃ 이상의 온도에서 ald를 사용하여 si-함유 막을 증착시키기 위한 전구체 및 방법
KR102491073B1 (ko) 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물, 및 실리콘-함유 막 형성용 조성물을 이용한 막 형성 방법
KR20190114874A (ko) 실리콘 박막 형성용 전구체 및 이를 이용한 실리콘 함유 박막 형성 방법 및 상기 실리콘 함유 박막을 포함하는 반도체 소자.
TW202311273A (zh) 矽前驅物
KR20210041809A (ko) 실리콘 박막 형성용 전구체 및 이를 이용한 실리콘 박막 형성 방법 및 상기 실리콘 박막을 포함하는 반도체 소자.