JP6219870B2 - 酸化ケイ素膜の堆積のための組成物および方法 - Google Patents

酸化ケイ素膜の堆積のための組成物および方法 Download PDF

Info

Publication number
JP6219870B2
JP6219870B2 JP2015064404A JP2015064404A JP6219870B2 JP 6219870 B2 JP6219870 B2 JP 6219870B2 JP 2015064404 A JP2015064404 A JP 2015064404A JP 2015064404 A JP2015064404 A JP 2015064404A JP 6219870 B2 JP6219870 B2 JP 6219870B2
Authority
JP
Japan
Prior art keywords
plasma
bis
group
reactor
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015064404A
Other languages
English (en)
Other versions
JP2015188087A (ja
Inventor
マリカージュナン アヌパマ
マリカージュナン アヌパマ
チャンドラ ハリピン
チャンドラ ハリピン
マンチャオ シャオ
シャオ マンチャオ
レイ シンジエン
レイ シンジエン
スコット カットヒル カーク
スコット カットヒル カーク
マーク レオナルド オニール
レオナルド オニール マーク
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー, バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2015188087A publication Critical patent/JP2015188087A/ja
Application granted granted Critical
Publication of JP6219870B2 publication Critical patent/JP6219870B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K11/00Luminescent, e.g. electroluminescent, chemiluminescent materials
    • C09K11/06Luminescent, e.g. electroluminescent, chemiluminescent materials containing organic luminescent materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Description

本願は、2014年3月26日付け出願の米国特許仮出願61/970、602号明細書(参照によりその全てを本明細書中に取り込む)の優先権および利益を主張する。
本明細書中に記載されているのは、ケイ素および酸化物含有膜の形成のための組成物および方法である。さらに具体的に言うと、本明細書中に記載されているのは、約300℃以下、または約25℃〜約300℃の範囲の1つまたは2つ以上の堆積温度における化学量論または非化学量論の酸化ケイ素膜または材料の形成のための組成物および方法である。
原子層堆積(ALD)およびプラズマ増強原子層堆積(PEALD)は、(<500℃)低温において酸化ケイ素の追従性膜を堆積させるのに使用されるプロセスである。ALDおよびPEALDプロセスの両方において、前駆体および(酸素またはオゾン等の)反応ガスは、ある数のサイクルで別個にパルスされて、それぞれのサイクルにおいてケイ素の単層を形成する。しかし、これらのプロセスを使用して低温において堆積された酸化ケイ素は、制限なく、ある半導体用途において有害である場合がある窒素(N)等のあるレベルの不純物を含む場合がある。これを改善するためには、1つの可能な解決は、堆積温度を500℃以上に上げることである。しかし、これらのより高い温度において、半導体業界によって用いられる従来の前駆体は、ALDモードよりむしろ化学気相堆積(CVD)モードにおいて、自己反応し、熱的に分解し、そして堆積する傾向がある。CVDモードの堆積は、多くの半導体用途において必要とされる特に高いアスペクト比の構造のためのALD堆積に比較して低下した共形性を有する。さらにCVDモードの堆積は、ALDモードの堆積より膜または材料の厚さの制御がより低い。
参照文献 題名’’Some New Alkylaminosilanes’’、Abel、E.W.et al.、J J.Chem.Soc.、(1961)、Vol.26、pp.1528−1530は、トリメチルクロロシラン(MeSiCl)と適当なアミンとの直接相互作用からのMeSiNHBu−イソ、MeSiNHBu−sec、MeSiN(Pr−イソ)、およびMeSiN(Bu−sec)(式中、Me=メチル、Bu−sec=sec−ブチル、およびPr−イソ=イソプロピル)等の種々のアミノシラン化合物の調製を記載する。
参照文献 題名’’SiO Atomic Layer Deposition Using Tris(dimethylamino)silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy’’、Burton,B.B.,et al.,The Journal of Physical Chemistry(2009)、Vol.113,pp.8249−57Vol.113、pp.8249〜57は、種々のケイ素前駆体と酸化剤としてのHとを使用した二酸化ケイ素(SiO)の原子層堆積(ALD)を記載する。ケイ素前駆体は、(N、N−ジメチルアミノ)トリメチルシラン)(CHSiN(CH、ビニルトリメトキシシランCHCHSi(OCH、トリビニルメトキシシラン(CHCH)SiOCH、テトラキス(ジメチルアミノ)シランSi(N(CH、およびトリス(ジメチルアミノ)シラン(TDMAS)SiH(N(CHであった。TDMASは、これらの前駆体の中で最も効果的と決定された。しかし、さらなる研究でTDMASからのSiH表面種がHOのみを使用して除去することが困難であったことが解った。続く研究は、TDMASおよびHを酸化剤として利用し、そして150〜550℃の温度範囲においてSiOALDを探索した。TDMASおよびH表面反応が完了するのに必要な暴露を、in situ FTIR分光法を使用して監視した。TDMAS曝露に続くFTIR振動分光学は、O-H伸張振動の吸光度の損失およびC-HxおよびSi-H伸張振動での吸光度のゲインを示した。H曝露に続くFTIR振動スペクトルは、C-HxおよびSi-H伸張振動での吸光度の損失およびO-H伸張振動での吸光度の増加を示した。SiH表面種は、温度>450℃において完全に除去された。SiOのバルク振動モードを1000〜1250cm-1の間で観察し、そしてこれはTDMASおよびH反応サイクルの数とともに徐々に成長した。透過電子顕微鏡(TEM)を、150〜550℃の間の温度において、ZrOナノ粒子への50TDMASおよびH反応サイクル後に行った。それぞれの温度においてTEMにより決定した膜厚を、SiOALD成長速度を得るために使用した。サイクル当たりの成長は、150℃における0.8Å/サイクル〜550℃における1.8Å/サイクルで変化し、そしてSiH表面種の除去と相関した。TDMASおよびHを使用するSiOALDは、>450℃の温度でSiOALDに役だっているべきである。
日本国特許公開第2010−275602号明細書および日本国特許公開第2010−225663号明細書は、300℃〜500℃の温度範囲において、化学気相堆積(CVD)方法によって酸化ケイ素等のSi含有薄膜を生成するための原料の使用を開示する。原料は、式:(a)HSi(CH)(R)(NR)、(式中、RはNRまたは1C〜5Cアルキル基を表し;RおよびRはそれぞれ1C〜5Cアルキル基または水素原子を表し;そしてRおよびRは、それぞれ1C〜5Cアルキル基を表す。);または(b)HSiCl(NR)(NR)、(式中、RおよびRは、独立して1〜4の炭素原子を有するアルキル基、または水素原子を表し;そしてRおよびRは、独立して1〜4の炭素原子を有するアルキル基を表す。)によって表される有機ケイ素化合物である。有機ケイ素化合物はH−Si結合を含む。
米国特許第5、424、095号明細書は、炭化水素の工業的熱分解の間のコークス生成速度を低下させる方法を記載し、反応器の内部表面は均一層のセラミック材料で被覆され、この層は、酸化物セラミックスを生成するために、蒸気相中、蒸気を含むガス雰囲気中での非アルコキシル化有機ケイ素前駆体の熱分解によって堆積される。
米国特許出願公開第201/0291321号明細書は、誘電体膜と集積回路基材の金属相互接続との間の高品質Si炭窒化物バリアー誘電体膜を生成するためのPECVD法を記載し、これは、誘電体膜または金属相互接続を有する集積回路基材を提供すること;基材とR(NRR’)Si(式中、R、R’、RおよびR’は、それぞれの個々にH、直鎖または分枝飽和または不飽和アルキル、または芳香族基から選択され;x+y+z=4;z=1〜3;しかしR、R’は両方ともHであることはできず;そしてz=1または2であり、それぞれのxおよびyは少なくとも1である。)を含むバリアー誘電体膜前駆体とを接触させること;集積回路基材上に、C/Si比>0.8およびN/Si比>0.2を有するSi炭窒化物バリアー誘電体膜を形成すること、の各ステップを含む。
米国特許出願公開第2013/0295779A号明細書は、以下の式:
I.RmSi(NR
(式中、R、R、およびRは、それぞれ独立して、水素、直鎖または分枝C〜C10アルキル基、およびC〜C10アリール基から選択され;Rは、直鎖または分枝C〜C10アルキル基、およびC〜C10アリール基、C〜C10アルキルシリル基から選択され;RおよびRは結合して環状環構造を形成するか、またはRおよびRは環状環構造を形成するために結合せず;Xは、Cl、BrおよびIからなる群から選択されたハロゲン化物であり;mは0〜3であり;nは0〜2であり;そしてpは0〜2であり、そしてm+n+p=3である。);および
II.R Si(OR(OR
(式中、RおよびRは、それぞれ独立して、水素、直鎖または分枝C〜C10アルキル基、およびC〜C10アリール基であり;RおよびRは、それぞれ独立して直鎖または分枝C〜C10アルキル基、およびC〜C10アリール基から選択され;
およびRは結合して環状環構造を形成するか、またはRおよびRは環状環構造を形成するために結合せず;Xは、Cl、BrおよびIからなる群から選択されるハロゲン化物原子であり;mは0〜3であり;nは0〜2であり;qは0〜2であり、そしてpは0〜2であり、そしてm+n+q+p=3である。)を有するケイ素前駆体を使用して>500℃の堆積温度で酸化ケイ素膜を生成するための原子層堆積(ALD)法を記載する。
米国特許第7、084、076号明細書は、二酸化ケイ素を生成するために500℃未満のALD堆積のための触媒としてピリジンとともに使用されるヘキサクロロジシロキサン(HCDSO)等のハロゲン化したシロキサンを開示する。
米国特許第6、992、019号明細書は、関連したパージ方法およびシーケンス処理と伴に、少なくとも2つのケイ素原子を有するケイ素化合物からなる第1の反応物成分を使用することによって、または触媒成分として第3級脂肪族のアミンを使用することによって、半導体基材上により優れた特性を有する二酸化ケイ素層を生成するための触媒で補助した原子層堆積(ALD)のための方法を開示する。使用される前駆体は、ヘキサクロロジシランである。堆積温度は、25℃〜150℃の間である。
したがって、以下の属性:約2.1g/cc以上の密度、低い化学的不純物、および/またはより安く、反応性がありかつさらに安定な有機アミノシランを使用したプラズマ増強原子層堆積(ALD)法またはプラズマ増強ALD様の方法における高い共形性、の少なくとも1つまたは2つ以上を有する酸化ケイ素膜を生成するための方法を開発することへのニーズが依然ある。さらに、例えば、酸化ケイ素から炭素ドープ酸化ケイ素の範囲の調節可能な膜を提供できる前駆体を開発することへのニーズがある。
本明細書中に記載されているのは、プラズマ増強ALD、プラズマ増強サイクリック化学気相堆積(PECCVD)、プラズマ増強ALD様の方法、または酸素反応物源を用いたALD法での、比較的低温における、例えば、300℃以下の1つまたは2つ以上の温度における、制限なく、酸化ケイ素、炭素ドープ酸化ケイ素、ケイ素酸窒化膜、または炭素ドープケイ素酸窒化膜等の化学量論または非化学量論の酸化ケイ素材料または膜の堆積のための方法である。
一つの形態は、基材上にケイ素および酸化物を含む膜を堆積させるための方法であって、これは:
a)反応器中に基材を提供することと、
b)以下の式A:
Si(NR4−m−n
(式中、Rは、独立して、直鎖C〜Cアルキル基、分枝C〜Cアルキル基、C〜C環状アルキル基、C〜Cアルケニル基、C〜Cアルキニル基、およびC〜C10アリール基から選択され;RおよびRは、それぞれ独立して、水素、C〜C直鎖アルキル基、分枝C〜Cアルキル基、C〜C環状アルキル基、C〜Cアルケニル基、C〜Cアルキニル基、およびC〜C10アリール基からなる群から選択され、式A中のRおよびRは、RおよびRが結合して環状環構造を形成するものと、RおよびRが環状環構造を形成するために結合しないものとから選択され;n=1、2、3であり;そしてm=1、2である。)を有する化合物を含む少なくとも1種のケイ素前駆体を反応器中に導入することと、
c)パージガスで反応器をパージすることと、
d)反応器中に酸素含有源を導入することと、
e)パージガスで反応器をパージすることと
の各ステップを含み、
ステップb〜eは、所望の厚さの膜が堆積されるまで繰り返され;そしてこの方法は約25℃〜300℃の範囲の1つまたは2つ以上の温度において行われる。
この態様または他の態様において、酸素含有源は、酸素プラズマ、水蒸気、水蒸気プラズマ、不活性ガスありまたはなしの窒素酸化物(例えば、NO、NO、NO)プラズマ、炭素酸化物(例えば、CO、CO)プラズマおよびそれらの組み合わせからなる群から選択された供給源である。ある態様において、酸素源は、不活性ガスをさらに含む。これらの態様では、不活性ガスは、アルゴン、ヘリウム、窒素、水素、およびそれらの組み合わせからなる群から選択される。他の態様では、酸素源は、不活性ガスを含まない。また別の態様では、酸素含有源は、プラズマ条件下で試薬と反応してケイ素酸窒化膜を提供する窒素を含む。
上記の1つまたは2つ以上の態様において、少なくとも1種のケイ素前駆体は、上記の式を有し、そしてn=3およびm=1のモノアミノアルキルシラン化合物を含む。ある特定の態様において、式中のRはCまたはメチル基を含む。
上記の1つまたは2つ以上の態様において、少なくとも1種のケイ素前駆体は、上記の式を有し、そしてn=2およびm=1のモノアミノアルキルシラン化合物を含む。ある特定の態様において、式中のRはCまたはメチル基を含む。
上記の1つまたは2つ以上の態様において、少なくとも1種のケイ素前駆体は、上記の式を有し、そしてn=1およびm=1のビスアミノアルキルシラン化合物を含む。ある特定の態様において、式中のRはCまたはメチル基を含む。
上記の1つまたは2つ以上の態様において、少なくとも1種のケイ素前駆体は、上記の式を有し、そしてn=1およびm=2であるビスアミノアルキルシラン化合物を含む。ある特定の態様において、式中のRはCまたはメチル基を含む。
上記の1つまたは2つ以上の態様において、少なくとも1種のケイ素前駆体は、下記式B:
Si(NRH)4−m−n
(式中、Rは、独立して直鎖C〜Cアルキル基から選択され、Rは、C〜C直鎖アルキル基、分枝C〜Cアルキル基から選択され;n=1または2;およびm=2である。)を有するビスアミノアルキルシラン化合物を含む。
上記の1つまたは2つ以上の態様において、パージガスは、窒素、ヘリウムおよびアルゴンからなる群から選択される。
別の形態では、基材上に酸化ケイ素膜および炭素ドープ酸化ケイ素膜から選択された膜を堆積させる方法であって、これは:
a.反応器中に基材を提供することと、
b.以下の式:
Si(NR4−m−n
(式中、Rは、独立して、直鎖C〜Cアルキル基から選択され、Rは、C〜C直鎖アルキル基、分枝C〜Cアルキル基から選択され;Rは水素であり;n=1または2;およびm=2である。)を有する化合物を含む少なくとも1種のケイ素前駆体を反応器中に導入することと、
c.パージガスで反応器をパージすることと、
d.反応器中に酸素含有源を導入することと、
e.パージガスで反応器をパージすることと、
の各ステップを含み、
ステップb〜eは所望の厚さの膜が堆積されるまで繰り返され、そして
この方法は、約25℃〜約300℃の範囲の1つまたは2つ以上の温度において行われる。
上記の1つまたは2つ以上の態様において、酸素含有プラズマ源は、不活性ガスありまたはなしの酸素プラズマ、不活性ガスありまたはなしの水蒸気プラズマ、不活性ガスありまたはなしの窒素酸化物(NO、NO、NO)プラズマ、不活性ガスありまたはなしの炭素酸化物(CO、CO)プラズマ、およびそれらの組み合わせからなる群から選択される。ある態様において、酸素含有プラズマ源は、不活性ガスをさらに含む。これらの態様では、不活性ガスは、アルゴン、ヘリウム、窒素、水素、またはそれらの組み合わせからなる群から選択される。他の態様では、酸素含有プラズマ源は、不活性ガスを含まない。
また別の形態において、蒸着法を使用して酸化ケイ素膜または炭素ドープ酸化ケイ素膜から選択された膜を堆積させる組成物が提供され、この組成物は、以下の式B:
Si(NRH)4−m−n
(式中、Rは、独立して、直鎖C〜Cアルキル基から選択され、Rは、独立して、C〜C直鎖アルキル基および分枝C〜Cアルキル基から選択され;n=1または2;およびm=2である。)を有する化合物を含む。
上記の組成物の一態様では、組成物は、少なくとも1種のケイ素前駆体を含み、この前駆体には、アミン、ハロゲン化物、より高い分子量種、および微量金属から選択される少なくとも1種が実質的にない。
図1は、C−HまたはSi−CH結合の証拠を示さない例6中に記載されたように堆積された膜のフーリエ変換赤外(FTIR)スペクトルを示す。
図2は、ジメチルアミノトリメチルシラン(DMATMS)対熱酸化物で100℃において例6で記載されたように堆積された酸化ケイ素膜での電流対電界を提供する。
図3は、以下の前駆体:ビス(ジエチルアミノ)シラン(BDEAS)、ビス(sec−ブチルアミノ)メチルシラン(BSBAMS)、およびビス(ジエチルアミノ)メチルシラン(BDEAMS)および表11中に提供されたプロセス条件を使用して堆積された膜での1サイクル当たりの成長の挙動を具体的に示す。
図4は、0.2〜2秒の範囲の種々の前駆体パルス時間を用いた100℃の温度での表10に提供されたプロセス条件によるBSBAMSおよびBDEAMSで堆積された膜の飽和挙動を示す。
本明細書中に記載されているのは、約300℃以下、または約25℃〜約300℃の1つまたは2つ以上の温度での、制限なく、酸化ケイ素膜、炭素ドープ酸化ケイ素膜、ケイ素酸窒化物膜、炭素ドープケイ素酸窒化膜またはそれらの組み合わせ等のケイ素および酸化物を含む化学量論または非化学量論膜または材料の形成に関連した方法である。本明細書中に記載されている膜は、制限なく、プラズマ増強ALDまたはプラズマ増強サイクリック化学気相堆積法(CCVD)等の原子層堆積(ALD)等の堆積法においてまたはALD様の方法において、堆積される。本明細書中に記載された低温堆積法(例えば、ほぼ周囲温度〜300℃の範囲の1つまたは2つ以上の堆積温度)は、以下の利点:約2.1g/cc以上の密度、低い化学的不純物、プラズマ増強原子層堆積(ALD)法またはプラズマ増強ALD様の方法における高い共形性、生じる膜における炭素含有量を調整する能力;および/または希釈HFにおいて測定した場合膜が5オングストローム/秒(Å/秒)以下のエッチング速度を有すること、の少なくとも1つまたは2つ以上を示す膜または材料を提供する。炭素ドープ酸化ケイ素膜では、1%超の炭素は、制限なく、約1.8g/cc以上または約2.0g/cc以上の密度等の他の特徴に加えて、2Å/秒未満の値にエッチ速度を調整することが望まれる。
本明細書中に記載された方法の一態様では、この方法は、プラズマを含む酸素含有源を使用するALD法を介して行われ、プラズマは、以下:不活性ガスありまたはなしの酸素プラズマ、不活性ガスありまたはなしの水蒸気プラズマ、不活性ガスありまたはなしの窒素酸化物(例えば、NO、NO、NO)プラズマ、不活性ガスありまたはなしの炭素酸化物(例えば、CO、CO)プラズマ、およびそれらの組み合わせの1種または2種以上等の不活性ガスをさらに含むことができる。この態様において、基材の少なくとも1つの表面上に酸化ケイ素膜を堆積するための方法は、以下のステップ:
a.反応器中に基材を提供することと、
b.反応器中に本明細書中に記載された式AまたはBを有する少なくとも1種のケイ素前駆体を導入することと、
c.パージガスで反応器をパージすることと、
d.反応器中にプラズマを含む酸素含有源を導入することと、
e.パージガスで反応器をパージすることと、
を含む。
上記の方法において、ステップb〜eは所望の厚さの膜が基材上に堆積されるまで繰り返される。酸素含有プラズマ源はin situで、または代わりに遠隔で生成されることができる。ある特定の態様において、酸素含有源は、酸素を含み、そして流れであり、または制限なく、少なくとも1種のケイ素前駆体および任意選択的に不活性ガス等の他の試薬と共に、ステップb〜dの方法の間に導入される。
本明細書中に記載された方法の別の態様では、この方法は、基材の少なくとも1つの表面上に炭素ドープ酸化ケイ素膜を堆積するために使用され、これは:
a.反応器中に基材を提供することと、
b.反応器中に本明細書中に記載された式AまたはBを有する少なくとも1種のケイ素前駆体を導入することと、
c.パージガスで反応器をパージすることと、
d.反応器中に酸素含有源を導入することと、
e.パージガスで反応器をパージすることと、
を各ステップを含み。
ステップb〜eは所望の厚さの炭素ドープ酸化ケイ素が堆積されるまで繰り返され;そしてこの方法は、約300℃以下の1つまたは2つ以上の温度において行われる。この態様または他の態様において、酸素含有源は、オゾン、不活性ガスありまたはなしの酸素プラズマ、不活性ガスありまたはなしの水蒸気プラズマ、不活性ガスありまたはなしの窒素酸化物(NO、NO、NO)プラズマ、不活性ガスありまたはなしの炭素酸化物(CO、CO)プラズマ、およびそれらの組み合わせからなる群から選択される。ある特定の態様において、酸素含有源は、二酸化炭素プラズマを含む。この態様または他の態様において、酸素含有源は、アルゴン、ヘリウム、窒素、水素、およびそれらの組み合わせからなる群から選択される不活性ガスを含む。酸素含有源がプラズマを含む態様において、プラズマは、反応器中においてin situでまたは遠隔で生成されることができ、そして次に反応器中に導入されることができる。ある特定の態様において、酸素含有源は、酸素を含み、そして流れであり、または制限なく、少なくとも1種のケイ素前駆体および任意選択的に不活性ガス等の他の試薬と共に、ステップb〜dの方法の間に導入される。
一態様では、本明細書中に記載された少なくとも1種のケイ素含有前駆体は、以下の式A:
Si(NR4−m−n
(式中、Rは、独立して、直鎖C〜Cアルキル基、分枝C〜Cアルキル基、C〜C環状アルキル基、C〜Cアルケニル基、C〜Cアルキニル基、およびC〜C10アリール基から選択され、RおよびRは、それぞれ独立して、水素、C〜C直鎖アルキル基、分枝C〜Cアルキル基、C〜C環状アルキル基、C〜Cアルケニル基、C〜Cアルキニル基、およびC〜C10アリール基からなる群から選択され;そしてRおよびRは結合して環状環構造を形成するか、またはRおよびRは環状環構造を形成するために結合せず;n=1、2、3;およびm=1、2である。)を有する化合物である。式Aのある特定の態様において、置換基Rは、独立して直鎖C〜Cアルキル基から選択され、Rは、C〜C直鎖アルキル基、分枝C〜Cアルキル基から選択され;Rは水素であり;n=1または2;およびm=2である。
別の態様では、少なくとも1種のケイ素前駆体は、下記式B:
Si(NRH)4−m−n
(式中、Rは、独立して、直鎖C〜Cアルキル基から選択され、Rは、C〜C直鎖アルキル基、分枝C〜Cアルキル基から選択され;n=1または2;およびm=2である。)を有するビスアミノアルキルシラン化合物を含む。
1つまたは2つ以上の態様において、少なくとも1種のケイ素前駆体は、上記の式を有し、そしてn=3およびm=1であるモノアミノアルキルシラン化合物を含む。ある特定の態様において、式中のRはC直鎖アルキル基またはメチルを含む。さらに例示的な前駆体は、表1中に記載された以下の化合物中に列挙される。

表1.式Aを有しn=3およびm=1であるモノアミノアルキルシラン化合物
Figure 0006219870
Figure 0006219870
1つまたは2つ以上の態様において、少なくとも1種のケイ素前駆体は、上記の式を有し、そしてn=2およびm=1であるモノアミノアルキルシラン化合物を含む。ある特定の態様において、式中のRはC直鎖アルキル基またはメチルを含む。さらに例示的な前駆体は、以下の表2中に列挙される:

表2.式Aを有し、n=2およびm=1であるモノアミノアルキルシラン化合物
Figure 0006219870
Figure 0006219870
1つまたは2つ以上の態様において、少なくとも1種のケイ素前駆体は、本明細書中に記載された式Aを有し、そしてn=1およびm=1である化合物を含む。ある特定の態様において、式中のRはC直鎖アルキル基またはメチルを含む。さらに例示的な前駆体は、以下の表3中に列挙される:

表3.式Aを有し、n=1およびm=1であるモノアミノアルキルシラン化合物
Figure 0006219870
1つまたは2つ以上の態様において、少なくとも1種のケイ素前駆体は、本明細書中に記載された式AまたはBを有し、そしてn=1およびm=2であるビスアミノアルキルシラン化合物を含む。ある特定の態様において、式中のRはC直鎖アルキルまたはメチル基を含む。式Aを有し、n=1およびm=2であるさらに例示的な前駆体は、制限なく、以下の表4に列挙されたものを含む:

表4.式AまたはBを有し、そしてn=1およびm=2であるビスアミノアルキルシラン化合物
Figure 0006219870
Figure 0006219870
1つまたは2つ以上の態様において、少なくとも1種のケイ素前駆体は、本明細書中に記載された式AまたはBを有し、そしてn=2およびm=2であるビスアミノアルキルシラン化合物を含む。ある特定の態様において、式中のRはC直鎖アルキルまたはメチル基を含む。式Aを有し、n=2およびm=2であるさらに例示的な前駆体は、制限なく、以下の表5に列挙されたものを含む:

表5.式AまたはBを有し、n=2およびm=2であるビスアミノアルキルシラン化合物
Figure 0006219870
上記の式中および記載を通して、用語「アルキル」は、1〜6の炭素原子を有する直鎖または分枝の官能基をいう。例示的な直鎖アルキル基は、メチル、エチル、プロピル、ブチル、ペンチル、およびヘキシル基を含むがこれらに限られない。例示的な分枝アルキル基は、イソプロピル、イソブチル、sec−ブチル、tert−ブチル、イソペンチル、tert−ペンチル、イソヘキシル、およびneo−ヘキシルを含むがこれらに限られない。ある態様において、アルキル基は、そこに結合したアルコキシ基、ジアルキルアミノ基またはそれらの組み合わせ等を含むが、これらに限られないそこに結合した1種または2種以上の官能基を有することができる。他の態様において、アルキル基は、そこに結合した1種または2種以上の官能基を有さない。アルキル基は、飽和であるか、また代わりに、不飽和であることができる。
上記の式中および記載を通して、用語「環状アルキル」は、4〜10の炭素原子を有する環状の官能基をいう。例示的な環状アルキル基は、シクロブチル、シクロペンチル、シクロヘキシル、およびシクロオクチル基を含むがこれらに限られない。
上記の式中および記載を通して、用語「アルケニル基」は、1つまたは2つ以上の炭素−炭素二重結合を有し、そして2〜10または2〜6の炭素原子を有する基をいう。
上記の式中および記載を通して、用語「アルキニル基」は、1つまたは2つ以上の炭素−炭素三重結合を有し、そして3〜10または2〜10または2〜6の炭素原子を有する基をいう。
上記の式中および記載を通して、用語「アリール」は、4〜10の炭素原子、5〜10の炭素原子、または6〜10の炭素原子を有する芳香族環状官能基をいう。例示的なアリール基は、フェニル、ベンジル、クロロベンジル、トリル、o−キシリル、1、2、3−トリアゾリル、ピロリル、およびフラニルを含むがこれらに限られない。
上記の式中および記載を通して、用語「アミノ」は、HNRの式を有する有機アミンから誘導された1〜10の炭素原子を有する有機アミノ基をいう。例示的なアミノ基は、ジメチルアミノ(MeN−)、ジエチルアミノ(EtN−)、ジイソプロピルアミノ(iPrN−)等の第2級アミンから誘導された第2級アミノ基;メチルアミノ(MeNH−)、エチルアミノ(EtNH−)、イソプロピルアミノ(iPrNH−)、sec−ブチルアミノ(sBuNH−)、tert−ブチルアミノ(tBuNH−)等の第1級アミンから誘導された第1級アミノ基を含むがこれらに限られない。
ある態様において、式中の置換基RおよびRは共に結合して環構造を形成する。当業者が理解するであろうように、RおよびRは共に結合して環を形成し、そしてRはRに接続するための結合を含むであろうしその逆もそうであろう。これらの態様では、環構造は、飽和、例えば、環状アルキル環、または飽和、例えば、アリール環であることができる。さらに、これらの態様では、環構造はまた、1種または2種以上の原子または基で置換されているか、または非置換されていないことができる。例示的な環状環基は、ピロリジノ、ピペリジノ、および2、6−ジメチルピペリジノ基を含むがこれらに限られない。しかし、他の態様において、置換基RおよびRは、環構造を形成するために結合しない。
ある態様において、本明細書中に記載された方法を使用して堆積された酸化ケイ素膜または炭素ドープ酸化ケイ素膜は、オゾン、水(HO)(例えば、脱イオン水、清浄水、および/または蒸留水)、酸素(O)、酸素プラズマ、NO、NO、NO、一酸化炭素(CO)、二酸化炭素(CO)およびそれらの組み合わせを含む酸素含有源の存在下で、形成される。酸素含有源は、in situでまたは遠隔でプラズマ発生器を通過して、酸素プラズマ、酸素およびアルゴンを含むプラズマ、酸素およびヘリウムを含むプラズマ、オゾンプラズマ、水プラズマ、亜酸化窒素プラズマ、または二酸化炭素プラズマ等の酸素を含む酸素含有プラズマ源を提供する。ある態様において、酸素含有プラズマ源は、約1〜約2000標準立方センチメートル(sccm)または約1〜約1000sccmの範囲の流量で反応器中に導入される酸素源ガスを含む。酸素含有プラズマ源は、約0.1〜約100秒の範囲である時間導入されることができる。ある特定の態様において、酸素含有プラズマ源は、10℃以上の温度を有する水を含む。膜がPEALDまたはプラズマ増強サイクリックCVD法によって堆積される態様において、前駆体パルスは、0.01秒超であるパルス期間を有することができ、そして酸素含有プラズマ源は、0.01秒未満であるパルス期間を有することができる。
本明細書中に開示された堆積方法は、1種または2種以上のパージガスを含むことができる。未消費の反応物および/または反応副生成物をパージして出すために使用されるパージガスは、前駆体と反応しない不活性ガスである。例示的なパージガスは、アルゴン(Ar)、窒素(N)、ヘリウム(He)、ネオン、水素(H)、およびそれらの混合物を含むがこれらに限られない。ある態様において、Ar等のパージガスは、約0.1〜1000秒で約10〜約2000sccmの流量で反応器中に供給され、それによって反応器中に残ることができる未反応の材料および任意の副生成物をパージする。
前駆体、酸素源、および/または他の前駆体、供給源ガス、および/または試薬を供給する各ステップは、生じる誘電体膜の化学量論の組成を変化させるために、時間を変えてそれらを供給することにより行われる。
エネルギーがケイ素前駆体、酸素含有源、またはそれらの組み合わせの少なくとも1つに適用されて、反応を誘起し、そして基材上に誘電体膜または被膜を形成する。そうしたエネルギーは、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子線、フォトン、リモートプラズマ法、およびそれらの組み合わせ(これらに限られない)によって提供されることができる。ある態様において、第2RF周波数源は、基材表面において特徴的なプラズマを改変するのに使用できる。堆積がプラズマを含む態様において、プラズマ発生プロセスは、プラズマが直接反応器中に生成される直接のプラズマ発生プロセス、または代わりに、プラズマが反応器の外で生成され、そして反応器中に供給されるリモート(遠隔)プラズマ発生プロセスを含むことができる。
少なくとも1種のケイ素前駆体は、種々の様式のプラズマ増強サイクリックCVDまたはPEALD反応器またはバッチ炉タイプ反応器等の反応チャンバーに送達されることができる。一態様では、液体送達システムは利用されることができる。他の態様では、組み合わせた液体送達および、例えば、MSP Corporation of Shoreview、MNによって製造されたターボ蒸発器等のフラッシュ蒸発プロセスユニットを用いることができ、低揮発性材料が容積的に送達されることを可能にし、これは前駆体の熱分解なしでの再現可能な輸送および堆積となる。液体送達調合物において、本明細書中に記載された前駆体は、ニート液体形態で送達されることができ、または代わりに、溶媒調合物または溶媒を含む組成物中で用いることができる。したがって、ある態様において、前駆体調合物は、好適な特徴を有する溶媒成分を含むことができ、所与の最終用途の適用において、基材上に膜を形成するのに望ましくかつ好都合であることができる。
本明細書中に記載された少なくとも1種のケイ素前駆体が溶媒および本明細書中に記載された少なくとも1種のケイ素前駆体を含む組成物において使用されるこれらの態様では、選択された溶媒またはそれらの混合物は、ケイ素前駆体と反応しない。組成物中での質量パーセンテージでの溶媒の量は、0.5wt%〜99.5wt%または10wt%〜75wt%の範囲である。この態様または他の態様において、溶媒は、少なくとも1種のケイ素前駆体のb.p.に類似の沸点(b.p.)、または溶媒のb.p.と少なくとも1種のケイ素前駆体のb.p.との間の違いが40℃以下、30℃以下、または20℃以下、または10℃以下である。代わりに、沸点の違いは、以下の終点:0℃、10℃、20℃、30℃、または40℃のいずれか1つまたは2つ以上の範囲である。b.p.の違いの好適な範囲の例は、制限なく、0℃〜40℃、20℃〜30℃、または10℃〜30℃を含む。組成物中の好適な溶媒の例は、エーテル(1、4−ジオキサン、ジブチルエーテル等)、第3級アミン(ピリジン、1−メチルピペリジン、1−エチルピペリジン、N、N’−ジメチルピペラジン、N、N、N’、N’−テトラメチルエチレンジアミン等)、ニトリル(ベンゾニトリル等)、アルカン(オクタン、ノナン、ドデカン、エチルシクロヘキサン等)、芳香族炭化水素(トルエン、メシチレン等)、第3級アミノエーテル(ビス(2−ジメチルアミノエチル)エーテル等)、またはそれらの混合物を含むがこれらに限られない。
先に述べたように、少なくとも1種のケイ素前駆体の純度レベルは、信頼性のある半導体製造のために充分高く受け入れられることができる。ある態様において、本明細書中に記載された少なくとも1種のケイ素前駆体は、2wt%未満、または1wt%未満、または0.5wt%未満の、以下の不純物:遊離アミン、遊離ハロゲン化物またはハロゲンイオン、およびより高分子量種、の1種または2種以上を含む。より高純度レベルの本明細書中に記載されたケイ素前駆体は、以下の工程:精製、吸着、および/または蒸留の1つまたは2つ以上を通して得ることができる。
本明細書中に記載された方法の一態様では、堆積が少なくとも1種のケイ素前駆体および酸素源を使用して行われるPEALD様またはPEALD等のプラズマ増強サイクリック堆積法を使用できる。PEALD様の方法は、プラズマ増強サイクリックCVD法として規定されているが、しかし依然高い共形性の酸化ケイ素膜を提供する。
ある態様において、前駆体の小型缶から反応チャンバーに接続するガスのラインは、工程の要求によって、1つまたは2つ以上の温度に加熱され、そして少なくとも1種のケイ素前駆体の容器は、1つまたは2つ以上の温度にバブリングのために保持される。他の態様において、少なくとも1種のケイ素前駆体を含む溶液を、直接の液体注入のための1つまたは2つ以上の温度において保つ気化器中に注入する。
アルゴンおよび/または他のガスの流れをキャリアガスとして用いて前駆体をパルスする間に、、少なくとも1種のケイ素前駆体の蒸気を反応チャンバーに送達することを助けることができる。ある態様において、反応チャンバーの工程圧力は約50mTorr〜10Torrである。他の態様において、反応チャンバーの工程圧力は760Torrまでであることができる。
PECCVD法等の典型的なPEALDまたはPEALD様の方法において、酸化ケイ素基材等の基材を、ケイ素前駆体に最初に曝されて、複合物が基材の表面上に化学的に吸着することを可能にする反応チャンバー中のヒーターステージ上で加熱する。
アルゴン等のパージガスパージは、プロセスチャンバーから未吸収の過剰な複合物をパージして出す。充分なパージ後に、酸素源を反応チャンバーに導入して、別のガスパージに続いて吸収された表面と反応させて、チャンバーから反応副生成物を除去する。プロセスサイクルを繰り返して所望の膜厚さを達成することができる。ある場合には、ポンプで引くことは、パージを不活性ガスと置き換えることができ、または未反応のケイ素前駆体を除去するためにこれら両方を用いることができる。
この態様または他の態様において、本明細書中に記載された方法のステップを、種々の順序で行うことができ、連続して行うことができ、同時に行うこと(例えば、別のステップの少なくとも一部分)ができ、およびそれらいずれかの組み合わせであることができることが理解される。前駆体および酸素源ガスを供給するそれぞれのステップを、生じる誘電体膜の化学量論組成を変化させるためにそれらを供給する時間の期間を変えることによって、行うことができる。また、スループットを改善するために、前駆体または酸化剤ステップ後のパージ時間を<0.1秒に最小化することができる。
基材上に高品質酸化ケイ素膜を堆積させる本明細書中に記載された方法のある特定の態様は、以下のステップ:
a.反応器中に基材を提供することと、
b.本明細書中に記載された式AまたはBを有する少なくとも1種のケイ素前駆体を反応器中に導入することと、
c.未吸着の前駆体の少なくとも一部分を除去するために、パージガスで反応器をパージすることと、
d.反応器中に酸素含有プラズマ源を導入することと、
e.未反応の酸素源の少なくとも一部分を除去するためにパージガスで反応器をパージすることと、
を含み、
ステップb〜eは所望の厚さの酸化ケイ素膜が堆積されるまで繰り返される。
また本明細書中に開示された別の方法は、モノアミノアルキルシラン化合物またはビスアミノアルキルシラン化合物および酸素源を使用して炭素ドープ酸化ケイ素膜を形成する。
またさらに例示的なプロセスは下記のように記載される:
a.反応器中に基材を提供することと、
b.本明細書中に記載された式AまたはBを有するモノアミノアルキルシラン化合物またはビスアミノアルキルシラン化合物から生成された蒸気と、共流している酸素源と接触させまたは酸素源なしで、加熱した基材上に前駆体を化学的に吸着させることと、
c.任意の未吸着の前駆体をパージして出すことと、
d.熱された基材上に酸素源を導入して、吸着した前駆体と反応させることと、
e.任意の未反応の酸素源をパージして出すことと、
を含み、
ステップb〜eは所望の厚さが達成されるまで繰り返される。
単一のウェハー、半バッチ、バッチ炉またはロールトゥーロール(roll to roll)反応器等の種々の商業的ALD反応器を、固体酸化ケイ素または炭素ドープ酸化ケイ素を堆積させるために用いることができる。
本明細書中に記載された方法のためのプロセス温度は、終点として以下の温度:0℃、25℃、50℃、75℃、100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃、および300℃、の1つまたは2つ以上を使用する。例示的な温度範囲は、約0℃〜約300℃;または約25℃〜約300℃;または約50℃〜約290℃;または約25℃〜約250℃、または約25℃〜約200℃を含むがこれらに限られない。
上記で記載したように、本明細書中に記載された方法は、基材の少なくとも一部分上にケイ素含有膜を堆積させるために使用できる。好適な基材の例は、ケイ素、SiO、Si、OSG、FSG、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、ケイ素炭窒化物、水素化ケイ素炭窒化物、ホウ窒化物、反射防止膜、フォトレジスト、ゲルマニウム、ゲルマニウム含有、ホウ素含有、Ga/As、柔軟基材、有機ポリマー、多孔質有機および無機材料、銅およびアルミニウム等の金属、ならびにTiN、Ti(C)N、TaN、Ta(C)N、Ta、W、またはWN等であるがこれらに限られない拡散バリアー層を含むがこれらに限られない。膜は、例えば、化学的機械的平坦化(CMP)および異方性エッチングプロセス等の種々の次の処理ステップと適合する。
堆積された膜は、コンピューターチップ、光学機器、磁性情報貯蔵、担持材料または基材上の被膜、微小電気機械システム(MEMS)、ナノ電気機械システム、薄膜トランジスター(TFT)、発光ダイオード(LED)、有機発光ダイオード(OLED)、IGZO、および液晶ディスプレイ(LCD)を含むがこれらに限られない用途を有する。生じる固体酸化ケイ素または炭素ドープ酸化ケイ素の潜在的な用途は、浅いトレンチ絶縁、層間誘電体、不動態化層、エッチ停止層、デュアルスペーサーの一部、およびパターニングのための犠牲層を含むがこれらに限られない。
本明細書中に記載された方法は、高品質の酸化ケイ素膜または炭素ドープ酸化ケイ素膜を提供する。用語「高品質」は、以下の特徴:約2.1g/cc以上の密度;1:100の希薄HF(dHF)酸の溶液中で測定して<2.5Å/秒未満である湿式エッチ速度;約1e −8 A/cm以下から6MV/cmまでの電気漏洩;SIMSによって測定して約5e20at/cc以下の水素不純物;およびそれらの組み合わせ、の1つまたは2つ以上を示す膜を意味する。エッチ速度に関して、熱的に成長した酸化ケイ素膜は、1:100のdHF中で0.5Å/秒エッチ速度を有する。
ある態様において、本明細書中に記載された式AおよびBを有する1種または2種以上のケイ素前駆体は、固体かつ無孔性であるか、または実質的に孔がない酸化ケイ素膜を形成させるために使用できる。
以下の例は、本明細書中に記載された酸化ケイ素膜を堆積させる方法を具体的に示し、そしていかなる様式においてもそれを限定することを意図しない。
そうでないと記載しない限り、下記の例において、すべてのプラズマ増強ALD(PEALD)堆積を、電極間3.5ミリメーター(mm)の固定間隔を有する27.1MHz直接プラズマ能力を備えた、商業的スタイルのラテラルフロー反応器(ASM Internationalによって製造された300mmPEALDツール)上で行った。この設計は、独立した圧力設定を有する外側および内側チャンバーを利用する。内側チャンバーはすべての反応物ガス(例えばケイ素前駆体、Ar)がマニホールド中で混合され、そして工程反応器に送達される堆積反応器である。アルゴン(Ar)ガスは、外側チャンバー中の反応器の圧力を維持するために使用される。すべての前駆体は、ステンレススチールバブラー中に室温で維持された液体であり、そして、典型的には200標準立方センチメートル(sccm)の流れに設定されたArキャリアガスを有するチャンバーに送達された。前駆体バブラーは最初の1回または2回の駆動後に重さを量られ、そしてその消費は1回の駆動当たり約1.6〜2.1グラム(g)または1回の駆動当たり約0.01モル(mol)であった。
この研究において報告されるすべての堆積を、8〜12Ω−cmの生の酸化物を含有するケイ素(Si)基材の上で行った。Rudolph FOCUS偏光解析器FE−IVD(回転補正(Rotating Compensator)偏光解析器)を、膜厚および屈折率(RI)を測定するために使用した。引用された%厚の不均一性を式:((最大厚−最小厚)/2×平均厚))×100から計算した。すべての密度測定を、X線反射率(XRR)を用いて行った。低分解能光学系を使用して全てのサンプルでXRRを行った。すべてのサンプルを、0.001°のステップサイズおよび1秒/ステップのカウント時間を使用して、範囲0.200≦2θ≦0.650°にかけてスキャンした。単層または多層モデルを使用してSiとして規定された基材でデータを分析した。化学組成としてSiOを使用して酸化ケイ素層の質量密度を計算した。Nanoscope IIIaコントローラーにインターフェースしたDigital Instruments Dimension 3000を使用してAFMを行った。単一カンチレバーエッチケイ素SPMプローブ(Bruker、NCHV)を用いてタッピングモード(0.6−0.75Hzスキャン速度)で全ての測定を得た。使用したスキャン面積は2.5μm×2.5μmであった。表面モルホロジーにおける違いを理解するため、および表面粗さを計算するために、トポグラフィー画像を捕捉した。
湿式エッチ速度(WER)を、脱イオン水中で49%フッ化水素(HF)酸の1%溶液を使用して行った。熱酸化物ウェハーを、それぞれのバッチでの参照として使用し、溶液濃度を確認した。1:99dHF水溶液での典型的な熱酸化物ウェハー湿式エッチ速度は、0.5Å/秒である。湿式エッチ速度を算出するためにエッチ前後の膜厚を使用した。共形性の研究をシリコンキャリアーウェハーを使用してパターン化されたシリコンウェハー上で100℃において堆積した酸化ケイ素膜上で行った。基材上に堆積された膜を、電界走査電子顕微鏡(FESEM)Hitachi SU 8010 FESEMを使用して測定した。サンプルを断面ホルダーに取り付け、そして2kVの加速電圧で運転したSEMを使用して調べた。サンプル断面の酸化ケイ素厚み測定を、上面、側壁およびトレンチの底において行った。
例1.ビス(sec−ブチルアミノ)メチルシランの合成
ヘキサン(200mL)中ジクロロメチルシラン(110g、0.956mol)の溶液を、追加ロートを介して1時間掛けてヘキサン(1.5L)中sec−ブチルアミン(308g、4.21mol)の攪拌溶液中に滴下して加えた。生じた白色スラリーを室温に加熱し、そして一晩攪拌した。固体をガラスフリット上で真空濾過により除き、そしてヘキサンで2回洗った。混合したろ液を1気圧(atm)で蒸留し、溶媒および過剰アミンの大部分を除いた。次にこの粗生成物を、真空蒸留(92℃/30torr)により精製して、111gのビス(sec−ブチルアミノ)メチルシラン(b.p.=192℃ガスクロマトグラフィーマス分光法(GC−MS)ピーク:188(M+)、173(M-15)、159、143、129、114、100、86、72)を得た。約2.0gのビス(sec−ブチルアミノ)メチルシランを窒素グローブボックス中のそれぞれ3つのステンレススチール管中に充填した。この管を密閉し、そして4日間60℃のオーブン中においた。サンプルを分析して、試験が0.046%低下させたことを示し、ビス(sec−ブチルアミノ)メチルシランが安定であり、そして商業的蒸着法のための前駆体として潜在的に使用できることを示した。
例2.ビス(イソプロピルアミノ)メチルシランの合成
ヘキサン(200mL)中ジクロロメチルシラン(109g、0.0.948mol)の溶液を、ヘキサン(1.5L)中イソプロピルアミン(243g、4.11mol)の攪拌溶液中に1時間かけて追加ロートを介して滴下して加えた。生じた白色スラリーを室温にあたため、そして一晩攪拌した。この固体を真空ろ過によりガラスフリット上で除き、そしてヘキサンで2回洗った。混合したろ液を1気圧で蒸留して溶媒および過剰アミンの大部分を除去した。次にこの粗生成物を真空蒸留(70℃/53torr)によって精製して、93gのビス(イソプロピルアミノ)メチルシラン(b.p.=150℃;GC−MSピーク:160(M+)、145(M-15)、129、117、100、86、72)を与えた。約1.5gのビス(イソプロピルアミノ)メチルシランを窒素グローブボックス中でそれぞれ2つのステンレススチール管中に充填した。この管を密閉し、そして3日間80℃のオーブン中においた。サンプルを分析してこの試験が約0.14%低下させたことを示し、ビス(イソプロピルアミノ)メチルシランが安定であり、そして商業的蒸着法のための前駆体のための前駆体として使用できることを示した。
例3.ビス(ジエチルアミノ)メチルシランの合成
ヘキサン(200mL)中ジクロロメチルシラン(100g、0.869mol)の溶液を、ヘキサン(1.5L)中ジエチルアミン(280g、3.83mol)の攪拌溶液中に1時間掛けて追加ロートで滴下して加えた。生じた白色スラリーを室温まで暖めさせ、そして一晩攪拌した。この固体をガラスフリット上で真空濾過により除き、そしてヘキサンで2回洗った。混合したろ液を1気圧で蒸留して溶媒および過剰アミンの大部分を除去した。次にこの粗生成物を真空蒸留(78℃/16torr)によって精製して、103gのビス(ジエチルアミノ)メチルシラン(b.p.=189℃;GC−MSピーク:188(M+)、173(M-15)、159、145、129、116、102、87、72)を与えた。
比較例4:ビス(ジエチルアミノ)シラン(BDEAS)を使用したPEALD酸化ケイ素
(なんらSi−Me基を有さない)Si前駆体としてBDEASおよびOプラズマを用いて表6に提供されたパラメーターで堆積を行った。BDEASをアルゴン(Ar)キャリアガスにより反応器中に送達した。
表6B DEASを使用した酸化ケイ素でのPEALDパラメーター
Figure 0006219870
ステップb〜eを500回繰り返して、計量のための所望の厚さの酸化ケイ素膜を得た。サイクル当たりの成長は、1秒の前駆体パルスのBDEASで、1.25Å/サイクルであった。膜屈折率(RI)は1.46であった。同じ工程条件を使用したが酸素プラズマなしで堆積数を観察し、吸収された前駆体と酸素との間に反応がないことを示した。
例5:ジメチルアミノトリメチルシラン(DMATMS)を使用したPEALD酸化ケイ素
ケイ素含有前駆体ジメチルアミノトリメチルシラン(DMATMS)を、周囲温度(25℃)で引いた蒸気によって反応器中に送達させた。容器は、0.005’’の直径を有するオリフィスを備えており前駆体流れを制御した。工程パラメーターは、Si前駆体パルスが0.4〜4秒の範囲である点を除き表6中のものと類似する。膜成長速度を異なる前駆体パルス時間(0.5〜4秒の範囲)で約0.8Å/サイクルと測定し、自己限定のALD成長挙動を確認した。この例はDMATMS前駆体を用いたPEALDにより成長できる膜が生産されることを示す。DMATMSはBDEASより低い沸点およびより高い蒸気圧を有し、送達することを容易にした。
例6:高プラズマ出力下でジメチルアミノトリメチルシラン(DMATMS)を使用したPEALD酸化ケイ素
ケイ素含有前駆体ジメチルアミノトリメチルシラン(DMATMS)を周囲温度(25℃)で引いた蒸気によって送達した。容器は0.005’’の直径を有するオリフィスを備え前駆体流れを制限した。表7は、堆積ステップおよび工程パラメーターを提供する。

表7 DMATMSを使用した酸化ケイ素でのPEALDパラメーター
Figure 0006219870
生じた膜の特性を表8に示す。堆積された膜での屈折率(RI)および厚さを膜の偏光解析器を使用して測定した。X線反射率(XRR)を用いて密度を測定する間に、膜構造および組成物をFTIRおよびXPSを使用して分析した。表8が具体的に示すように、高品質の酸化ケイ素膜が得られた。低WERが得られた(熱SiOのWERは、類似の条件下で0.43Å/秒であった)。図1および2は、例6中の堆積された膜のFTIRスペクトルおよびリーク特徴をそれぞれ示す。

表8.DMATMSを使用して堆積された酸化ケイ素膜の膜特性
Figure 0006219870
例7:より長いプラズマパルス時間を使用したジメチルアミノトリメチルシラン(DMATMS)を使用した酸化ケイ素膜のPEALD
工程パラメーターは、5秒のSi前駆体パルスおよび425〜800Wの範囲のプラズマ出力および8秒のプラズマ時間で、表7中に提供されたものと類似する。すべての堆積された膜は、高密度および低WER;(装置のノイズレベルの)低い表面粗さおよび低いSIMS不純物含有量を有した。室温で堆積された膜は、わずかにより高いSIMS炭素含有量を示した。サイクル当たりの成長(GPC)は、全てのこれらの膜で約0.8Å/サイクルであった。実験をステップb中で5秒の前駆体パルスの代わりに2秒の前駆体パルスで繰り返した場合、GPCは変化しなかった。
表9Aは生じた酸化ケイ素膜の特性をまとめ、そして表9BにSIMS結果をまとめる。

表9 A.DMATMSを使用した酸化ケイ素膜特性
Figure 0006219870

表9B.DMATMSを使用した酸化ケイ素の組成
Figure 0006219870
比較例8:BDEAS前駆体を使用した酸化ケイ素膜の堆積
一連の酸化ケイ素膜を、表10に提供した工程ステップおよび100sccmの連続的な酸化剤流れを使用してBDEAS前駆体を用いて堆積させた。表11は、4つ異なるPEALD法を提供する。工程番号1および2は、それぞれ、基材室温で(例えば、〜25℃)および100℃で、表10中に提供されたレコード(POR)処方の工程である。工程番号3および4は、POR処方の変化形であるが、100℃の基材温度で行われ、しかし異なる前駆体パルス時間およびプラズマ出力を使用した。AFM装置を使用して測定されたナノメートルでのそれらの厚さ、サイクル当たりの成長、不均一性(%)、屈折率、湿式エッチ速度(WER)、および二乗平均平方根表面粗さ(RMS)を見出して、生じた膜を特徴付けた。4つの堆積のこの特徴付け結果を表12中にまとめる。
表12を参照すると、BDEASで堆積された膜は、良好なGPC(>1Å/サイクル)、優れた均一性(<1%不均一性)、良好な密度(>2.1g/cc)、および(AFM装置での0.2nmの検出限界で)低いRMS粗さを有した。膜は低温高品質酸化物用途に好適である。

表10:3つの前駆体の比較のために使用したレコード(POR)処方の工程での堆積ステップ
Figure 0006219870

表11:レコード(POR)堆積条件の工程
Figure 0006219870

表12:BDEAS堆積の結果
Figure 0006219870
例9:ビス(ジエチルアミノ)メチルシラン(BDEAMS)を使用した酸化ケイ素膜のPEALD
BDEAMS前駆体を用いて一連のSiO膜を堆積させた。SiO膜を堆積するために使用したレコード(POR)処方ステップの工程を表11に記載した。処方は100sccmの連続的な酸化剤流れを使用した。表12のように、4つの異なるPEALD法を行った。4つの堆積の結果を表13中にまとめた。得られた膜は、良好なGPC≧1Å/サイクル)、および良好な均一性(<2%不均一性)を有した。膜は低温高品質酸化物用途に好適である。

表13:BDEAMS堆積の結果
Figure 0006219870
例10:ビス(sec−ブチルアミノ)メチルシラン(BSMAMS)を使用したPEALD酸化ケイ素
BSBAMS前駆体を用いて一連の酸化ケイ素膜を堆積した。酸化ケイ素膜を堆積するために使用したレコード(POR)処方ステップの工程を表11中に記載した。表12中のように、4つの異なるPEALD法を行った。4つの堆積の結果を表14中にまとめた。得られた膜は、良好なGPC(>1Å/サイクル)、優れた均一性(<1%不均一性)、良好な密度(>2.1g/cc)、および(AFM装置の0.2nmの検出限界で)低いRMS粗さを有した。膜は、低温高品質酸化物用途に好適である。図3に示すように、2つのN−H基を有するBSBAMSは、すべての工程条件下でBDEAMSよりずっと高いGPCを有し、ケイ素原子が類似の環境、すなわち、2つのSi−N結合、1つのSi−Me結合および1つのSi−H結合を有するケイ素前駆体のための第2アミノより第1アミノがさらに反応性であることを示唆する。

表14:BSBAMS堆積の結果
Figure 0006219870
比較例11:ビス(ジエチルアミノ)シラン(BDEAS)を使用した酸化ケイ素膜のPEALD
表12の工程2を使用してBDEAS前駆体を用いて酸化ケイ素膜をブランケットのSiクーポンおよびパターン化したSiクーポン上に堆積させた。得られたBDEAS膜は、良好なGPC(1.31Å/サイクル)を有した。膜の共形性は上面、側壁および底部それぞれ1:20のアスペクト比の構造に沿って、121、127および127nmの厚さ測定を有し非常に良好であった。
例12:ビス(sec−ブチルアミノ)メチルシラン(BSBAMS)を使用したPEALD酸化ケイ素のステップカバレッジ
表12の工程2を使用して、BSBAMS前駆体を用いて酸化ケイ素膜をブランケットSiクーポンおよびパターン化されたSiクーポン上に堆積させた。得られたBSBAMS膜は良好なGPC(1.27Å/サイクル)を有した。膜の共形性は上面、側壁および底部それぞれ1:20のアスペクト比の構造に沿って、119、123および111nmの厚さ測定を有し非常に良好であった。
(態様1)
基材上にケイ素および酸化物を含む膜を堆積させる方法であって、
a)反応器中に基材を提供することと、
b)以下の式A:
Si(NR 4−m−n
(式中、R は、独立して、直鎖C 〜C アルキル基、分枝C 〜C アルキル基、C 〜C 環状アルキル基、C 〜C アルケニル基、C 〜C アルキニル基、C 〜C 10 アリール基から選択され;R およびR は、それぞれ独立して、水素、C 〜C 直鎖アルキル基、分枝C 〜C アルキル基、C 〜C 環状アルキル基、C 〜C アルケニル基、C 〜C アルキニル基、C 〜C 10 アリール基からなる群から選択され、式A中のR およびR は、R およびR が結合して環状環構造を形成するものとR およびR が環状環構造を形成するために結合しないものとから選択されており;n=1、2、3;およびm=1、2である。)を有する化合物を含む少なくとも1種のケイ素前駆体を反応器中に導入することと、
c)パージガスで該反応器をパージすることと、
d)該反応器中に酸素含有源を導入することと、
e)パージガスで該反応器をパージすることと、
の各ステップを含み、
ステップb〜eは所望の厚さの膜が堆積されるまで繰り返され、
該方法は約25℃〜300℃の範囲の1つまたは2つ以上の温度で行われる、方法。
(態様2)
該化合物が、ジメチルアミノトリメチルシラン、ジメチルアミノトリメチルシラン、ジイソプロピルアミノトリメチルシラン、ピペリジノトリメチルシラン、2、6−ジメチルピペリジノトリメチルシラン、ジsec−ブチルアミノトリメチルシラン、イソプロピル−sec−ブチルアミノトリメチルシラン、tert−ブチルアミノトリメチルシラン、イソプロピルアミノトリメチルシラン、ジエチルアミノジメチルシラン、ジメチルアミノジメチルシラン、ジイソプロピルアミノジメチルシラン、ピペリジノジメチルシラン、2、6−ジメチルピペリジノジメチルシラン、ジsec−ブチルアミノジメチルシラン、イソプロピル−sec−ブチルアミノジメチルシラン、tert−ブチルアミノジメチルシラン、イソプロピルアミノジメチルシラン、tert−ペンチルアミノジメチルアミノシラン、ジメチルアミノメチルシラン、ジイソプロピルアミノメチルシラン、イソプロピル−sec−ブチルアミノメチルシラン、2、6−ジメチルピペリジノメチルシラン、ジsec−ブチルアミノメチルシラン、ビス(ジメチルアミノ)メチルシラン、ビス(ジエチルアミノ)メチルシラン、ビス(ジイソプロピルアミノ)メチルシラン、ビス(イソプロピル−sec−ブチルアミノ)メチルシラン、ビス(2、6−ジメチルピペリジノ)メチルシラン、ビス(イソプロピルアミノ)メチルシラン、ビス(tert−ブチルアミノ)メチルシラン、ビス(sec−ブチルアミノ)メチルシラン、ビス(tert−ペンチルアミノ)メチルシラン、ビス(シクロヘキシルアミノ)メチルシラン、ビス(イソプロピルアミノ)ジメチルシラン、ビス(イソブチルアミノ)ジメチルシラン、ビス(sec−ブチルアミノ)ジメチルシラン、ビス(tert−ブチルアミノ)ジメチルシラン、ビス(tert−ペンチルアミノ)ジメチルシラン、ビス(シクロヘキシルアミノ)ジメチルシラン、および組み合わせからなる群から選択される、態様1に記載の方法。
(態様3)
該酸素含有源が、オゾン、酸素プラズマ、酸素およびアルゴンを含むプラズマ、酸素およびヘリウムを含むプラズマ、オゾンプラズマ、水プラズマ、亜酸化窒素プラズマ、二酸化炭素プラズマ、およびそれらの組み合わせからなる群から選択される、態様1に記載の方法。
(態様4)
該酸素含有源がプラズマを含む、態様1に記載の方法。
(態様5)
該プラズマがin situで生成される、態様4に記載の方法。
(態様6)
該プラズマが遠隔で生成される、態様4に記載の方法。
(態様7)
膜の密度が約2.1g/cc以上である、態様4に記載の方法。
(態様8)
該膜が炭素をさらに含む、態様1に記載の方法。
(態様9)
該膜の密度が約1.8g/cc以上である、態様8に記載の方法。
(態様10)
該膜の炭素含有量が、X線光分光法により測定して、0.5原子量%(原子%)以上である、態様8に記載の方法。
(態様11)
酸化ケイ素膜および炭素ドープ酸化ケイ素膜から選択された膜を基材上に堆積させる方法であって、
a.反応器中に該基材を提供することと、
b.該反応器中に以下の式:
Si(NR 4−m−n
(式中、R は、独立して、直鎖C 〜C アルキル基から選択され、R は、C 〜C 直鎖アルキル基、分枝C 〜C アルキル基から選択され;R は水素であり;n=1または2;およびm=2である。)を有する化合物を含む少なくとも1種のケイ素前駆体を導入することと、
c.パージガスで該反応器をパージすることと、
d.該反応器中に酸素含有源を導入することと、
e.パージガスで反応器をパージすることと、
の各ステップを含み、
ステップb〜eは所望の厚さの膜が堆積されるまで繰り返され、
この方法は約25℃〜約300℃の範囲の1つまたは2つ以上の温度において行われる、方法。
(態様12)
該少なくとも1種のケイ素前駆体が、ビス(イソプロピルアミノ)メチルシラン、ビス(イソブチルアミノ)メチルシラン、ビス(sec−ブチルアミノ)メチルシラン、ビス(tert−ブチルアミノ)メチルシラン、ビス(tert−ペンチルアミノ)メチルシラン、ビス(シクロヘキシルアミノ)メチルシラン、ビス(イソプロピルアミノ)ジメチルシラン、ビス(イソブチルアミノ)ジメチルシラン、ビス(sec−ブチルアミノ)ジメチルシラン、ビス(tert−ブチルアミノ)ジメチルシラン、ビス(tert−ペンチルアミノ)ジメチルシラン、およびビス(シクロヘキシルアミノ)ジメチルシランからなる群から選択される、態様11に記載の方法。
(態様13)
該酸素含有源が、オゾン、酸素プラズマ、酸素およびアルゴンを含むプラズマ、酸素およびヘリウムを含むプラズマ、オゾンプラズマ、水プラズマ、亜酸化窒素プラズマ、二酸化炭素プラズマ、およびそれらの組み合わせからなる群から選択される、態様11に記載の方法。
(態様14)
該酸素含有源がプラズマを含む、態様11に記載の方法。
(態様15)
膜の密度が約2.1g/cc以上である、態様14に記載の方法。
(態様16)
該プラズマがin situで生成される、態様14に記載の方法。
(態様17)
該プラズマが遠隔で生成される、態様14に記載の方法。
(態様18)
蒸着法を使用して酸化ケイ素膜または炭素ドープ酸化ケイ素膜から選択された膜を堆積させるための組成物であって、以下の式B:
Si(NR H) 4−m−n
(式中、R は、独立して、直鎖C 〜C アルキル基から選択され、R は独立して、C 〜C 直鎖アルキル基および分枝C 〜C アルキル基から選択され;n=1または2;およびm=2である。)を有する化合物を含む、組成物。
(態様19)
該化合物が、ビス(イソプロピルアミノ)メチルシラン、ビス(イソブチルアミノ)メチルシラン、ビス(sec−ブチルアミノ)メチルシラン、ビス(tert−ブチルアミノ)メチルシラン、ビス(tert−ペンチルアミノ)メチルシラン、ビス(シクロヘキシルアミノ)メチルシラン、ビス(イソプロピルアミノ)ジメチルシラン、ビス(イソブチルアミノ)ジメチルシラン、ビス(sec−ブチルアミノ)ジメチルシラン、ビス(tert−ブチルアミノ)ジメチルシラン、ビス(tert−ペンチルアミノ)ジメチルシラン、ビス(シクロヘキシルアミノ)ジメチルシラン、およびそれらの組み合わせからなる群から選択される、態様18に記載の組成物。

Claims (21)

  1. 基材上にケイ素および酸化物を含む膜を堆積させる方法であって、
    a)反応器中に基材を提供することと、
    b)以下の式A:
    Si(NR4−m−n
    (式中、Rは、独立して、直鎖C〜Cアルキル基、分枝C〜Cアルキル基、C〜C環状アルキル基、C〜Cアルケニル基、C〜Cアルキニル基、C〜C10アリール基から選択され;RおよびRは、それぞれ独立して、水素、C〜C直鎖アルキル基、分枝C〜Cアルキル基、C〜C環状アルキル基、C〜Cアルケニル基、C〜Cアルキニル基、C〜C10アリール基からなる群から選択され、式A中のRおよびRは、RおよびRが結合して環状環構造を形成するものとRおよびRが環状環構造を形成するために結合しないものとから選択されており;n=2、3;およびm=1、2である。)を有する化合物を含む少なくとも1種のケイ素前駆体を反応器中に導入することと、
    c)パージガスで該反応器をパージすることと、
    d)該反応器中に酸素含有源を導入することと、
    e)パージガスで該反応器をパージすることと、
    の各ステップを含み、
    ステップb〜eは所望の厚さの膜が堆積されるまで繰り返され、
    該方法は約25℃〜300℃の範囲の1つまたは2つ以上の温度で行われる、方法。
  2. 該化合物が、ジメチルアミノトリメチルシラン、ジイソプロピルアミノトリメチルシラン、ピペリジノトリメチルシラン、2,6−ジメチルピペリジノトリメチルシラン、ジsec−ブチルアミノトリメチルシラン、イソプロピル−sec−ブチルアミノトリメチルシラン、tert−ブチルアミノトリメチルシラン、イソプロピルアミノトリメチルシラン、ジエチルアミノジメチルシラン、ジメチルアミノジメチルシラン、ジイソプロピルアミノジメチルシラン、ピペリジノジメチルシラン、2,6−ジメチルピペリジノジメチルシラン、ジsec−ブチルアミノジメチルシラン、イソプロピル−sec−ブチルアミノジメチルシラン、tert−ブチルアミノジメチルシラン、イソプロピルアミノジメチルシラン、tert−ペンチルアミノジメチルアミノシラン、ビス(イソプロピルアミノ)ジメチルシラン、ビス(イソブチルアミノ)ジメチルシラン、ビス(sec−ブチルアミノ)ジメチルシラン、ビス(tert−ブチルアミノ)ジメチルシラン、ビス(tert−ペンチルアミノ)ジメチルシラン、ビス(シクロヘキシルアミノ)ジメチルシラン、および組み合わせからなる群から選択される、請求項1に記載の方法。
  3. 該酸素含有源が、オゾン、酸素プラズマ、酸素およびアルゴンを含むプラズマ、酸素およびヘリウムを含むプラズマ、オゾンプラズマ、水プラズマ、亜酸化窒素プラズマ、二酸化炭素プラズマ、およびそれらの組み合わせからなる群から選択される、請求項1に記載の方法。
  4. 該酸素含有源がプラズマを含む、請求項1に記載の方法。
  5. 該プラズマがin situで生成される、請求項4に記載の方法。
  6. 該プラズマが遠隔で生成される、請求項4に記載の方法。
  7. 該膜の密度が約2.1g/cc以上である、請求項4に記載の方法。
  8. 該膜が炭素をさらに含む、請求項1に記載の方法。
  9. 該膜の密度が約1.8g/cc以上である、請求項8に記載の方法。
  10. 該膜の炭素含有量が、X線光分光法により測定して、0.5原子量%(原子%)以上である、請求項8に記載の方法。
  11. 酸化ケイ素膜および炭素ドープ酸化ケイ素膜から選択された膜を基材上に堆積させる方法であって、
    a.反応器中に該基材を提供することと、
    b.該反応器中に以下の式:
    Si(NR4−m−n
    (式中、Rは、独立して、直鎖C〜Cアルキル基から選択され、Rは、C〜C直鎖アルキル基、分枝C〜Cアルキル基から選択され;Rは水素であり;n=1または2;およびm=2である。)を有する化合物(ただし、ビス(tert−ブチルアミノ)メチルシランを除く)を含む少なくとも1種のケイ素前駆体を導入することと、
    c.パージガスで該反応器をパージすることと、
    d.該反応器中に酸素含有源を導入することと、
    e.パージガスで反応器をパージすることと、
    の各ステップを含み、
    ステップb〜eは所望の厚さの膜が堆積されるまで繰り返され、
    この方法は約25℃〜約300℃の範囲の1つまたは2つ以上の温度において行われる、方法。
  12. 該少なくとも1種のケイ素前駆体が、ビス(イソプロピルアミノ)メチルシラン、ビス(イソブチルアミノ)メチルシラン、ビス(sec−ブチルアミノ)メチルシラン、ビス(tert−ペンチルアミノ)メチルシラン、ビス(シクロヘキシルアミノ)メチルシラン、ビス(イソプロピルアミノ)ジメチルシラン、ビス(イソブチルアミノ)ジメチルシラン、ビス(sec−ブチルアミノ)ジメチルシラン、ビス(tert−ブチルアミノ)ジメチルシラン、ビス(tert−ペンチルアミノ)ジメチルシラン、およびビス(シクロヘキシルアミノ)ジメチルシランからなる群から選択される、請求項11に記載の方法。
  13. 該酸素含有源が、オゾン、酸素プラズマ、酸素およびアルゴンを含むプラズマ、酸素およびヘリウムを含むプラズマ、オゾンプラズマ、水プラズマ、亜酸化窒素プラズマ、二酸化炭素プラズマ、およびそれらの組み合わせからなる群から選択される、請求項11に記載の方法。
  14. 該酸素含有源がプラズマを含む、請求項11に記載の方法。
  15. 膜の密度が約2.1g/cc以上である、請求項14に記載の方法
  16. 該プラズマがin situで生成される、請求項14に記載の方法。
  17. 該プラズマが遠隔で生成される、請求項14に記載の方法。
  18. 蒸着法を使用して酸化ケイ素膜または炭素ドープ酸化ケイ素膜から選択された膜を堆積させるための組成物であって、以下の式B:
    Si(NRH)4−m−n
    (式中、Rは、独立して、直鎖C〜Cアルキル基から選択され、Rは独立して、C〜C直鎖アルキル基および分枝C 〜Cアルキル基から選択され;n=1または2;およびm=2である。)を有する化合物を含む、組成物。
  19. 該化合物が、ビス(tert−ペンチルアミノ)メチルシラン、ビス(シクロヘキシルアミノ)メチルシラン、ビス(tert−ペンチルアミノ)ジメチルシラン、ビス(シクロヘキシルアミノ)ジメチルシラン、およびそれらの組み合わせからなる群から選択される、請求項18に記載の組成物。
  20. ケイ素および酸化物を含む膜を堆積させるためのケイ素前駆体であって、ビス(sec−ブチルアミノ)メチルシラン、およびビス(シクロヘキシルアミノ)メチルシランからなる群から選択された少なくとも1種を含む、ケイ素前駆体。
  21. 基材上にケイ素および酸化物を含む膜を堆積させる方法であって、
    a)反応器中に基材を提供することと、
    b)以下の式C:
    Si(NR4−m−n
    (式中、Rは、独立して、直鎖C〜Cアルキル基、分枝C〜Cアルキル基、C〜C環状アルキル基、C〜Cアルケニル基、C〜Cアルキニル基、C〜C10アリール基から選択され;Rは、水素、C〜C直鎖アルキル基、分枝C〜Cアルキル基、C〜C環状アルキル基、C〜Cアルケニル基、C〜Cアルキニル基、C〜C10アリール基からなる群から選択され、Rは、水素であり;n=1;およびm=1、2である。)を有する化合物(ただし、ビス(tert−ブチルアミノ)メチルシランを除く)を含む少なくとも1種のケイ素前駆体を反応器中に導入することと、
    c)パージガスで該反応器をパージすることと、
    d)該反応器中に酸素含有源を導入することと、
    e)パージガスで該反応器をパージすることと、
    の各ステップを含み、
    ステップb〜eは所望の厚さの膜が堆積されるまで繰り返され、
    該方法は約25℃〜300℃の範囲の1つまたは2つ以上の温度で行われる、方法。
JP2015064404A 2014-03-26 2015-03-26 酸化ケイ素膜の堆積のための組成物および方法 Active JP6219870B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461970602P 2014-03-26 2014-03-26
US61/970,602 2014-03-26
US14/661,652 2015-03-18
US14/661,652 US20150275355A1 (en) 2014-03-26 2015-03-18 Compositions and methods for the deposition of silicon oxide films

Publications (2)

Publication Number Publication Date
JP2015188087A JP2015188087A (ja) 2015-10-29
JP6219870B2 true JP6219870B2 (ja) 2017-10-25

Family

ID=52736944

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015064404A Active JP6219870B2 (ja) 2014-03-26 2015-03-26 酸化ケイ素膜の堆積のための組成物および方法

Country Status (7)

Country Link
US (1) US20150275355A1 (ja)
EP (1) EP2924143A1 (ja)
JP (1) JP6219870B2 (ja)
KR (3) KR20150111874A (ja)
CN (2) CN113088927A (ja)
SG (1) SG10201502280PA (ja)
TW (2) TWI557259B (ja)

Families Citing this family (238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR20180087450A (ko) * 2015-12-21 2018-08-01 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 막의 증착을 위한 조성물 및 이를 사용하는 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
CA2920646A1 (en) * 2016-02-12 2017-08-12 Seastar Chemicals Inc. Organometallic compound and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) * 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102251040B1 (ko) 2017-10-24 2021-05-12 (주)덕산테코피아 아미노실란 전구체 화합물 및 이를 사용하는 박막 형성 방법
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20190105970A (ko) 2018-03-07 2019-09-18 (주)덕산테코피아 SiC 전구체 화합물 및 이를 사용하는 박막 형성 방법
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102173384B1 (ko) * 2018-03-30 2020-11-03 에스케이트리켐 주식회사 SiO2 박막 형성용 전구체 및 이를 이용한 SiO2 박막 형성.
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20210054035A (ko) * 2018-10-04 2021-05-12 버슘머트리얼즈 유에스, 엘엘씨 고품질 실리콘 옥사이드 박막의 고온 원자 층 증착용 조성물
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN109487233A (zh) * 2018-11-27 2019-03-19 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的低温制备方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102157137B1 (ko) 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
EP3766888A1 (en) * 2018-11-30 2021-01-20 Hansol Chemical Co., Ltd Silicon precursor and method of manufacturing silicon-containing thin film using the same
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN109536921A (zh) * 2018-12-14 2019-03-29 复旦大学 一种利用等离子体增强原子层沉积调控氧化硅薄膜化学计量比的方法
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020131635A1 (en) * 2018-12-21 2020-06-25 K.K. Air Liquide Laboratories PRECURSORS AND PROCESSES FOR DEPOSITION OF SI-CONTAINING FILMS USING ALD AT TEMPERATURE OF 550ºC OR HIGHER
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210114546A (ko) * 2019-02-05 2021-09-23 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 산화규소의 증착
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP7278146B2 (ja) * 2019-05-20 2023-05-19 東京エレクトロン株式会社 成膜方法
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7446097B2 (ja) 2019-12-06 2024-03-08 東京応化工業株式会社 表面処理剤及び表面処理方法
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
US20230103933A1 (en) * 2020-03-31 2023-04-06 Versum Materials Us, Llc New precursors for depositing films with elastic modulus
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
CN115443347A (zh) 2020-04-02 2022-12-06 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基官能化环状低聚硅氧烷
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7123100B2 (ja) * 2020-09-24 2022-08-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220270870A1 (en) * 2021-02-12 2022-08-25 Applied Materials, Inc. Deposition of silicon-based dielectric films
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US8748003B2 (en) * 2004-09-01 2014-06-10 Konica Minolta Holdings, Inc. Gas barrier laminate and production method of the same
KR100891779B1 (ko) * 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
JP2010275602A (ja) 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
KR101659463B1 (ko) * 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films

Also Published As

Publication number Publication date
TW201536946A (zh) 2015-10-01
SG10201502280PA (en) 2015-10-29
TW201708593A (zh) 2017-03-01
TWI557259B (zh) 2016-11-11
KR20150111874A (ko) 2015-10-06
CN104962877A (zh) 2015-10-07
TWI601843B (zh) 2017-10-11
US20150275355A1 (en) 2015-10-01
KR102242461B1 (ko) 2021-04-19
JP2015188087A (ja) 2015-10-29
CN113088927A (zh) 2021-07-09
KR20170106272A (ko) 2017-09-20
KR20180069769A (ko) 2018-06-25
EP2924143A1 (en) 2015-09-30

Similar Documents

Publication Publication Date Title
JP6219870B2 (ja) 酸化ケイ素膜の堆積のための組成物および方法
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
US10991571B2 (en) High temperature atomic layer deposition of silicon oxide thin films
TWI750577B (zh) 用於含矽膜的組合物及使用其的方法
KR20210114546A (ko) 탄소 도핑된 산화규소의 증착
TWI680982B (zh) 作為高成長速率含矽膜的前驅物的官能化環矽氮烷
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
JP2022504248A (ja) 高品質酸化ケイ素薄膜の高温原子層堆積のための組成物
TW202311273A (zh) 矽前驅物

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160325

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160510

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160809

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170512

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20170718

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170807

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170829

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170928

R150 Certificate of patent or registration of utility model

Ref document number: 6219870

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250