TW201536946A - 用於沉積氧化矽膜的組合物及方法 - Google Patents

用於沉積氧化矽膜的組合物及方法 Download PDF

Info

Publication number
TW201536946A
TW201536946A TW104109250A TW104109250A TW201536946A TW 201536946 A TW201536946 A TW 201536946A TW 104109250 A TW104109250 A TW 104109250A TW 104109250 A TW104109250 A TW 104109250A TW 201536946 A TW201536946 A TW 201536946A
Authority
TW
Taiwan
Prior art keywords
decane
bis
plasma
group
film
Prior art date
Application number
TW104109250A
Other languages
English (en)
Other versions
TWI557259B (zh
Inventor
Anupama Mallikarjunan
Haripin Chandra
Manchao Xiao
Xinjian Lei
Kirk Scott Cuthill
Mark Leonard O'neill
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW201536946A publication Critical patent/TW201536946A/zh
Application granted granted Critical
Publication of TWI557259B publication Critical patent/TWI557259B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K11/00Luminescent, e.g. electroluminescent, chemiluminescent materials
    • C09K11/06Luminescent, e.g. electroluminescent, chemiluminescent materials containing organic luminescent materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

本文描述的是用於形成氧化矽膜的組合物及方法。在一態樣中,該膜係由至少一具有下式的前驅物沉積而成:R1nSi(NR2R3)mH4-m-n其中R1係獨立地選自線性C1至C6烷基、支鏈C2至C6烷基、C3至C6環狀烷基、C2至C6烯基、C3至C6炔基及C4至C10芳基;其中R2及R3係各自獨立地選自氫、C1至C6線性烷基、支鏈C2至C6烷基、C3至C6環狀烷基、C2至C6烯基、C3至C6炔基及C4至C10芳基,其中R2及R3係連接或沒連接而形成一環狀環結構;n=1、2、3;而且m=1、2。

Description

用於沉積氧化矽膜的組合物及方法
相關申請案之交互參照
本案請求2014年3月26日申請的美國臨時申請案第61/970,602號之優先權。在此以引用的方式將其全文併入本文。
本文所述的是用於形成含矽和氧化物的膜之組合物和方法。更明確地說,本文所述的是用於約300℃或更低,或介於約25℃至約300℃,的一或更多沉積溫度下形成化學計量或非化學計量氧化矽膜或材料的組合物和方法。
原子層沉積(ALD)和電漿強化原子層沉積(PEALD)係用於低溫(<500℃)下沉積氧化矽保形膜的程序。在ALD和PEALD程序二者中,該前驅物和反應性氣體(例如氧或臭氧)係於一定數目的循環中獨立脈衝輸送以於各循環形成氧化矽的單層。然而,使用這些程序於低溫下沉積的氧化矽可能含有多種濃度的雜質例w如,但不限於,氮(N),該等濃 濃度的雜質可能在某些半導體應用造成不利。為了補救此問題,有一個可行的解決方法係將沉積溫度提高至500℃或更高。然而,於這些較高溫度下,半導體產業使用的習用前驅物傾向於依照化學氣相沉積(CVD)模式而非ALD模式產生自身反應,熱分解,並且沉積。該CVD模式沉積具有比ALD沉積更低的保形性,就許多半導體應用中需要的高深寬比結構尤其如此。除此之外,該CVD模式沉積比該ALD模式沉積具有較不受控制的膜或材料厚度。
該標題名為"Some New Alkylaminosilanes"的參 考文章,Abel,E.W.等人,J J.Chem.Soc.,(1961),Vol.26,pp.1528-1530描述來自三甲基氯矽烷(Me3SiCl)及適當胺的直接交互作用之不同胺基矽烷化合物例如Me3SiNHBu-iso、Me3SiNHBu-sec、Me3SiN(Pr-iso)2及Me3SiN(Bu-sec)2,其中Me=甲基,Bu-sec=第二丁基,而且Pr-iso=異丙基,的製備方法。
該標題名為"SiO2 Atomic Layer Using Tris(dimethylamino)silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy"的參考文章,Burton,B.B.等人,The Journal of Physical Chemistry(2009),Vol.113,pp.8249-57描述使用各式各樣的矽前驅物與H2O2當氧化劑的二氧化矽(SiO2)原子層沉積法(ALD)。該等矽前驅物係(N,N-二甲基胺基)三甲基矽烷)(CH3)3SiN(CH3)2、乙烯基三甲氧基矽烷CH2CHSi(OCH3)3、三乙烯基甲氧基矽烷(CH2CH)3SiOCH3、肆(二甲基胺基)矽烷Si(N(CH3)2)4及叁(二 甲基胺基)矽烷(TDMAS)SiH(N(CH3)2)3。經測定之後TDMAS為這些前驅物中最為有效者。然而,其他研究確定來自TDMAS的SiH*表面物種難以僅使用H2O來移除。隨後的研究利用TDMAS和H2O2當氧化劑並且於150至550℃的溫度範圍中探討SiO2 ALD。使該等TDMAS和H2O2的表面反應達到完成所需的暴露係於原地使用FTIR光譜術監測。在該等TDMAS暴露之後的FTIR振動光譜顯示關於O-H伸張振動的吸收度損失及關於C-Hx和Si-H伸張振動的吸收度增益。在該等H2O2暴露之後的FTIR振動光譜顯示關於C-Hx和Si-H的吸收度損失及關於O-H伸張振動的吸收度提高。該等SiH*表面物種僅於>450℃的溫度下完全被移除。該等SiO2的總體振動模式係於1000與1250cm-1之間觀察到而且藉著許多TDMAS和H2O2的反應循環逐漸發展出來。靠著ZrO2奈米粒子於150至550℃之間的溫度下經過50 TDMAS和H2O2反應循環之後進行穿透式電子顯微檢查(TEM)。藉由TEM於各溫度下測得的膜厚度係用以獲得該SiO2 ALD生長速率。每一循環的生長速率變化於150℃的0.8埃/周期至550℃的1.8埃/周期而且與該等SiH*表面物種的移除有關。使用TDMAS和H2O2的SiO2 ALD對於於>450℃的溫度下進行的SiO2 ALD應該有其價值。
JP2010275602和JP2010225663揭露藉由化學氣 相沉積(CVD)程序於300至500℃的溫度範圍下使用原料形成含Si薄膜例如,氧化矽之方法。該原料係下式所示的有機矽化合物:(a)HSi(CH3)(R1)(NR2R3),其中,R1表示NR4R5或 1C-5C烷基;R2和R4各自表示1C-5C烷基或氫原子;而且R3及R5各自表示1C-5C烷基;或(b)HSiCl(NR1R2)(NR3R4),其中R1及R3獨立地表示具有1至4個碳原子的烷基或氫原子;而且R2及R4獨立地表示具有1至4個碳原子的烷基。該等有機矽化合物含有H-Si鍵。
美國專利第5,424,095號描述一種降低工業上水 解烴類的期間形成焦碳的速率之方法,反應器的內部表面塗佈著均勻的陶瓷材料層,該層係藉由非烷氧基化有機矽前驅物的熱分解於蒸氣相中,於含有水蒸氣的氣氛中沉積以形成氧化物陶瓷類。
美國公開案第2012/0291321號描述一種用於介 電膜與積體電路基板的金屬互連件之間形成高品質碳氮化矽阻障介電膜的PECVD程序,其包含下述步驟:提供具有介電膜或金屬互連件的積體電路基板;使該基板與阻障介電膜前驅物接觸,該阻障介電膜前驅物包含:RxRy(NRR')zSi,其中R、R'、R及R'各自獨立地選自氫、線性或支鏈的飽和或不飽和烷基或芳香族基團;其中x+y+z=4;z=1至3;但是R、R'不能同為氫;而且其中z=1或2,則x和y各自為至少1;於該積體電路基板上形成C/Si比率>0.8而且N/Si比率>0.2的碳氮化矽阻障介電膜。
美國公開案第2013/0295779 A號描述一種於> 500℃的沉積溫度下使用具有下式的矽前驅物形成氧化矽膜之原子層沉積(ALD)程序:I. R1R2 mSi(NR3R4)nXp 其中R1、R2及R3係各自獨立地選自氫、線性或支鏈C1至C10烷基及C6至C10芳基;R4係選自線性或支鏈C1至C10烷基及C6至C10芳基、C3至C10烷基矽烷基;其中R3及R4係連接而形成一環狀環結構或R3及R4沒連接而形成一環狀環結構;X係選自由Cl、Br和I所組成的群組之鹵基;m係0至3;n係0至2;而且p係0至2而且m+n+p=3;及II. R1R2 mSi(OR3)n(OR4)qXp其中R1及R2係各自獨立地選自氫、線性或支鏈C1至C10烷基及C6至C10芳基;R3及R4係各自獨立地選自線性或支鏈C1至C10烷基及C6至C10芳基;其中R3及R4係連接而形成一環狀環結構或R3及R4沒連接而形成一環狀環結構;X係選自由Cl、Br和I所組成的群組之鹵基;m係0至3;n係0至2;q係0至2而且p係0至2而且m+n+q+p=3。
美國專利第7,084,076號揭露一種鹵化矽氧烷例 如六氯二矽氧烷(HCDSO),其與吡啶當供ALD沉積用的觸媒在500□C以下一起使用以形成二氧化矽。
美國專利第6,992,019號揭示一種觸媒輔助原子 層沉積(ALD)方法,其藉由使用由具有至少二矽原子的矽化合物組成的第一反應物組分,或使用三級脂族胺當該觸媒組分,或聯合二者,連同相關的洗淨方法和排列於半導體基板上形成具有優異性質的二氧化矽層。所用的前驅物係六氯二矽烷。沉積溫度係介於25與150℃之間。
因此,仍然需要研發一種具有下述特性中之至少 一或多者的氧化矽膜之形成程序:約2.1g/cc或更高的密度、低化學雜質及/或於電漿強化原子層沉積(ALD)程序或類似電漿強化ALD的程序中具有高保形性,該形成程序使用便宜、反應性且更安定的有機胺基矽烷類。除此之外,還需要研發能提供可調膜(tunable film),舉例來說,介於氧化矽至摻碳的氧化矽,的前驅物。
本文描述的是一種於較低溫度,例如,於300℃或更低的一或更多溫度下以電漿強化ALD、電漿強化環狀化學氣相沉積(PECCVD)、類似電漿強化ALD的程序或ALD程序利用氧反應物來源來沉積化學計量或非化學計量氧化矽材料或膜,例如但不限於,氧化矽、摻碳的氧化矽、氧氮化矽膜或摻碳的氧氮化矽膜的程序。
在一態樣中,提供一種將包含矽和氧化物的膜沉積於基板上之方法,其包含下述步驟:a)將基板提供於反應器中;b)將至少一包含具有下述式A的化合物之矽前驅物引進該反應器:R1 nSi(NR2R3)mH4-m-n A其中R1係獨立地選自線性C1至C6烷基、支鏈C3至C6烷基、C3至C6環狀烷基、C2至C6烯基、C3至C6炔基及C4至C10芳基;其中R2及R3係各自獨立地選自由以 下所組成的群組:氫、C1至C6線性烷基、支鏈C3至C6烷基、C3至C6環狀烷基、C2至C6烯基、C3至C6炔基及C4至C10芳基,其中R2及R3係選自R2及R3連接而形成一環狀環結構和R2及R3沒連成一環狀環結構所組成的群組;n=1、2、3;而且m=1、2;c)利用洗淨氣體洗淨該反應器;d)將含氧來源引進該反應器;及e)利用該洗淨氣體洗淨該反應器;而且其中重複步驟b至e直到沉積出期望厚度的膜為止;而且其中該方法係於介於約25℃至300℃的一或更多溫度下進行。
在各個不同具體實施例中,該含氧來源係選自由以下所組成的群組的來源:氧電漿、水蒸氣、水蒸氣電漿、有或無惰性氣體的氮氧化物(N2O、NO、NO2)電漿、碳氧化物(CO2、CO)電漿及其組合。在某些具體實施例中,該氧來源另外包含惰性氣體。在這些具體實施例中,該惰性氣體係選自由以下所組成的群組:氬、氦、氮、氫及其組合。在一可供選用的具體實施例中,該氧來源不包含惰性氣體。在又另一具體實施例中,該含氧來源包含在電漿條件之下與該等試劑反應以提供氧氮化矽膜的氮。
在一或更多上述具體實施例中,該至少一矽前驅物包含具有上述化學式的單胺基烷基矽烷化合物而且其中n=3及m=1。在一特定具體實施例中,該式中的R1包含C1或甲基。
在一或更多上述具體實施例中,該至少一矽前驅 物包含具有上述化學式的單胺基烷基矽烷化合物而且其中n=2及m=1。在一特定具體實施例中,該式中的R1包含C1或甲基。
在一或更多上述具體實施例中,該至少一矽前驅 物包含具有上述化學式的雙胺基烷基矽烷化合物而且其中n=1及m=1。在一特定具體實施例中,該式中的R1包含C1或甲基。
在一或更多上述具體實施例中,該至少一矽前驅 物包含具有上述化學式的雙胺基烷基矽烷化合物而且其中n=1及m=2。在一特定具體實施例中,該式中的R1包含C1或甲基。
在一或更多上述具體實施例中,該至少一矽前驅 物包含具有以下式B的雙胺基烷基矽烷化合物:R1 nSi(NR2H)mH4-m-n B其中R1係獨立地選自線性C1至C2烷基,R2係選自C1至C6線性烷基、支鏈C3至C6烷基;n=1或2;而且m=2。
在一或更多上述具體實施例中,該洗淨氣體係選自由以下所組成的群組:氮、氦和氬。
在另一態樣中,提供一種將選自氧化矽膜和摻碳的氧化矽膜的膜沉積於基板上之方法,其包含下述步驟:a.將該基板提供於反應器中;b.將至少一矽前驅物引進該反應器,該至少一矽前驅物包含具有下式的化合物:R1 nSi(NR2R3)mH4-m-n 其中R1係獨立地選自線性C1至C2烷基,R2係選自C1至C6線性烷基、支鏈C3至C6烷基;R3係氫;n=1或2;而且m=2;c.利用洗淨氣體洗淨該反應器;d.將含氧來源引進該反應器;及e.利用洗淨氣體洗淨該反應器;而且其中重複步驟b至e直到沉積出期望厚度的膜為止;而且其中該方法係於介於約25℃至300℃的一或更多溫度下進行。
在一或更多上述具體實施例中,該含氧電漿來源係選自由以下所組成的群組:有或無惰性氣體的氧電漿、有或無惰性氣體的水蒸氣電漿、有或無惰性氣體的氮氧化物(N2O、NO、NO2)電漿、有或無惰性氣體的碳氧化物(CO2、CO)電漿及其組合。在某些具體實施例中,該氧來源另外包含惰性氣體。在這些具體實施例中,該惰性氣體係選自由以下所組成的群組:氬、氦、氮、氫或其組合。在一可供選用的具體實施例中,該含氧電漿來源不包含惰性氣體。
在又另一態樣中,提供一種利用氣相沉積程序沉積選自氧化矽膜或摻碳的氧化矽膜的膜之組合物,該組合物包含:具有下述式B的化合物:R1 nSi(NR2H)mH4-m-n B其中R1係獨立地選自線性C1至C2烷基,R2係獨立地選自C1至C6線性烷基及支鏈C3至C6烷基;n=1或2;而且m=2。
在上述組合物之一具體實施例中,該組合物包含 該至少一矽前驅物,其中該前驅物實質上不含選自胺類、鹵化物、較高分子量物種及微量金屬中的至少一者。
圖1顯示如實施例6所述沉積的膜之傅利葉轉換紅外線(FTIR)光譜,其顯示沒有C-H或Si-CH3鍵結的證據。
圖2提供如實施例6所述於100℃下利用二甲基胺基三甲基矽烷(DMATMS)沉積的氧化矽膜對比於熱氧化物之電流對比於電場的關係。
圖3使用下述前驅物雙(二乙基胺基)矽烷(BDEAS)、雙(第二丁基胺基)甲基矽烷(BSBAMS)和雙(二乙基胺基)甲基矽烷(BDEAMS)沉積的膜及表11所提供的製程條件之每一循環的生長性質。
圖4顯示根據表10提供的製程條件於100℃下利用介於0.2至2秒的不同前驅物脈衝輸送時間沉積的BSBAMS和BDEAMS膜之飽和性質。
本文描述的是與利用約300℃或更低或約25℃至約300℃的一或更多溫度形成包含矽和氧化物的化學計量或非化學計量膜或材料例如但不限於氧化矽、摻碳的氧化矽膜、氧氮化矽、摻碳的氧氮化矽膜或其組合有關之方法。本文所述的膜係以沉積程序例如原子層沉積法(ALD)或以類似 ALD的程序,例如但不限於,電漿強化ALD或電漿強化環狀化學氣相沉積程序(CCVD),來沉積。本文所述的低溫沉積(例如,介於約周遭溫度至300℃的一或更多沉積溫度)方法提供能顯示下述優點中的至少一或多者的膜或材料:約2.1g/cc或更高的密度、低化學雜質、電漿強化原子層沉積(ALD)程序或類似電漿強化ALD的程序的高保形性、調整結果產生的膜中的碳含量之能力;及/或於稀HF中測量時膜具有每秒5埃(Å/sec)或更低的蝕刻速率。關於摻碳的氧化矽膜,除了其他特性,例如,但不限於,約1.8g/cc或更高或約2.0g/cc或更高的密度,以外,期望高於1%的碳以將該蝕刻速率調整至低於2Å/sec的值。
在本文所述的方法之一具體實施例中,該方法係經由ALD程序進行,該ALD程序使用包含電漿的含氧來源,其中該電漿能另外包含惰性氣體例如以下一或多者:有或無惰性氣體的氧電漿、有或無惰性氣體的水蒸氣電漿、有或無惰性氣體的氮氧化物(N2O、NO、NO2)電漿、有或無惰性氣體的碳氧化物(CO2、CO)電漿及其組合。在此具體實施例中,該用於將氧化矽膜沉積於基板的至少一表面上之方法包含下述步驟:a.將基板提供於反應器中;b.將具有本文所述的式A或B的至少一矽前驅物引進該反應器;c.利用洗淨氣體洗淨該反應器;d.將包含電漿的含氧來源引進該反應器;及 e.利用洗淨氣體洗淨該反應器。
在上述方法中,重複步驟b至e直到於該基板上沉積期望厚度的膜為止。該含氧電漿來源能在原地或選擇性地以遠距產生。在一特定具體實施例中,該含氧來源包含氧而且在在方法步驟b至d的期間與其他試劑例如但不限於,該至少一矽前驅物和任意地惰性氣體一同流動或加入。
在本文所述方法的另一具體實施例中,該方法係用以將摻碳的氧化矽膜沉積於基板的至少一表面上,其包含下述步驟:a.將基板提供於反應器中;b.將具有本文所述的式A或B的至少一矽前驅物引進該反應器;c.利用洗淨氣體洗淨該反應器;d.將含氧來源引進該反應器;e.利用洗淨氣體洗淨該反應器;其中重複步驟b至e直到沉積出期望厚度的摻碳的氧化矽為止;而且其中該程序係於約300℃或更低的一或更多溫度下進行。在各個不同具體實施例中,該含氧來源係選自由以下所組成的群組:臭氧、有或無惰性氣體的氧電漿、有或無惰性氣體的水蒸氣電漿、有或無惰性氣體的氮氧化物(N2O、NO、NO2)電漿、有或無惰性氣體的碳氧化物(CO2、CO)電漿及其組合。在一特定具體實施例中,該含氧來源包含二氧化碳電漿。在各個不同具體實施例中,該含氧來源包含選自由以下所組成的群組的惰性氣體:氬、氦、氮、氫及其組合。 在該含氧來源包含電漿的具體實施例中,該電漿能在原地於該反應器中或以遠距產生而且接著被引進該反應器。在一特定具體實施例中,該含氧來源包含氧而且在在方法步驟b至d的期間與其他試劑例如但不限於,該至少一矽前驅物和任意地惰性氣體一同流動或加入。
在一具體實施例中,本文所述的至少一含矽前驅物係具有下式A的化合物:R1 nSi(NR2R3)mH4-m-n A其中R1係獨立地選自線性C1至C6烷基、支鏈C3至C6烷基、C3至C6環狀烷基、C2至C6烯基、C3至C6炔基及C4至C10芳基;其中R2及R3係各自獨立地選自由以下所組成的群組:氫、C1至C6線性烷基s,支鏈C3至C6烷基、C3至C6環狀烷基、C2至C6烯基、C3至C6炔基及C4至C10芳基;而且其中R2及R3係連接而形成一環狀環結構或R2及R3沒連接而形成一環狀環結構;n=1、2、3;而且m=1、2。在式A之一特定具體實施例中,取代基R1係獨立地選自線性C1至C2烷基,R2係選自C1至C6線性烷基、支鏈C3至C6烷基;R3係氫;n=1或2;而且m=2。
在另一具體實施例中,該至少一矽前驅物包含具有以下式B的雙胺基烷基矽烷化合物:R1 nSi(NR2H)mH4-m-n B其中R1係獨立地選自線性C1至C2烷基,R2係選自C1至C6線性烷基、支鏈C3至C6烷基;n=1或2;而且m=2。
在一或更多具體實施例中,該至少一矽前驅物包 含具有上述化學式而且其中n=3和m=1的單胺基烷基矽烷化合物。在一特定具體實施例中,該式中的R1包含C1線性烷基或甲基。將其他的示範前驅物列示於表1中所列的下述化合物。
在一或更多具體實施例中,該至少一矽前驅物包 含具有上述化學式而且其中n=2而且m=1的單胺基烷基矽烷化合物。在一特定具體實施例中,該式中的R1包含C1線性烷基或甲基。將其他的示範前驅物列示於以下表2中:
在一或更多具體實施例中,該至少一矽前驅物包 含具有本文所述的式A而且其中n=1而且m=1的雙胺基烷基矽烷化合物。在一特定具體實施例中,該式中的R1包含C1線性烷基或甲基。將其他的示範前驅物列示於以下表3中:
在一或更多具體實施例中,該至少一矽前驅物包 含具有本文所述的式A或B而且其中n=1而且m=2的雙胺基烷基矽烷化合物。在一特定具體實施例中,該式中的R1包含 C1線性烷基或甲基。將其他具有式A而且其中n=1且m=2的(包括但不限於)示範前驅物列示於以下表4中:
在一或更多具體實施例中,該至少一矽前驅物包 含具有本文所述的式A或B而且其中n=2而且m=2的雙胺基烷基矽烷化合物。在一特定具體實施例中,該式中的R1包含C1線性烷基或甲基。將其他具有式A而且其中n=2且m=2的(包括但不限於)示範前驅物列示於以下表5中:
在以上化學式及整個說明中,該措辭“烷基”表示 具有1至6個碳原子的線性或支鏈官能基。示範線性烷基包括,但不限於,甲基、乙基、丙基、丁基、戊基及己基。示 範支鏈烷基包括,但不限於,異丙基、異丁基、第二丁基、第三丁基、異戊基、第三戊基、異己基及新己基。在某些具體實施例中,該烷基可能有一或更多接附於彼的官能基例如,但不限於,烷氧基、二烷基胺基或其組合。在其他具體實施例中,該烷基沒有一或更多接附於彼的官能基。該烷基可能是飽和,或,選擇性地,不飽和。
在以上化學式及整個說明中,該措辭“環狀烷基”表示具有4至10個碳原子的環狀官能基。示範環狀烷基包括,但不限於,環丁基、環戊基、環己基和環辛基。
在以上化學式及整個說明中,該措辭“烯基”表示具有一或更多碳-碳雙鍵而且具有2至10或或2至10或2至6個碳原子的基團。
在以上化學式及整個說明中,該措辭“炔基”表示具有一或更多碳-碳叁鍵而且具有3至10或2至10或2至6個碳原子的基團。
在以上化學式及整個說明中,該措辭“芳基”表示具有4至10個碳原子、5至10個碳原子或6至10個碳原子的芳香族環狀官能基。示範芳基包括,但不限於,苯基、苯甲基、氯苯甲基、甲苯基、鄰-二甲苯基、1,2,3-三唑基、吡咯基及呋喃甲基。
在以上化學式及整個說明中,該措辭“胺基”表示具有1至10個碳原子而且衍生自具有式HNR2R3的有機胺類之有機胺基。示範胺基包括,但不限於,衍生自二級胺類的二級胺基例如二甲基胺基(Me2N-)、二乙基胺基(Et2N-)、二異 丙基胺基(iPr2N-);衍生自一級胺類的一級胺基例如甲基胺基(MeNH-)、乙基胺基(EtNH-)、異丙基胺基(iPrNH-)、第二丁基胺基(sBuNH-)、第三丁基胺基(tBuNH-)。
在某些具體實施例中,該式中的取代基R2及R3 能連在一起而形成一環結構。技巧純熟者將會明了,R2及R3係連接而形成一環而且R2常包括用於連於R3的鍵而且反之亦然。在這些具體實施例中,該環結構可能是不飽和的例如,舉例來說,環狀烷基環,或飽和的,舉例來說,芳基環。再者,在這些具體實施例中,該環結構也可能是以一或更多原子或基團予以取代或未經取代。示範環狀環基團包括,但不限於,吡咯啶基、六氫吡啶基及2,6-二甲基六氫吡啶基。在其他具體實施例中,然而,取代基R2及R3沒連接而形成一環結構。
在某些具體實施例中,使用本文所述的方法沉積 的氧化矽或摻碳的氧化矽膜係於含氧來源存在之下形成,該含氧來源包含臭氧、水(H2O)(例如,去離子水、純水及/或蒸餾水)、氧(O2)、氧電漿、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)及其組合。使該含氧來源藉著原地或遠距的方式通過電漿產生器以提供包含氧的含氧電漿來源例如氧電漿、包含氧和氬的電漿、包含氧和氦的電漿、臭氧電漿、水電漿、一氧化氮電漿或二氧化碳電漿。在某些具體實施例中,該含氧電漿來源包含於介於約1至約2000標準立方釐米(sccm)或約1至約1000sccm的流速下被引進該反應器的氧來源氣體。該含氧電漿來源能引進經歷介於約0.1至約100秒的 時間。在一特定具體實施例中,該含氧電漿來源包含具有10℃或更高溫度的水。在藉由PEALD或電漿強化循環CVD程序沉積該膜的多數具體實施例中,該前驅物脈衝輸送可具有大於0.01秒的脈衝輸送時期,而且該含氧電漿來源可具有小於0.01秒的脈衝輸送時期。
本文所揭露的沉積方法可能涉及一或更多洗淨 氣體。該洗淨氣體,其係用以洗掉沒消耗的反應物及/或反應副產物,係不會與該等前驅物反應的惰性氣體。示範洗淨氣體包括,但不限於,氬(Ar)、氪(Kr)、氙(Xe)、氮(N2)、氦(He)、氖、氫(H2)及其混合物。在某些具體實施例中,洗淨氣體例如Ar係於介於約10至約2000sccm的流速下供入該反應器經歷約0.1至1000秒,藉以洗淨該未反應的材料和可能留在該反應器中的任何副產物。
供應該等前驅物、氧來源及/或其他前驅物、來源 氣體及/或試劑的分別步驟可藉由變化供應彼等的時間來進行以改變結果產生的介電膜的化學計量組成。
把能量施加於該矽前驅物、含氮來源或其組合中 的至少其一以引發反應並且將該介電膜或塗層形成於該基材上。此能量可藉由,但不限於,熱、電漿、脈衝輸送電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合,來提供。在某些具體實施例中,二次射頻頻率來源能用以變更該基板表面處的電漿特徵。在該沉積涉及電漿的具體實施例中,該電漿產生的程序可能包含該電漿直接在該反應器中產生的直接電漿產生程 序,或選擇性地,電漿在該反應器外部產生並且供應至該反應器內的遠距電漿產生程序。
該至少一矽前驅物可依各式各樣的方式輸送給 該反應艙,例如電漿強化循環CVD或PEALD反應器或批式熔爐型反應器。在一具體實施例中,可利用液體輸送系統。 在一可供選用的具體實施例中,可運用合併液體輸送和閃蒸的處理單元,例如,舉例來說,明尼蘇達州,肖爾維市的MSP股份有限公司製造的渦輪汽化器,以使低揮發性材料能依體積輸送,導致可再現的輸送和沉積而不會使該前驅物熱分解。在液體輸送配方中,本文所述的前驅物可以純液體形式輸送,或選擇性地,可依溶劑配方或其組合物方式運用。因此,在某些具體實施例中,該等前驅物配方可包括可能期望的適合特性和在特定最終用途應用中有優點的溶劑組分以於基板上形成膜。
關於在包含溶劑及本文所述的至少一矽前驅物 之組合物中使用該至少一矽前驅物的那些具體實施例中,所挑選的溶劑或其混合物不會與該矽前驅物起反應。在該組合物中以重量百分比計的溶劑量介於0.5重量%至99.5%或10重量%至75%。在各個不同具體實施例中,該溶劑具有類似於該至少一矽前驅物的沸點之沸點(b.p.)或介於該溶劑的沸點與該至少一矽前驅物的沸點之間的差異係40℃或更低,30℃或更低,或20℃或更低,或10℃或更低。或者,該等沸點之間的差異介於以下端點之任一或更多者:0、10、20、30或40℃。沸點差異的適合範圍的實例包括,但不限於,0至40℃、 20°至30℃或10°至30℃。該等組合物中的適合溶劑的實例包括,但不限於,醚(例如1,4-二噁烷、二丁基醚)、三級胺(例如吡啶、1-甲基六氫吡啶、1-乙基六氫吡啶、N,N'-二甲基六氫吡嗪、N,N,N',N'-四甲基伸乙二胺)、腈(例如苯甲腈)、烷(例如辛烷、壬烷、十二烷、乙基環己烷)、芳香族烴(例如甲苯、均三甲苯)、三級胺基醚(例如雙(2-二甲基胺基乙基)醚)或其混合物。
如先前提及的,該至少一矽前驅物的純度水準高 到足以為可靠的半導體製造所接受。在某些具體實施例中,本文所述的至少一矽前驅物包含低於2重量%,或低於1重量%,或低於0.5重量%的下述雜質中的一或多者:游離胺類、游離鹵化物或鹵離子及較高分子量物種。透過下述程序能獲得較高純度水準的本文所述的矽前驅物:純化、吸附及/或蒸餾。
在本文所述的方法之一具體實施例中,可應用電 漿強化循環沉積程序例如類似PEALD或PEALD,其中該沉積係利用該至少一矽前驅物和氧來源來進行。該類似PEALD的程序被定義成一電漿強化循環CVD程序但是仍能提供高保形性氧化矽膜。
在某些具體實施例中,從該等前驅物藥罐連至該 反應艙的氣體管道係依據製程要求加熱至一或更多溫度而且該至少一矽前驅物的容器係維持於能供起泡的一或更多溫度。在其他具體實施例中,把包含該至少一矽前驅物之溶液注入保持於一或更多供直接液體注射用的溫度下之汽化器。
氬及/或其他氣體流皆可當成載送氣體運用以協 助在該前驅物脈衝輸送的期間將該至少一矽前驅物的蒸氣輸送至該反應艙。在某些具體實施例中,該反應艙程序壓力係約50毫托耳至10托耳。在其他具體實施例中,該反應艙程序壓力可能達於760托耳。
在典型的PEALD或類似PEALD程序例如 PECCVD程序中,在最初暴露於該矽前驅物的反應艙之加熱器段上加熱基板例如氧化矽基板以使該複合物以化學方式吸附於該基板表面上。
洗淨氣體例如氬從該加工艙洗掉沒被吸附的過 量複合物。經過充分洗淨以後,氧來源可被引進反應艙以與被吸附的表面反應,緊接著另一氣體洗淨以從該艙移除反應副產物。此加工周期能重複進行以達成期望的膜厚度。在某些案例中,抽排能代替以惰性氣體洗淨或同時運用二者以移除未反應的矽前驅物。
在各個不同具體實施例中,咸了解本文所述的方 法的步驟可依照多變的順序進行,可依序地或同時地進行(例如,於另一步驟的至少一部分的期間),及依其任何組合進行。 供應該等前驅物和該等來源氣體的分別步驟可藉由變化供應彼等的時間來進行以改變結果產生的介電膜的化學計量組成。而且,等到前驅物或氧化劑步驟之後的洗淨時間可能極小化至<0.1秒以便改善生產量。
將高品質氧化矽膜沉積於基板上之本文所揭示 的方法之一特定具體實施例包含下述步驟: a.將基板提供於反應器中;b.將至少一具有本文所述的式A或B的矽前驅物引進該反應器;c.利用洗淨氣體洗淨該反應器以移除至少一部分未被吸附的前驅物;d.將含氧電漿來源引進該反應器;及e.利用洗淨氣體洗淨該反應器以移除至少一部分未反應的氧來源,其中重複步驟b至e直到沉積出期望厚度的氧化矽膜。
本文揭露的又另一方法使用單胺基烷基矽烷化合物或雙胺基烷基矽烷化合物及氧來源形成摻碳的氧化矽膜。
將又另一示範程序描述如下:a.將基板提供於反應器中;b.使由具有本文所述的式A或B的單胺基烷基矽烷化合物或雙胺基烷基矽烷化合物產生的蒸氣藉著或沒藉著共流(co-flowing)與氧來源接觸以使該等前驅物化學吸附於該熱基板;c.洗掉任何未被吸附的前驅物;d.將氧來源引至該熱基板上而與該等被吸附的前驅物反應;及,e.洗掉任何未反應的氧來源;其中重複步驟b至e直到達成期望的厚度為止。
不同的工業用ALD反應器例如單晶圓、半分批、 批式熔爐或捲軸式(roll to roll)反應器皆能用於沉積固態氧化矽或摻碳的氧化矽。
本文所述的方法之製程溫度使用下述溫度中的 一或多者當成端點:0、25、50、75、100、125、150、175、200、225、250、275和300℃。示範溫度範圍包括,但不限於下述者:約0℃至約300℃;或約25℃至約300℃;或約50℃至約290℃;或約25℃至約250℃;或約25℃至約200℃。
如先前提及的,本文所述的方法可用以將含矽膜 沉積於至少一部分基板上。適合基板的實例包括但不限於,矽、SiO2、Si3N4、OSG、FSG、碳化矽、氫化碳化矽、氮化矽、氫化氮化矽、碳氮化矽、鹵化碳氮化矽、硼氮化物、抗反射塗層、光阻劑、鍺、含鍺、含硼、Ga/As、撓性基材、有機聚合物、多孔性有機和無機材料、金屬類例如銅和鋁,及擴散阻障層例如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。該等膜與多變的後續處理步驟例如,舉例來說,化學機械平坦化(CMP)和各向異性蝕刻製程皆相容。
所沉積的膜具有多數應用,其包括,但不限於, 電腦晶片、光學裝置、磁性資料儲存、於支撐材料或基材上的塗層、微電機系統(MEMS)、奈米電機系統、薄膜電晶體(TFT)、發光二極體(LED)、有機發光二極體(OLED)、IGZO及液晶顯示器(LCD)。結果產生的氧化矽或摻碳的氧化矽的潛在可能應用包括,但不限於,淺溝絕緣層、層間介電質、鈍化層、蝕刻阻擋層、雙重間隔物的零件及用於圖案化的犧牲 層(sacrificial layer)。
本文所述的方法提供高品質氧化矽或摻碳的氧 化矽膜。該措辭“高品質”意指顯示下述特性中的一或多者的膜:約2.1g/cc或更高的密度;於1:100稀HF(dHF)酸的溶液中測量時低於<2.5Å/s的濕式蝕刻速率;約1或更低e-8A/cm2上達6MV/cm的漏電量;藉由SIMS測量時約5 e20 at/cc或更少的氫雜質;及其組合。關於該蝕刻速率,熱長成的氧化矽膜於1:100 dHF具有0.5Å/s的蝕刻速率。
在某些具體實施例中,具有本文所述的式A和B 的矽前驅物能用以形成是固態而且非多孔性或實質上不含細孔的氧化矽膜。
以下的實施例舉例說明用於沉積本文所述的氧 化矽膜之方法而且無意依任何方式限制彼。
實施例
除非另行指明,否則在以下實施例中所有電漿強 化ALD(PEALD)沉積皆仰賴藉著使電漿之間保持3.5毫米(mm)固定間隔而具備27.1MHz直接電漿性能的商業型側流反應器(lateral flow reactor)(由ASM International製備的300mm PEALD設備)進行。該設計利用具有獨立壓力設定的外艙和內艙。該內艙係於歧管中混合所有反應物氣體(例如矽前驅物、Ar)並且將其運送至製程反應器的沉積反應器。氬(Ar)氣係用以保持該外艙中的反應器壓力。所有前驅物皆為液體,其係保持於室溫下放在不銹鋼起泡器中並且以典型調設於200標 準立方釐米(sccm)流量的Ar載送氣體運送至該艙。經過最初一或二回之後稱取前驅物起泡器的重量而且消耗量係為約每回1.6至2.1克(g)或約每回0.01莫耳(mol)。
此研究時記載的所有沉積皆在8至12Ohm-cm的 含矽(Si)的自然氧化物基板上完成。用Rudolph FOCUS Ellipsometer FE-IVD(旋轉補償片式橢圓測厚儀(Rotating Compensator Ellipsometer))來測量膜厚度及折射率(RI)。所引用的厚度不均勻度%係由下述公式計算出來:((最大厚度-最小厚度)/2*平均厚度))*100。所有密度測量皆利用X-射線反射率(XRR)來進行。XRR係於所有樣品上使用低解析度光學裝置來進行。所有樣品皆利用0.001°的步階值(step size)和1秒/步階的計數時間掃描經過此範圍0.2000.650°。數據將該基板定義為Si利用單層或多層模型來分析。該等氧化矽層的質量密度係利用SiO2當成化學組成來計算。AFM係利用界接於Nanoscope IIIa控制器的Digital Instruments Dimension 3000來進行。所有測量結果皆以間歇接觸式(tapping mode)(0.6至0.75Hz掃描速率)藉由單懸臂蝕刻矽SPM探針(Bruker,NCHV)獲得。應用的掃描面積是2.5μm x 2.5μm。 捕捉多數形貌影像以了解表面形態學的差異並且計算表面粗糙度。
濕式蝕刻速率(WER)係利用49%氫氟酸(HF)於去 離子水中的1%溶液。拿熱氧化物晶圓當成各批次的參考物使用以確認溶液濃度。關於1:99 dHF水溶液的典型熱氧化物晶圓濕式蝕刻速率係0.5Å/s。蝕刻前後的膜厚度係用以計算 濕式蝕刻速率。保形性研究係於使用矽承載晶圓於100℃沉積於圖案化矽晶圓上的氧化矽膜上完成。該沉積於基板上的膜係利用場致發射掃描式電子顯微術(FESEM)Hitachi SU 8010 FESEM來測量。樣品係安裝於截面固持件中並且利用於2kV加速電壓下運轉的SEM檢查。於凹溝的頂部、側壁和底部進行樣品截面的氧化矽厚度測量。
實施例1. 雙(第二丁基胺基)甲基矽烷的合成
經由加料漏斗在1小時之內將二氯甲基矽烷(110g,0.956mol)加於己烷(200mL)中的溶液逐滴加於第二丁基胺(308g,4.21mol)於己烷類(1.5L)中的攪拌溶液。將結果產生的白色漿料暖化至室溫並且使其攪拌過夜。藉由真空過濾在玻璃熔塊上面移除固體並且利用己烷類清洗兩次。於1大氣壓(atm)下將合併的濾液蒸餾以移除大部分溶劑及過量的胺。接著藉由真空蒸餾(92℃/30托耳)將粗製產物純化而獲得111g的雙(第二丁基胺基)甲基矽烷(沸點=192℃,氣體層析-質譜(GC-MS)峰:188(M+)、173(M-15)、159、143、129、114、100、86、72)。將約2.0g的雙(第二丁基胺基)甲基矽烷填入氮氣手套箱中的3個不銹鋼管各者當中。將該等管子密封並且放在60℃的烘箱中經過4天。分析樣品顯示0.046%的化驗重量下滑,證實雙(第二丁基胺基)甲基矽烷具有安定性而且有可能能當成工業用的氣相沉積程序的前驅物使用。
實施例2. 雙(異丙基胺基)甲基矽烷的合成
經由加料漏斗在1小時之內將二氯甲基矽烷(109 g,0.0.948mol)加於己烷(200mL)中的溶液逐滴加於異丙基胺(243g,4.11mol)於己烷類(1.5L)中的攪拌溶液。將結果產生的白色漿料暖化至室溫並且使其攪拌過夜。藉由真空過濾在玻璃熔塊上面移除固體並且利用己烷類清洗兩次。於1大氣壓(atm)下將合併的濾液蒸餾以移除大部分溶劑及過量的胺。 接著藉由真空蒸餾(70℃/53托耳)將粗製產物純化而產生93g的雙(異丙基胺基)甲基矽烷(沸點=150℃;GC-MS峰:160(M+)、145(M-15)、129、117、100、86、72)。將約1.5g的雙(異丙基胺基)甲基矽烷填入氮氣手套箱中的2個不銹鋼管各者當中。將該等管子密封並且放在80℃的烘箱中經過3天。分析樣品顯示下滑約0.14%的化驗結果,證實雙(異丙基胺基)甲基矽烷具有安定性而且有可能能當成工業用的氣相沉積程序的前驅物使用。
實施例3. 雙(二乙基胺基)甲基矽烷的合成
經由加料漏斗在1小時之內將二氯甲基矽烷(100g,0.869mol)加於己烷(200mL)中的溶液逐滴加於二乙基胺(280g,3.83mol)於己烷類(1.5L)中的攪拌溶液。將結果產生的白色漿料暖化至室溫並且使其攪拌過夜。藉由真空過濾在玻璃熔塊上面移除固體並且利用己烷類清洗兩次。於1大氣壓(atm)下將合併的濾液蒸餾以移除大部分溶劑及過量的胺。接著藉由真空蒸餾(78℃/16托耳)將粗製產物純化而產生103g的雙(二乙基胺基)甲基矽烷(沸點=189℃;GC-MS峰:188(M+)、173(M-15)、159、145、129、116、102、87、72)。
比較例4:使用雙(二乙基胺基)矽烷(BDEAS)的PEALD氧化矽
以BDEAS當成Si前驅物(其沒有任何Si-Me基團)及O2電漿在表6提供的參數之下完成沉積。藉由氬(Ar)當成載送氣體將BDEAS運送至該反應器中。
重複步驟b至e 500次以得到度量衡學的期望厚 度的氧化矽膜。關於BDEAS用於前驅物脈衝輸送1秒,每一循環的生長量係為1.25埃/周期。膜折射率(RI)係為1.46。使用相同製程條件但是沒用氧電漿沒觀察到沉積,證實被吸附的前驅物與氧之間沒有發生反應。
比較例5:使用二甲基胺基三甲基矽烷(DMATMS)的PEALD氧化矽
藉由蒸氣吸入(vapor draw)於周遭溫度(25℃)下將該含矽前驅物二甲基胺基三甲基矽烷(DMATMS)運送至反應器中。給該容器裝備直徑為0.005”的孔口以限制前驅物流量。除了該Si前驅物脈衝輸送介於0.4至4秒以外,該等製程參數與表6中的參數類似。就不同前驅物脈衝輸送時間(介於0.5至4秒)測量膜生長速率係為0.8埃/周期左右,確認有自限性ALD生長性質。此實施例顯示可實行的膜係藉由PEALD利用DMATMS前驅物製造出來。DMATMS具有比BDEAS更低的沸點及更高的蒸氣壓,使其更易於運送。
實施例6:使用二甲基胺基三甲基矽烷(DMATMS)在高電漿功率作用之下的PEALD氧化矽
藉由蒸氣吸入於周遭溫度(25℃)下運送該含矽前驅物二甲基胺基三甲基矽烷(DMATMS)。給該容器裝備直徑為0.005”的孔口以限制前驅物流量。表7提供沉積步驟及製程參數。
將結果產生的膜性質列於表8中。該沉積膜的折 射率(RI)及厚度利用該膜的橢圓測厚儀來測量。膜結構及組成利用FTIR和XPS來分析,而密度利用X-射線反射率(XRR)來測量。如表8舉例說明的,獲得高品質氧化矽膜。獲得低 WER(熱SiO2的WER在類似條件之下係0.43Å/s)。圖1和2分別提供實施例6沉積的膜之FTIR光譜及洩漏特性。
實施例7:使用二甲基胺基三甲基矽烷(DMATMS)應用較長的電漿脈衝輸送時間進行氧化矽膜的PEALD
表7中提供的製程參數與5秒的Si前驅物脈衝輸送和介於425至800W的電漿功率和8秒的電漿時間類似。所有沉積膜皆具有高密度及低WER;低表面粗糙度(於儀器雜訊水準)及低SIMS雜質含量。於室溫下沉積的膜顯示稍高的SIMS碳含量。關於所有這些膜每一循環的生長率(GPC)係為約0.8埃/周期。當藉著2秒前驅物脈衝輸送代替步驟b的5秒前驅物脈衝輸送重複該實驗時該GPC並沒有改變。表9A彙總結果產生的氧化矽膜性質而且表9B彙總SIMS結果。
比較例8:利用BDEAS前驅物的氧化矽膜沉積
一系列的氧化矽膜係利用BDEAS前驅物使用表10提供的程序步驟和100sccm的連續氧化劑流量來沉積。表11提供4種不同的PEALD程序。程序編號1和2是分別利用於室溫(例如,約25℃)及於100℃的基板而列於表10的記錄程序(POR)方法。程序編號3和4是POR方法的變化例但是於100℃的基板溫度下進行,可是使用不同的前驅物脈衝輸送時間和電漿功率。將結果產生的膜特徵化發現其厚度、每一循環生長量、不均勻度(%)、折射率、濕式蝕刻速率(WER)及利用AFM儀器測量而以奈米表示的均方根表面粗糙度(RMS)。將該4個沉積的特徵化結果彙總於表12。
參照表12,該等BDEAS沉積膜具有良好的GPC (>1埃/周期)、優良的均勻度(<1%不均勻度)、良好的密度(>2.1g/cc)及低RMS粗糙度(於0.2mm的AFM儀器偵測極限下)。該等膜適用於低溫高品質氧化物應用。
實施例9:使用雙(二乙基胺基)甲基矽烷(BDEAMS)的氧化矽膜的PEALD
一系列的SiO2膜係利用BDEAMS前驅物來沉積。將用以沉積該等SiO2膜的記錄程序(POR)方法步驟列於表11。該方法使用100sccm的連續氧化劑流量。同樣地在表12中,進行四個不同PEALD程序。將該4個沉積的結果彙總 於表13。獲得的膜具有良好的GPC(1埃/周期),及良好的均勻度(<2%不均勻度)。該等膜適用於低溫高品質氧化物應用。
實施例10:使用雙(第二丁基胺基)甲基矽烷(BSMAMS)的PEALD氧化矽
一系列的氧化矽膜係利用BSBAMS前驅物來沉積。將用以沉積該等氧化矽膜的記錄程序(POR)方法步驟列於表11。同樣地在表12中,進行四個不同PEALD程序。將該4個沉積的結果彙總於表14。獲得的膜具有良好的GPC(1埃/周期)、優良的均勻度(<1%不均勻度)、良好的密度(>2.1g/cc)及低RMS粗糙度(於0.2nm的AFM儀器偵測極限)。該等膜適用於低溫高品質氧化物應用。如圖3所示,具有二N-H基團的BSBAMS在所有製程條件之下具有比BDEAMS更高許多的GPC,暗示就矽原子具有類似環境,亦即二Si-N鍵、一Si-Me鍵及一Si-H鍵,的矽前驅物而言一級胺基的反應性比二級胺基更高。
比較例11:使用雙(二乙基胺基)矽烷(BDEAS)進行氧化矽膜的PEALD
利用BDEAS前驅物使用表12的程序2將氧化矽膜沉積於空白Si試樣及圖案化Si試樣上。獲得的BDEAS膜具有良好的GPC(1.31埃/周期)。該膜的保形性非常良好,而且沿著1:20的深寬比結構上的頂部、側壁和底部的厚度測量結果分別為121、127和127nm。
實施例12:使用雙(第二丁基胺基)甲基矽烷(BSBAMS)的PEALD氧化矽的步階覆蓋率
利用BSBAMS前驅物使用表12的程序2將氧化矽膜沉積於空白Si試樣及圖案化Si試樣上。獲得的BSBAMS膜具有良好的GPC(1.27埃/周期)。該膜的保形性非常良好,而且沿著1:20的深寬比結構上的頂部、側壁和底部的厚度測量結果分別為119、123和111nm。

Claims (19)

  1. 一種將包含矽和氧化物的膜沉積於基板上之方法,其包含下述步驟:a)將基板提供於反應器中;b)將至少一包含具有下述式A的化合物之矽前驅物引進該反應器:R1 nSi(NR2R3)mH4-m-n A其中R1係獨立地選自線性C1至C6烷基、支鏈C3至C6烷基、C3至C6環狀烷基、C2至C6烯基、C3至C6炔基、C4至C10芳基;其中R2及R3係各自獨立地選自由氫、C1至C6線性烷基、支鏈C3至C6烷基、C3至C6環狀烷基、C2至C6烯基、C3至C6炔基、C4至C10芳基所組成的群組,其中R2及R3係選自R2及R3連接而形成一環狀環結構和R2及R3沒連成一環狀環結構所組成的群組;n=1、2、3;而且m=1、2;c)利用洗淨氣體洗淨該反應器;d)將含氧來源引進該反應器;及e)利用洗淨氣體洗淨該反應器;而且其中重複步驟b至e直到沉積出期望厚度的膜為止;而且其中該方法係於介於約25℃至300℃的一或更多溫度下進行。
  2. 如申請專利範圍第1項之方法,其中該化合物係選自由以下所組成的群組:二甲基胺基三甲基矽烷、二甲基胺基三 甲基矽烷、二異丙基胺基三甲基矽烷、六氫吡啶基三甲基矽烷、2,6-二甲基六氫吡啶基三甲基矽烷、二第二丁基胺基三甲基矽烷、異丙基第二丁基胺基三甲基矽烷、第三丁基胺基三甲基矽烷、異丙基胺基三甲基矽烷、二乙基胺基二甲基矽烷、二甲基胺基二甲基矽烷、二異丙基胺基二甲基矽烷、六氫吡啶基二甲基矽烷、2,6-二甲基六氫吡啶基二甲基矽烷、二第二丁基胺基二甲基矽烷、異丙基第二丁基胺基二甲基矽烷、第三丁基胺基二甲基矽烷、異丙基胺基二甲基矽烷、第三戊基胺基二甲基胺基矽烷,二甲基胺基甲基矽烷、二異丙基胺基甲基矽烷、異丙基第二丁基胺基甲基矽烷、2,6-二甲基六氫吡啶基甲基矽烷、二第二丁基胺基甲基矽烷、雙(二甲基胺基)甲基矽烷、雙(二乙基胺基)甲基矽烷、雙(二異丙基胺基)甲基矽烷、雙(異丙基第二丁基胺基)甲基矽烷、雙(2,6-二甲基六氫吡啶基)甲基矽烷、雙(異丙基胺基)甲基矽烷、雙(第三丁基胺基)甲基矽烷、雙(第二丁基胺基)甲基矽烷、雙(第三戊基胺基)甲基矽烷、雙(環己基胺基)甲基矽烷、雙(異丙基胺基)二甲基矽烷、雙(異丁基胺基)二甲基矽烷、雙(第二丁基胺基)二甲基矽烷、雙(第三丁基胺基)二甲基矽烷、雙(第三戊基胺基)二甲基矽烷、雙(環己基胺基)二甲基矽烷及其組合。
  3. 如申請專利範圍第1項之方法,其中該含氧來源係選自由以下所組成的群組:臭氧、氧電漿、包含氧和氬的電漿、包含氧和氦的電漿、臭氧電漿、水電漿、一氧化氮電漿、 二氧化碳電漿及其組合。
  4. 如申請專利範圍第1項之方法,其中該含氧來源包含電漿。
  5. 如申請專利範圍第4項之方法,其中該電漿係於原地產生。
  6. 如申請專利範圍第4項之方法,其中該電漿係遠距地產生。
  7. 如申請專利範圍第4項之方法,其中該膜的密度係約2.1g/cc或更高。
  8. 如申請專利範圍第1項之方法,其中該膜另外包含碳。
  9. 如申請專利範圍第8項之方法,其中該膜的密度係約1.8g/cc或更高。
  10. 如申請專利範圍第8項之方法,其中該膜的碳含量係藉由x-射光譜學測量為0.5原子重量百分比(原子%)或更高。
  11. 一種將選自氧化矽膜和摻碳的氧化矽膜的膜沉積於基板上之方法,該方法包含下述步驟:a.將該基板提供於反應器中;b.將至少一矽前驅物引進該反應器,該至少一矽前驅物包含具有下式的化合物: R1 nSi(NR2R3)mH4-m-n其中R1係獨立地選自線性C1至C2烷基,R2係選自C1至C6線性烷基、支鏈C3至C6烷基;R3係氫;n=1或2;而且m=2;c.利用洗淨氣體洗淨該反應器;d.將含氧來源引進該反應器;及e.利用洗淨氣體洗淨該反應器;而且其中重複步驟b至e直到沉積出期望厚度的膜為止;而且其中該方法係於介於約25℃至300℃的一或更多溫度下進行。
  12. 如申請專利範圍第11項之方法,其中該至少一矽前驅物係選自由以下所組成的群組:雙(異丙基胺基)甲基矽烷、雙(異丁基胺基)甲基矽烷、雙(第二丁基胺基)甲基矽烷、雙(第三丁基胺基)甲基矽烷、雙(第三戊基胺基)甲基矽烷、雙(環己基胺基)甲基矽烷、雙(異丙基胺基)二甲基矽烷、雙(異丁基胺基)二甲基矽烷、雙(第二丁基胺基)二甲基矽烷、雙(第三丁基胺基)二甲基矽烷、雙(第三戊基胺基)二甲基矽烷及雙(環己基胺基)二甲基矽烷。
  13. 如申請專利範圍第11項之方法,其中該含氧來源係選自由以下所組成的群組:臭氧、氧電漿、包含氧和氬的電漿、包含氧和氦的電漿、臭氧電漿、水電漿、一氧化氮電漿、二氧化碳電漿及其組合。
  14. 如申請專利範圍第11項之方法,其中該含氧來源包含電漿。
  15. 如申請專利範圍第14項之方法,其中該膜的密度係約2.1g/cc或更高。
  16. 如申請專利範圍第14項之方法,其中該電漿係於原地產生。
  17. 如申請專利範圍第14項之方法,其中該電漿係遠距地產生。
  18. 一種利用氣相沉積程序沉積選自氧化矽膜或摻碳的氧化矽膜的膜之組合物,該組合物包含:具有下述式B的化合物:R1 nSi(NR2H)mH4-m-n B其中R1係獨立地選自線性C1至C2烷基,R2係獨立地選自C1至C6線性烷基及支鏈C3至C6烷基;n=1或2;而且m=2。
  19. 如申請專利範圍第18項之組合物,其中該化合物係選自由以下所組成的群組:雙(異丙基胺基)甲基矽烷、雙(異丁基胺基)甲基矽烷、雙(第二丁基胺基)甲基矽烷、雙(第三丁基胺基)甲基矽烷、雙(第三戊基胺基)甲基矽烷、雙(環己基胺 基)甲基矽烷、雙(異丙基胺基)二甲基矽烷、雙(異丁基胺基)二甲基矽烷、雙(第二丁基胺基)二甲基矽烷、雙(第三丁基胺基)二甲基矽烷、雙(第三戊基胺基)二甲基矽烷、雙(環己基胺基)二甲基矽烷及其組合。
TW104109250A 2014-03-26 2015-03-23 用於沉積氧化矽膜的組合物及方法 TWI557259B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461970602P 2014-03-26 2014-03-26
US14/661,652 US20150275355A1 (en) 2014-03-26 2015-03-18 Compositions and methods for the deposition of silicon oxide films

Publications (2)

Publication Number Publication Date
TW201536946A true TW201536946A (zh) 2015-10-01
TWI557259B TWI557259B (zh) 2016-11-11

Family

ID=52736944

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104109250A TWI557259B (zh) 2014-03-26 2015-03-23 用於沉積氧化矽膜的組合物及方法
TW105126656A TWI601843B (zh) 2014-03-26 2015-03-23 氧化矽膜及用於沉積氧化矽膜的前驅物

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW105126656A TWI601843B (zh) 2014-03-26 2015-03-23 氧化矽膜及用於沉積氧化矽膜的前驅物

Country Status (7)

Country Link
US (1) US20150275355A1 (zh)
EP (1) EP2924143A1 (zh)
JP (1) JP6219870B2 (zh)
KR (3) KR20150111874A (zh)
CN (2) CN113088927A (zh)
SG (1) SG10201502280PA (zh)
TW (2) TWI557259B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI700385B (zh) * 2018-11-30 2020-08-01 韓商韓松化學有限公司 製備薄膜的方法
US20210070783A1 (en) * 2016-02-12 2021-03-11 Seastar Chemicals Inc. Organometallic compound and method
TWI738200B (zh) * 2019-02-05 2021-09-01 美商慧盛材料美國責任有限公司 摻雜碳的矽氧化物的沉積

Families Citing this family (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR20180087450A (ko) * 2015-12-21 2018-08-01 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 막의 증착을 위한 조성물 및 이를 사용하는 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) * 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102251040B1 (ko) 2017-10-24 2021-05-12 (주)덕산테코피아 아미노실란 전구체 화합물 및 이를 사용하는 박막 형성 방법
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20190105970A (ko) 2018-03-07 2019-09-18 (주)덕산테코피아 SiC 전구체 화합물 및 이를 사용하는 박막 형성 방법
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102173384B1 (ko) * 2018-03-30 2020-11-03 에스케이트리켐 주식회사 SiO2 박막 형성용 전구체 및 이를 이용한 SiO2 박막 형성.
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20210054035A (ko) * 2018-10-04 2021-05-12 버슘머트리얼즈 유에스, 엘엘씨 고품질 실리콘 옥사이드 박막의 고온 원자 층 증착용 조성물
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN109487233A (zh) * 2018-11-27 2019-03-19 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的低温制备方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
EP3766888A1 (en) * 2018-11-30 2021-01-20 Hansol Chemical Co., Ltd Silicon precursor and method of manufacturing silicon-containing thin film using the same
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN109536921A (zh) * 2018-12-14 2019-03-29 复旦大学 一种利用等离子体增强原子层沉积调控氧化硅薄膜化学计量比的方法
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020131635A1 (en) * 2018-12-21 2020-06-25 K.K. Air Liquide Laboratories PRECURSORS AND PROCESSES FOR DEPOSITION OF SI-CONTAINING FILMS USING ALD AT TEMPERATURE OF 550ºC OR HIGHER
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP7278146B2 (ja) * 2019-05-20 2023-05-19 東京エレクトロン株式会社 成膜方法
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7446097B2 (ja) 2019-12-06 2024-03-08 東京応化工業株式会社 表面処理剤及び表面処理方法
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
US20230103933A1 (en) * 2020-03-31 2023-04-06 Versum Materials Us, Llc New precursors for depositing films with elastic modulus
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
CN115443347A (zh) 2020-04-02 2022-12-06 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基官能化环状低聚硅氧烷
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7123100B2 (ja) * 2020-09-24 2022-08-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220270870A1 (en) * 2021-02-12 2022-08-25 Applied Materials, Inc. Deposition of silicon-based dielectric films
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US8748003B2 (en) * 2004-09-01 2014-06-10 Konica Minolta Holdings, Inc. Gas barrier laminate and production method of the same
KR100891779B1 (ko) * 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
JP2010275602A (ja) 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
KR101659463B1 (ko) * 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210070783A1 (en) * 2016-02-12 2021-03-11 Seastar Chemicals Inc. Organometallic compound and method
US11802134B2 (en) * 2016-02-12 2023-10-31 Seastar Chemicals Ulc Organometallic compound and method
TWI700385B (zh) * 2018-11-30 2020-08-01 韓商韓松化學有限公司 製備薄膜的方法
US11267828B2 (en) 2018-11-30 2022-03-08 Hansol Chemical Co., Ltd. Silicon precursor and method of manufacturing silicon-containing thin film using the same
TWI738200B (zh) * 2019-02-05 2021-09-01 美商慧盛材料美國責任有限公司 摻雜碳的矽氧化物的沉積

Also Published As

Publication number Publication date
SG10201502280PA (en) 2015-10-29
TW201708593A (zh) 2017-03-01
TWI557259B (zh) 2016-11-11
KR20150111874A (ko) 2015-10-06
CN104962877A (zh) 2015-10-07
TWI601843B (zh) 2017-10-11
US20150275355A1 (en) 2015-10-01
KR102242461B1 (ko) 2021-04-19
JP2015188087A (ja) 2015-10-29
CN113088927A (zh) 2021-07-09
KR20170106272A (ko) 2017-09-20
JP6219870B2 (ja) 2017-10-25
KR20180069769A (ko) 2018-06-25
EP2924143A1 (en) 2015-09-30

Similar Documents

Publication Publication Date Title
TWI557259B (zh) 用於沉積氧化矽膜的組合物及方法
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
TWI683025B (zh) 用於形成碳摻雜的含矽膜的方法
TWI639723B (zh) 含矽膜的高溫原子層沉積
TWI565822B (zh) 沉積氮化矽膜的方法
TWI738200B (zh) 摻雜碳的矽氧化物的沉積
TWI516498B (zh) 烷氧基甲矽烷基胺化合物及其應用
TWI496934B (zh) 用於沉積碳摻雜含矽膜的組合物及方法
JP6781165B2 (ja) ホウ素含有化合物、組成物、及びホウ素含有膜の堆積方法
TW201943723A (zh) 用於沉積含矽膜的有機胺官能基化的線性及環狀寡矽氧烷
TWI750577B (zh) 用於含矽膜的組合物及使用其的方法
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
KR102308644B1 (ko) 실리콘 전구체 화합물, 제조 방법, 및 이를 이용하는 실리콘-함유 막 형성 방법
JP2022504232A (ja) ケイ素含有膜の高温原子層堆積
KR20210047966A (ko) 실리콘 및 질소 함유 막의 제조 방법
JP7472312B2 (ja) ケイ素含有膜を調製するための前駆体及び方法
TW202311273A (zh) 矽前驅物
TW201713671A (zh) 有機胺基二矽烷前驅物及其用於沉積膜的方法