TWI683025B - 用於形成碳摻雜的含矽膜的方法 - Google Patents

用於形成碳摻雜的含矽膜的方法 Download PDF

Info

Publication number
TWI683025B
TWI683025B TW107130659A TW107130659A TWI683025B TW I683025 B TWI683025 B TW I683025B TW 107130659 A TW107130659 A TW 107130659A TW 107130659 A TW107130659 A TW 107130659A TW I683025 B TWI683025 B TW I683025B
Authority
TW
Taiwan
Prior art keywords
silane
film
carbon
disilacyclobutane
dimethyl
Prior art date
Application number
TW107130659A
Other languages
English (en)
Other versions
TW201900916A (zh
Inventor
哈里賓 錢德拉
新建 雷
艾紐帕馬 馬里卡裘南
金武性
Original Assignee
美商慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商慧盛材料美國責任有限公司 filed Critical 美商慧盛材料美國責任有限公司
Publication of TW201900916A publication Critical patent/TW201900916A/zh
Application granted granted Critical
Publication of TWI683025B publication Critical patent/TWI683025B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Abstract

揭露一種用於製造電子裝置的組合物及其方法。揭露用於沉積一低介電常數(<4.0)及高的氧灰化抗性的含矽膜例如,但不限於,碳摻雜的氧化矽之化合物、組合物及方法。

Description

用於形成碳摻雜的含矽膜的方法 相關申請案之交互參照
本案請求2016年7月27日申請的第62/367260號申請案之優先權。該第62/367260號申請案的揭示內容在此以引用的方式將其全文併入本文。
此揭露之主題事物係有關於2016年2月4日提出的專利合作條約申請案第PCT/US2016/016514號。該第PCT/US2016/016514號申請案之揭示內容在此以引用的方式將其全文併入本文。
本文所述的是用於製造電子裝置的組合物及方法。更明確地說,本文所述的是用於沉積一低介電常數(<4.0)及高的氧灰化抗性的含矽膜例如,但不限於,摻碳的氧化矽、摻碳的氮化矽、摻碳的氧氮化矽之化合物及包含該等化合物的組合物及其方法。
對電子工業內的某些應用而言存在一種需求,即一種用於沉積高碳含量(例如,藉由X-射線光電子光譜術(XPS)測量 時約10原子%或更高的碳含量)含矽膜之組合物及其使用方法。
美國專利第8,575,033號描述將碳化矽膜沉積於基材表面上的方法。該等方法包括氣相碳矽烷前驅物的用途而且可運用電漿強化原子層沉積製程。
美國公開案第2013/022496號教導一種藉由原子層沉積(ALD)將具有Si-C鍵的介電膜形成於半導體基材上之方法,其包括:(i)使前驅物吸附於基材表面上;(ii)使該被吸附的前驅物與該表面上的反應物氣體反應;及(iii)重複步驟(i)及(ii)以形成至少具有Si-C鍵的介電膜於該基材上。
專利合作條約申請案第WO14134476A1號描述用於沉積包含SiCN及SiCON的膜之方法。標準方法涉及使基材表面暴露於第一及第二前驅物,該第一前驅物具有式(XyH3-ySi)zCH4-z、(XyH3-ySi)(CH2)(SiXpH2-p)(CH2)(SiXyH3-y)或(XyH3-ySi)(CH2)n(SiXyH3-y),其中X係鹵素,y具有介於1與3之間的值,且z具有介於1與3之間的值,p具有介於0與2之間的值,且n具有介於2與5之間的值,且該第二前驅物包含還原胺。某些方法也包含使該基材表面暴露於氧來源以提供包含摻碳的氧化矽的膜。
Hirose,Y.,Mizuno,K.,Mizuno,N.,Okubo,S.,Okubo,S.,Yanagida,K.及Yanagita,K.(2014)「半導體裝置、基材加工設備及記錄媒體的製造方法」美國申請案第2014287596A號描述一種半導體裝置的製造方法,包括藉由進行一預定次數之循環形成一含矽薄膜、氧及碳在一基材上,該循環包括:供給一包含矽、碳及一鹵素和具有一Si-C鍵結之前驅物氣體,及一第一催化氣 體至該基材;及供應一氧化氣體及一第二催化氣體至該基材。
Hirose,Y.,Mizuno,N.,Yanagita,K.and Okubo,S.(2014)「半導體裝置、基材加工設備及記錄媒體的製造方法」美國專利第9,343,290 B號描述一種半導體裝置的製造方法,包括藉由進行一預定次數之循環形成一氧化膜在一基材上。該循環包括:供給一前驅物氣體至該基材;及供應一臭氧氣體至該基材。於供應該前驅物氣體之行動中,該前驅物氣體以不供給一催化氣體至該基材之情況供給至該基材,以及於供應該臭氧氣體之行動中,臭氧以供給胺基催化氣體至該基材之情況供給至該基材。
美國專利第9,349,586 B號揭露具有一所欲蝕刻抗性及低介電常數之一薄膜。
美國公開案第2015/0044881 A號描述含添加以高控制能力形成之高濃度的碳之薄膜的形成方法。一種半導體裝置的製造方法,包括藉由進行一預定次數之循環形成一含矽薄膜、碳及一預定元素在一基材上,該預定元素係氮和氧其中之一。該循環包括:供給一包含每一莫耳至少兩個矽原子、碳及一鹵素和具有一Si-C鍵結之前驅物氣體至該基材,以及供應含有該預定元素之一改質氣體至該基材。
題名為“Highly Stable Ultrathin Carbosiloxane Films by Molecular Layer Deposition”的參考資料,Han,Z.等人,Journal of Physical Chemistry C,2013,117,19967教導使用1,2-雙[(二甲基胺基)二甲基矽烷基]乙烷及臭氧來生長碳矽氧烷膜。熱安定性顯示膜安定達40℃而且於60℃下僅有少許厚度損失。
Liu et al,Jpn.J.Appl.Phys.,1999,Vol.38, 3482-3486教示使用旋上技術沉積的聚倍半矽氧烷上的H2電漿之使用。該H2電漿提供穩定的介電常數,及改良膜的熱穩定性及O2灰化(電漿)處理。
Kim et al,Journal of the Korean Physical Society,2002,Vol.40,94教示PECVD碳摻雜氧化矽膜上的H2電漿處理可改善洩漏電流密度(4-5數量級)同時介電常數自2.2增加至2.5。經H2電漿處理之碳摻雜氧化矽膜於氧灰化製程期間具有較少的損壞。
Posseme et al,Solid State Phenomena,2005,Vol.103-104,337教示碳摻雜氧化矽PECVD膜上的不同H2/惰性電漿處理。H2電漿處理後,k值未改善,此說明無大量的改善。
先前指出的專利、專利申請案及公開案之揭示內容在此以引用方式將其全文併入本文。
本文所述的組合物及方法藉由提供用於沉積形成一共形含矽膜的一組合物或配方,克服先前技術的問題,此含矽膜具有一或多個下列性質:i)如在稀氫氟酸中量測之蝕刻率小於熱氧化矽(例如0.45Å/s在1:99稀HF)至少0.5倍,及以X射線光譜測定術(XPS)量測大約碳含量10個原子重量百分比(at.%)或更大;ii)氧灰化製程期間或暴露至氧電漿,介電常數及在稀HF(dHF)之濕蝕刻率較少敏感至損壞,氧灰化抗性可藉由O2灰化後損壞厚度小於50Å量化,以dHF浸泡量測,以及O2灰化後的膜介電常數低於4.0;iii)介電常數小於4.0;及(iv)所得膜中氯不純物少於2.0at.%, 較佳少於1.0at.%,最佳少於0.5at.%。能藉由本發明達成的所欲性質,更詳細說明於以下範例。
在一特別具體例,本文所述之組合物可用於使用熱原子層沉積(ALD)沉積碳摻雜矽氧化膜的方法。
在一態樣,用於沉積一含矽膜的組合物包括:(a)至少一具有一Si-C-Si或二Si-C-Si鍵聯的直鏈或環狀矽前驅物化合物,列在表1及表2。
Figure 107130659-A0101-12-0005-1
Figure 107130659-A0101-12-0005-2
Figure 107130659-A0101-12-0006-3
以及本發明之至少一態樣,(b)至少一溶劑。於本文所述組合物的某些具體例,範例性的溶劑可包括,但不限於,醚 類、叔胺、烷基烴、芳香烴、矽氧烷、叔胺醚及其之組合。於某些具體例,矽化物沸點及該溶劑沸點之間的差異係40℃或更少,小於約30℃及於一些情況小於約20℃,較佳小於10℃。
於另一態樣,提供一種用於沉積選自碳摻雜氧化矽膜及碳摻雜氮氧化矽膜之一膜在一基材的至少一表面上的方法,包括:放置該基材於一反應器中;加熱該反應器至範圍自約25℃至約550℃的一或多個溫度;將包括選自列在表1及表2的矽前驅物及其之組合之至少一化合物的一前驅物導入該反應器中;將氮源導入該反應器中與至少一部份的該前驅物反應以形成一碳摻雜氮化矽膜;及於範圍自約25℃至1000℃或自約100℃至400℃的一或多個溫度,使用一氧源處理該碳摻雜氮化矽膜,於條件下充分將該碳摻雜氮化矽膜轉換為該膜。於某些具體例,該碳摻雜氧化矽膜或該碳摻雜氮氧化矽膜具有以XPS量測大約10個原子重量百分比(at.%)或更大的一碳含量,及如在稀氫氟酸中量測之蝕刻率小於熱氧化矽至少0.5倍。
假如需要,本發明更包含使用氫或氫/惰性電漿於25℃至600℃處理該碳摻雜含矽膜。
本發明之一態樣係有關一種組合物,包括:(a)至少一直鏈或環狀矽前驅物化合物具有一Si-C-Si或二Si-C-Si鍵聯選自由1,1,1,3,3,3-六氯-1,3-二矽代丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二矽代丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二矽代丙烷、1,1,1,3,3,3-六氯-2-乙基-1,3-二矽代丙烷、1-氯-1,3-二矽代環丁烷、1-溴-1,3-二矽代環丁烷、1,3-二氯-1,3-1,3-二矽代環丁烷、1,3-二溴-1,3-二矽代環丁烷、1,1,3-三氯-1,3-二矽代環丁 烷、1,1,3-三溴-1,3-二矽代環丁烷、1,1,3,3-四氯-1,3-二矽代環丁烷、1,1,3,3-四溴-1,3-二矽代環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽代環丁烷、1,3-溴-1,3-二甲基-1,3-二矽代環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽代戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽代戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽代戊烷、1,1,5,5-四氯-1,3,5-三矽代戊烷組成的族群;及(b)至少一溶劑。
本發明之另一態樣係有關一種用於形成碳摻雜氧化矽膜的方法,該碳摻雜氧化矽膜具有藉由熱原子層沉積製程形成範圍自15原子%至30原子%的碳含量,該方法包括:a)放置包含有一表面特徵之一或多個基材於一反應器中;b)加熱該反應器至範圍自環境溫度至約550℃的一或多個溫度,以及選擇性地維持該反應器於100托或更少之壓力;c)將選自由以下至少一具有二Si-C-Si鍵聯的矽前驅物組成的族群導入該反應器中,1-氯-1,3-二矽代環丁烷、1-溴-1,3-二矽代環丁烷、1,3-二氯-1,3-1,3-二矽代環丁烷、1,3-二溴-1,3-二矽代環丁烷、1,1,3-三氯-1,3-二矽代環丁烷、1,1,3-三溴-1,3-二矽代環丁烷、1,1,3,3-四氯-1,3-二矽代環丁烷、1,1,3,3-四溴-1,3-二矽代環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽代環丁烷、1,3-溴-1,3-二甲基-1,3-二矽代環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽代戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽代戊烷、1,1,3,5,5-五氯-1,3,5-三甲基 -1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽代戊烷、1,1,5,5-四氯-1,3,5-三矽代戊烷;d)使用一惰性氣體清洗;e)提供一氮源於該反應器中與該表面反應以形成一碳摻雜氮化矽膜;f)使用一惰性氣體清洗以去除反應的副產物;g)重複步驟c至f以提供該碳摻雜氮化矽膜之一所欲的厚度;h)於範圍自環境溫度至1000℃或自約100℃至400℃的一或多個溫度,使用一氧源處理所得的該碳摻雜氮化矽膜,將該碳摻雜氮化矽膜轉換為一碳摻雜氧化矽膜;及i)將該碳摻雜氧化矽膜暴露至一包含氫的電漿以提供後沉積。
於本發明之一態樣,該基材包括矽或鍺摻雜矽或溴摻雜矽或高k材料,以及後續沉積發明的碳摻雜氧化矽膜,一膜包括沉積的氮化矽或氧化矽。
本發明之又一態樣係有關具有小於約4的k值之一膜,基於XPS量測,碳含量至少約10原子%,較佳15原子%或更大,最佳20原子%或更大及,於另一態樣,該發明的膜可根據任何的該發明方法形成。由於碳含量對於降低濕蝕刻率及增加灰化抗性係一重要因素,用於本發明之碳含量範圍以XPS量測係自10原子%至40原子%,較佳15原子%至30原子%,及最佳20原子%至35原子%。
本發明之另一態樣係有關容納本發明組合物的不 鏽鋼容器。
本發明之具體例可以單獨使用或以彼此不同的組合使用。
圖1係電漿處理接著氧灰化之後之1,1,1,3,3,3-六氯-1,3-二矽代丙烷(HCDSP)及1,1,3,3-四氯-1,3-二矽代環丁烷(TCDSB)碳摻雜氧化矽膜的蝕刻量變曲線之比較,顯示來自TCDSB的碳摻雜氧化矽膜較HCDSP者提供更高的灰化抗性。
本文所述的是藉由一沉積製程來沉積摻碳(例如,藉由XPS測量時具有約10原子%或更高)的含矽膜之矽前驅物化合物及組合物及包含其的方法,該沉積製程例如,但不限於,熱原子層沉積製程。利用本文所述的組合物及方法所沉積之膜顯現極低蝕刻速率,於稀氫氟酸中測量的蝕刻速率係低於熱氧化矽至少0.5倍(例如,於稀HF(0.5wt.%)中約0.20Å/s或更低或約0.15Å/s或更低),或低於熱氧化矽至少0.1倍的蝕刻速率,或低於熱氧化矽至少0.01倍的蝕刻速率,但另一方面顯現其他可調整性質例如,但不限於,密度、介電常數、折射率及元素組成的多變性。
在某些具體實施例中,本文所述的矽前驅物及其使用方法依下列方式增添一或更多所述的特徵。首先,原沉積的反應性摻碳的氮化矽膜係利用包含Si-C-Si鍵聯及氮來源的矽前驅物形成。咸相信該矽前驅物的Si-C-Si鍵聯留在所產生的原沉積膜中 並且提供藉由XPS測量時至少10原子%或更高的高碳含量(例如約20至約30原子%,約10至約20原子%,及某些情形下約10至約15原子%的碳)。其次,當該原沉積膜暴露於氧來源(例如水)時,無論是在該沉積製程期間間歇地、沉積後處理或其組合時,會將該膜中的至少一部分或全部氮含量轉化成氧以提供選自摻碳的氧化矽或摻碳的氧氮化矽膜中之一種膜。該原沉積膜中的氮係以一或更多含氮副產物例如氨或胺基般釋出。
在此或其它具體實施例中,最終的膜係多孔性而且具有約1.7克/立方公分(g/cc)或更小的密度及於0.5wt.%稀氫氟酸中測量時0.20Å/s或更小的蝕刻速率。
於一具體例,用於沉積含矽膜之組合物,包括:(a)至少一矽前驅物化合物具有一Si-C-Si或二Si-C-Si鍵聯選自由1,1,1,3,3,3-六氯-1,3-二矽代丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二矽代丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二矽代丙烷、1,1,1,3,3,3-六氯-2-乙基-1,3-二矽代丙烷、1-氯-1,3-二矽代環丁烷、1-溴-1,3-二矽代環丁烷、1,3-二氯-1,3-1,3-二矽代環丁烷、1,3-溴-1,3-二矽代環丁烷、1,1,3-三氯-1,3-二矽代環丁烷、1,1,3-三溴-1,3-二矽代環丁烷、1,1,3,3-四氯-1,3-二矽代環丁烷、1,1,3,3-四溴-1,3-二矽代環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽代環丁烷、1,3-二溴-1,3-二甲基-1,3-二矽代環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽代戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽代戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽代戊烷、1,1,5,5-四氯-1,3,5-三矽代戊烷組成的族群;及(b)至少 一溶劑。於本文所述之組合物的某些具體例,範例性的溶劑可包括,但不限於,醚類、叔胺、烷基烴、芳香烴、叔胺醚類、矽氧烷及其之組合。於某些具體例,該具有一Si-C-Si或二Si-C-Si鍵聯之化合物沸點及該溶劑沸點之間的差異係40℃或更少。於溶劑中的矽前驅物化合物之重量%可自1至99重量%、或10至90重量%、或20至80重量%、或30至70重量%、或40至60重量%、或50至50重量%變化。於一些具體例,該組合物可使用傳統的直接液體注射設備及方法,藉由直接液體注射遞送至用於含矽膜之一反應器室。
本文所述方法之一具體例,該具有範圍自5原子%至20原子%的碳含量的碳摻雜氧化矽膜係使用一熱原子層沉積製程沉積及包含氫之一電漿以改善膜性質。於此具體例,該方法包括:a.放置包含有一表面特徵之一或多個基材於一反應器中;b.加熱該反應器至範圍自環境溫度至約550℃的一或多個溫度,以及選擇性地維持該反應器於100托或更少之壓力;c.將選自由以下至少一具有一Si-C-Si鍵聯的矽前驅物組成的族群導入該反應器中,1,1,1,3,3,3-六氯-1,3-二矽代丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二矽代丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二矽代丙烷、1,1,1,3,3,3-六氯-2-乙基-1,3-二矽代丙烷;d.使用一惰性氣體清洗藉以去除未反應的矽前驅物,及形成包含該清洗氣體及矽前驅物之組合物;e.提供一氮源於該反應器中與該表面反應以形成一碳氮 化矽膜;f.使用惰性氣體清洗以去除反應的副產物;g.重複步驟c至f以提供該碳摻雜氮化矽膜之一所欲的厚度;h.在現址或在另一室,於範圍自約環境溫度至1000℃或自約100℃至400℃的一或多個溫度,使用一氧源處理該碳摻雜氮化矽膜以提供後沉積處理,將該碳摻雜氮化矽膜轉換為一碳摻雜氧化矽膜;及i.將該碳摻雜氧化矽膜暴露至一包含氫的電漿以提供後沉積,改善膜性質或改善至少一膜性質;j.於溫度自400℃至1000℃或一UV光源,使用一突發式退火選擇性地後沉積處理該碳摻雜氧化矽膜。於此或其他具體例,該UV暴露步驟可於膜沉積期間進行,或一旦沉積被完成便進行。
於一具體例,該基材包括至少一特徵,其中該特徵包含具有高寬比1:9、開口180奈米之一圖案溝渠。
本文所述方法之一具體例,該具有範圍自15原子%至30原子%的碳含量的碳摻雜氧化矽膜係使用熱原子層沉積製程沉積及包含氫的電漿以改善膜性質。於此具體例,該方法包括:a.放置包含有一表面特徵之一或多個基材於一反應器中(例如,放入一傳統的原子層沉積製程反應器);b.加熱該反應器至範圍自環境溫度至約550℃的一或多個溫度,以及選擇性地維持該反應器於100托或更少之壓力;c.將選自由以下至少一具有二Si-C-Si鍵聯的矽前驅物組成的族群導入該反應器中,1-氯-1,3-二矽代環丁烷、1-溴-1,3- 二矽代環丁烷、1,3-二氯-1,3-1,3-二矽代環丁烷、1,3-二溴-1,3-二矽代環丁烷、1,1,3-三氯-1,3-二矽代環丁烷、1,1,3-三溴-1,3-二矽代環丁烷、1,1,3,3-四氯-1,3-二矽代環丁烷、1,1,3,3-四溴-1,3-二矽代環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽代環丁烷、1,3-溴-1,3-二甲基-1,3-二矽代環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽代戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽代戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽代戊烷、1,1,5,5-四氯-1,3,5-三矽代戊烷;d.使用一惰性氣體清洗;e.提供一氮源進入該反應器中與該表面反應以形成一碳氮化矽膜;f.使用惰性氣體清洗以去除反應的副產物;g.重複步驟c至f以提供該碳摻雜氮化矽膜之一所欲的厚度;h.在現址或在另一室,於範圍自約環境溫度至1000℃或自約100℃至400℃的一或多個溫度,使用一氧源處理該碳摻雜氮化矽膜以提供後沉積處理,將該碳摻雜氮化矽膜轉換為一碳摻雜氧化矽膜;i.將該碳摻雜氧化矽膜暴露至一包含氫的電漿以提供後沉積,改善至少一膜的物理性質。
j.於溫度自400℃至1000℃或一UV光源,使用一熱退火選擇性地後沉積處理該碳摻雜氧化矽膜。於此或其他具體例,該UV暴露步驟可於膜沉積期間進行,或一旦沉積被完成便進行。
本文所述方法之又一具體例,該含矽膜係使用一熱原子層沉積製程及包含氨或有機胺之一觸媒沉積。於此具體例,該方法包括:a.放置包含有一表面特徵之一或多個基材於一反應器中;b.加熱該反應器至範圍自環境溫度至約150℃的一或多個溫度,以及選擇性地維持該反應器於100托或更少之壓力;c.將選自以下群組的至少一具有一或二Si-C-Si鍵聯的矽前驅物及一觸媒導入該反應器中,該群組由1,1,1,3,3,3-六氯-1,3-二矽代丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二矽代丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二矽代丙烷、1,1,1,3,3,3-六氯-2-乙基-1,3-二矽代丙烷、1-氯-1,3-二矽代環丁烷、1-溴-1,3-二矽代環丁烷、1,3-二氯-1,3-1,3-二矽代環丁烷、1,3-二溴-1,3-二矽代環丁烷、1,1,3-三氯-1,3-二矽代環丁烷、1,1,3-三溴-1,3-二矽代環丁烷、1,1,3,3-四氯-1,3-二矽代環丁烷、1,1,3,3-四溴-1,3-二矽代環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽代環丁烷、1,3-溴-1,3-二甲基-1,3-二矽代環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽代戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽代戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽代戊烷、1,1,5,5-四氯-1,3,5-三矽代戊烷所組成;d.使用一惰性氣體清洗;e.提供水的蒸氣進入該反應器中與前驅物及觸媒反應以形成一碳摻雜氧化矽原沉積膜; f.使用惰性氣體清洗以去除反應的副產物;g.重複步驟c至f以提供該碳摻雜氧化矽膜之一所欲的厚度;h.將該加工膜暴露至一包含氫的電漿以提供後沉積,改善膜性質或改善至少一膜性質;i.於溫度自400℃至1000℃或一UV光源,使用一突發式退火選擇性地後沉積處理該碳摻雜氧化矽膜。於此或其他具體例,該UV暴露步驟可於膜沉積期間進行,或一旦沉積被完成便進行。
於此或其他具體例,該觸媒係選自諸如吡啶、呱嗪、氨、三乙胺或其他有機胺類之一路易士鹼。該路易士鹼蒸氣的量係為於步驟c期間該矽前驅物蒸氣用量的至少一當量。
於某些具體例,所得的碳摻雜氧化矽膜在暴露至氫電漿處理之前,係暴露至具有Si-Me或Si-H或兩者之有機胺矽烷或氯矽烷,以形成一疏水性薄膜。適當的有機胺矽烷包括,但不限於,二乙胺三甲基矽烷、二甲胺三甲基矽烷、乙甲胺三甲基矽烷、叔丁基胺三甲基矽烷、異丙基胺三甲基矽烷、二異丙基胺三甲基矽烷、吡咯烷三甲基矽烷、二乙胺二甲基矽烷、二甲胺二甲基矽烷、叔丁基胺二甲基矽烷、異丙基胺二甲基矽烷、二異丙基胺二甲基矽烷、吡咯烷二甲基矽烷、雙(二乙胺)二甲基矽烷、雙(二甲胺)二甲基矽烷、雙(乙甲胺)二甲基矽烷、雙(二異丙胺)二甲基矽烷、雙(異丙胺)二甲基矽烷、雙(叔丁基胺)二甲基矽烷、二吡咯烷二甲基矽烷、雙(二乙胺)二乙基矽烷、雙(二乙胺)甲基乙烯基矽烷、雙(乙甲胺)甲基乙烯基矽烷、雙(二異丙基胺)甲基乙烯基矽烷、雙(異丙基胺)甲基乙烯基矽烷、雙(叔丁基胺)甲基乙烯基矽烷、二吡咯烷甲基乙烯 基矽烷、2,6-二甲基吡啶基甲基矽烷、2,6-二甲基吡啶基二甲基矽烷、2,6-二甲基吡啶基三甲基矽烷、三(二甲胺)苯基矽烷、三(二甲胺)甲基矽烷、二異丙胺矽烷、二仲丁基胺矽烷、氯二甲基矽烷、氯三甲基矽烷、二氯甲基矽烷及二氯二甲基矽烷。
於另外的具體例,所得的碳摻雜氧化矽膜在暴露至氫電漿處理之前,係暴露至具有Si-Me或Si-H或兩者之烷氧基矽烷或環烷氧基矽烷,以形成一疏水性薄膜。適當的烷氧基矽烷或環烷氧基矽烷包括,但不限於,二乙氧基甲基矽烷、二甲氧基甲基矽烷、二乙氧基二甲基矽烷、二甲氧基二甲基矽烷、2,4,6,8-四甲基環四矽氧烷或八甲基環四矽氧烷。不想受到任何理論或解釋的束縛,咸信藉由有基胺矽烷或烷氧基矽烷或環烷氧基矽烷形成的薄膜,於電漿灰化製程期間可轉換成緻密的碳摻雜氧化矽,進一步促進灰化抗性。
於另一具體例,本文描述用於沉積包括一或多個矽前驅物化合物的含矽膜之一容器。於一特別具體例,該容器包括至少一可加壓容器(較佳具有諸如揭示於美國專利號US7334595;US6077356;US5069244及US5465766之一設計的不鏽鋼,揭示內容在此以引用的方式將其全文併入本文)。該容器可包括與適當的閥和配件接合,以允許一或多個前驅物遞送至用於CVD或ALD製程之反應器的玻璃(硼矽玻璃或石英玻璃)或316、316L、304或304L型不鏽鋼合金(UNS名稱S31600、S31603、S30400、S30403)。於此或其他具體例,提供該矽前驅物在包括不鏽鋼之一可加壓容器中,及該前驅物之純度係98重量%或更高、或99.5重量%或更高,其適用於半導體的應用。該矽前驅物化合物較佳實質 上不含金屬離子諸如Al3+離子、Fe2+、Fe3+、Ni2+、Cr3+。如本文所使用,有關Al3+離子、Fe2+、Fe3+、Ni2+、Cr3+的「實質上不含」用語意味少於約5ppm(以重量計),較佳少於約3ppm及更佳少於約1ppm及最佳約0.1ppm。於某些具體例,假如需要,此容器亦可具有用於混合該些前驅物與一或多個額外前驅物的手段。於這些或其他具體例,容器的內容可與一額外前驅物預混合。或者,該矽前驅物及/或其他前驅物可被保持在分開的容器中,或在儲存期間具有用於保持該矽前驅物及其他前驅物分開的分開手段之一單一容器。
該含矽薄膜係沉積在諸如一半導體基材的一基材之至少一表面上。本文所述之方法,該基材可包含及/或塗佈本領域已知的各種材料,包含諸如結晶矽或非晶矽、氧化矽、氮化矽、非晶碳、碳氧化矽、氮氧化矽、碳化矽、鍺、鍺摻雜矽、硼摻雜矽之矽;諸如銅、鎢、鋁、鈷、鎳、鉭之金屬;諸如氮化鈦、氮化鉭之金屬氮化物;金屬氧化物、III/V族金屬或金屬合金諸如砷化鎵、磷化銦、磷化鎵及氮化鎵及其之組合的膜。該些塗層可完全塗佈該半導體基材,可以多層不同材料及可以部份蝕刻以暴露材料的裡層。該表面上亦可具有已圖案曝光及顯影至部份塗佈該基材之一光阻材料。於某些具體例,該半導體基材包含至少一表面選自由孔、通孔、溝渠及其組合所組成之族群。含矽膜之潛在應用包括,但不限於,用於FinFET或奈米片的低k間隔物、用於自我對齊圖案化製程(諸如SADP、SAQP或SAOP)的犧牲硬遮罩。
用於形成含矽膜或塗層的沉積方法係沉積製程。用於本文揭示方法之適當的沉積製程範例包括,但不限於,化學氣 相沉積或原子層沉積製程。如本文使用,「化學氣相沉積製程」用語係參考至任何製程,其中一基材係暴露至一或多個揮發性前驅物,其在該基材表面上反應及/或分解以產生所欲的沉積。如本文使用,「原子層沉積製程」用語係參考至沉積材料膜至各種不同組成的基材上之一自我限制(例如,每一反應循環中沉積的膜材料量係恆定的)、連續的表面化學。如本文使用,「熱原子層沉積製程」用語係參考至基材溫度範圍自室溫至600℃而無現址或遠方的電漿之原子層沉積製程。雖然使用於本文之該前驅物、藥劑及源有時可能被描述為「氣態的」,可理解為該前驅物可為液態或固態,其經由直接氣化、鼓泡或昇華,使用或不使用惰性氣體輸送進入反應室。於一些情況,氣化的前驅物可通過一電漿產生器。
於一具體例,該含矽膜係使用一ALD製程沉積。於另一具體例,該含矽膜係使用一CCVD製程沉積。於又一具體例,該含矽膜係使用一熱ALD製程沉積。使用於本文之「反應器」用語包括,而不限於反應室或沉積室。
於某些具體例,本文揭示之方法藉由使用在前驅物導入至反應器之前及/或期間分開前驅物之ALD或CCVD方法,避免前驅物的預混合。於此連結,諸如ALD或CCVD製程之沉積技巧係使用來沉積該含矽膜。於一具體例,該膜係經由一ALD製程,在一典型單一晶圓ALD反應器、半批式ALD反應器或批式爐ALD反應器,藉由將該基材表面可替代地暴露至一或多個含矽前驅物、氧源、含氮源、或其他前驅物或藥劑沉積。膜成長係藉由表面反應的自我限制控制、每一前驅物或藥劑之脈衝長度、及沉積溫度進行。然而,一旦該基材的表面飽和,該膜成長停止。於另一具體例,包 括矽前驅物及反應氣體之每一反應物係暴露至一基材,藉由移動或轉動該基材至反應器的不同部份及每一部份藉由惰性氣體簾幕分開,亦即空間性的ALD反應器或捲對捲ALD反應器。
視沉積方法而定,於某些具體例,本文所述之矽前驅物及選擇性的其他含矽前驅物可以一預定的莫耳體積,或自約0.1至約1000微莫耳導入反應器中。於此或其他具體例,該前驅物可導入反應器中歷時一預定時間段。於某些具體例,該時間段範圍自約0.001至約500秒。
於某些具體例,使用本文所述之方法沉積的含矽膜係在一觸媒存在下組合一氧源、藥劑或包含氧亦即水蒸氣之前驅物形成。一氧源可以至少一氧源形式導入反應器中及/或可以附帶地存在使用於沉積製程中的其他前驅物中。適當的氧源氣體可包括例如水(H2O)(例如去離子水、純水、蒸餾水、水蒸氣、水蒸氣電漿、氧化水、空氣、包括水及其他有機液體之一組合物)、氧(O2)、氧電漿、臭氧(O3)、一氧化氮(NO)、二氧化氮(NO2)、一氧化碳(CO)、包括水之一電漿、包括水和氬氣之一電漿、過氧化氫、包括氫之一組合物、包括氫和氧之一組合物、二氧化碳(CO2)、空氣及其之組合。於某些具體例,該氧源包括一氧源氣體,其以流率範圍自約1至約10000平方立方公分(sccm)或自約1至約1000sccm導入該反應器中。該氧源可導入歷時自約0.1至約100秒的範圍。該觸媒係選自諸如吡啶、呱嗪、三甲胺、叔丁基胺、二乙胺、三甲胺、乙二胺、氨或其他有機胺類之一路易士鹼。
於其中該膜係藉由一ALD或一循環CVD製程沉積之具體例,該前驅物脈衝可具有大於0.01秒的脈衝歷程,及該氧 源可具有小於0.01秒的脈衝歷程,同時該水脈衝歷程可具有小於0.01秒之一脈衝歷程。
於某些具體例,該氧源係連續流入該反應器,同時前驅物脈衝及電漿係依序導入。該前驅物脈衝可具有大於0.01秒之脈衝歷程,同時該電漿歷程可介於0.01秒至100秒之間的範圍。
於某些具體例,含矽膜包括矽及氮。於這些具體例,使用本文所述方法沉積的含矽膜係在含氮源存在下形成。一含氮源可以至少一氮源形式導入反應器中,及/或可附帶地存在用於沉積製程中的其他前驅物中。
適當的含氮或氮源氣體可包括,例如氨、肼、單烷基肼、對稱或不對稱的二烷基肼、有機胺諸如甲胺、乙胺、乙二胺、乙醇胺、呱嗪、N,N’-二甲基乙二胺、咪唑啉啶、環三甲三胺、及其之組合。
於某些具體例,該氮源以流率自約1至約10000平方立方公分(sccm)或自約1至約1000sccm的範圍導入該反應器中。該氮源可導入歷時自約0.1至約100秒的範圍。於具體例,其中該膜係使用氮源及氧源,藉由一ALD或一循環CVD製程沉積,該前驅物脈衝可具有大於0.01秒的脈衝歷程,及該氮源可具有小於0.01秒的脈衝歷程,同時該水脈衝歷程可具有小於0.01秒之一脈衝歷程。於又一具體例,介於該些脈衝之間的清洗可以低至0秒或連續脈衝而之間無清洗。
本文所述之沉積方法可涉及一或多個清洗氣體。用於清除未消耗的反應物及/或反應副產物的該清洗氣體係不會與該前驅物反應的一惰性氣體。範例性清洗氣體包括,但不限於,氬 (Ar)、氮(N2)、氦(He)、氖、氫(H2)及其之組合。於某些具體例,一清洗氣體諸如氬氣以流率自約10至約10000sccm的範圍供給進入反應器,歷時約0.1至1000秒,藉以清洗未反應材料及任何可殘留在反應器中的副產物。
供給前驅物、氧源、含氮源及/或其他前驅物、源氣體及/或藥劑之個別步驟可藉由改變供給它們的時間,以改變所得膜之化學計量組成進行。
能量係施加至至少一前驅物、含氮源、還原劑、其他前驅物或其之組成以誘發反應及形成該膜或塗層在該基材上。此一能量可藉由,但不限於,熱、電漿、脈衝電漿、螺旋電漿、高密度電漿、電感耦合電漿、X-射線、e-光束、光子、遠方電漿方法及其之組合。
於某些具體例,一次要的RF頻率源可用於改良該基材表面處的電漿特徵。於其中該沉積涉及電漿的具體例,電漿產生製程可包括一直接電漿產生製程,其中電漿係直接在反應器中產生,或可替代地一遠方電漿產生製程,其中電漿係在反應器外面產生及供給進入該反應器。
遍及此描述,「ALD或ALD類」用語參考至一製程包括,但不限於,以下製程:a)包括矽前驅物及反應氣體之每一反應物依序導入諸如一單一晶圓ALD反應器、半批式ALD反應器或批式爐ALD反應器之一反應器;b)包括矽前驅物及反應氣體之每一反應物係暴露至一基材,藉由移動或轉動該基材至反應器的不同部份及每一部份藉由惰性氣體簾幕分開,亦即空間性的ALD反應器或捲對捲ALD反應器。
矽前驅物及/或其他含矽前驅物可以各種不同的方式遞送至反應室諸如CVD或ALD反應器。於一具體例,可使用一液體遞送系統。於一替代性具體例,可使用一組合液體遞送及閃蒸製程的單元諸如,例如由位於明尼蘇達州的岸景之MSP公司製造的渦輪蒸發器可使低揮發性材料以容積遞送,其導致可重複性的輸送及沉積而無前驅物之熱分解。於一液體遞送配方,本文描述之前驅物可以整潔的液體形式遞送,或可替代地可使用溶劑配方或包括前驅物之組合物。因此,於某些具體例,該前驅物配方可包括以一給定端用途應用之所希望及有利的適當特性的溶劑成份以形成在基材上的一膜。
於此或其他具體例,可理解本所述之該方法的步驟可以各種不同的次序進行,可依序或同時進行(例如,於另一步驟之至少一部份期間),及任何其之組合。供給前驅物及含氮源氣體之個別步驟,可藉由改變供給它們的時間以改變所得含矽膜之化學計量組成進行。
於本文所述方法之又另一具體例,該膜或該原沉積膜接受一處理步驟。該處理步驟可於沉積步驟之至少一部份期間、沉積步驟之後及其之組合進行。範例性的處理步驟包括,但不限於,經由高溫熱退火;電漿處理;紫外(UV)光處理;雷射;電子束處理及其之組合而影響該膜之一或多個性質。使用具有本文所述之一或二Si-C-Si鍵聯的矽前驅物沉積的膜,當相較於使用先前揭示的矽前驅物於相同條件下具有諸如,但不限於,較在處理步驟之前的膜濕蝕刻率低的一濕蝕刻率或較在處理步驟之前的密度高的一密度之改善的性質。在一特別的具體例,在沉積製程期間,原沉積膜是 間歇性處理。這些間歇性或沉積中期可在例如每一ALD循環之後、某些ALD數目之後,諸如,但不限於,一(1)ALD循環、二(2)ALD循環、五(5)ALD循環、或每十(10)或更多ALD循環之後進行。
於其中該膜係使用一高溫退火步驟處理之一具體例,該退火溫度係至少100℃或大於沉積溫度。於此或其他具體例,該退火溫度係自約400℃至約1000℃的範圍。於此或其他具體例,該退火處理可於一真空(<760Torr)、惰性環境或在含氧環境(諸如H2O、N2O、NO2或O2)進行。
於其中該膜係以UV處理進行處理之一具體例,膜係暴露至寬帶UV或可替代地具有一波長自約150奈米(nm)至約400奈米的範圍。於一特別具體例,該原沉積膜於到達一所欲膜厚之後係暴露至在一不同室的UV而不是該沉積室。
於其中該膜係使用電漿處理之一具體例,諸如SiO2或碳摻雜SiO2之鈍化層係沉積以避免後續電漿處理中氯及氮污染滲透膜。該鈍化層可使用原子層沉積或循環化學氣相沉積進行沉積。
於其中該膜係使用電漿處理之一具體例,電漿源係選自由氫電漿、包含氫及氦之電漿、包含氫及氬之電漿所組成之族群。氫電漿降低膜介電常數及增強對接著的電漿灰化製程損害抗性,而仍然保持整體中的碳含量幾乎不變。
遍及此描述,「ALD或ALD類」用語參考至一製程包括,但不限於,以下製程:a)包括矽前驅物及反應氣體之每一反應物依序導入諸如一單一晶圓ALD反應器、半批式ALD反應器或批式爐ALD反應器之一反應器;b)包括矽前驅物及反應氣體 之每一反應物係暴露至一基材,藉由移動或轉動該基材至反應器的不同部份及每一部份藉由惰性氣體簾幕分開,亦即空間性的ALD反應器或捲對捲ALD反應器。
遍及此描述,「灰化」用語係參考至使用包括諸如O2/惰性氣體電漿、O2電漿、CO2電漿、CO電漿、H2/O2電漿或其之組合的氧源,於半導體製造過程中去除光阻或碳硬遮罩之一製程。
遍及此描述,「損壞抗性」用語係參考至氧灰化製程之後的膜性質。良好或高損壞抗性被定義為氧灰化之後的以下膜性質:膜介電常數低於4.5;整體中的碳含量(在深入膜內超過50Å處)係灰化前的5原子%內;小於膜的50Å被損壞,藉由觀察介於接近表面(小於50Å深)之膜與整體(超過50Å深)之間在稀HF中的蝕刻率的差異。
遍及此描述,「烷基碳氫化合物」用語參考至直鏈或分支鏈C1至C20碳氫化合物、環狀C6至C20碳氫化合物。範例性的碳氫化合物包括,但不限於,庚烷、辛烷、壬烷、癸烷、十二烷、環辛烷、環壬烷及環癸烷。
遍及此描述,「芳香族碳氫化合物」用語參考至C6至C20芳香族碳氫化合物。範例性的芳香族碳氫化合物包括,但不限於,甲苯、三甲苯。
遍及此描述,「觸媒」用語參考至可於熱ALD製程期間催化氫氧基與Si-Cl鍵之間的表面反應的一氣相路易士鹼。範例性的觸媒包括,但不限於,至少一環胺基氣體諸如氨基吡啶、甲基吡啶、二甲基吡啶、呱嗪、呱啶、吡啶或一有機胺基氣體甲胺、 二甲胺、三甲胺、乙胺、二乙胺、三乙胺、丙胺、異丙胺、二丙胺、二異丙胺、叔丁胺。
遍及此描述,「有機胺」用語參考至具有C1至C20碳氫化合物、環狀C6至C20碳氫化合物之一初級胺、二級胺、三級胺。範例性的有機胺包括,但不限於,甲胺、二甲胺、三甲胺、乙胺、二乙胺、三乙胺、丙胺、異丙胺、二丙胺、二異丙胺、叔丁胺。
遍及此描述,「矽氧烷」用語參考至一直鏈、分支鏈、或環狀液體化合物具有至少一Si-O-Si鍵聯及C4至C20碳原子。範例性的矽氧烷包括,但不限於,四甲基二矽氧烷、六甲基二矽氧烷(HMDSO)、1,1,1,3,3,5,5,5-八甲基三矽氧烷、八甲基環四矽氧烷(OMCTS)。
遍及此描述,本文所使用的「階梯覆蓋」用語被定義為具有通孔或溝渠或兩者的一結構化或特徵化基材中的該沉積膜兩個厚度的百分比,具有底部階梯覆蓋為比率(以%表示):該特徵底部的厚度除以該特徵頂部的厚度,以及中間階梯覆蓋為比率(以%表示):該特徵之一側壁的厚度除以該特徵頂部的厚度。使用本文所述方法沉積的膜顯現大約80%或更高、或大約90%或更高的階梯覆蓋,其指示該膜係共形的。
以下實施例說明本發明的某些態樣,及不限制後附的申請專利範圍的範圍。
實施例 一般膜沉積
膜沉積係使用一矽前驅物及作為氮源氨的氨氣,於實驗室規模原子層沉積(ALD)反應器中進行。該ALD循環步驟及製程條件係提供於下表3中:
Figure 107130659-A0101-12-0027-4
於沉積期間,重複步驟3至10之數次循環上至2000次,以得到原沉積的碳摻雜氮化矽膜之一所欲厚度。該所得原沉積係接受現址(在反應器內的該原沉積膜上進行退火)或非現址退火(在外面或一分隔室中進行退火)以轉換該膜成一碳摻雜氧化矽膜。典型的退火條件進行如下:濕度退火係在真空狀態下於30Torr進行;空氣退火係在一熱板上於環境溫度(例如,25℃)或大約300℃進行。
使用標準含氫電漿處理一碳摻雜氧化矽膜。該H2處理電漿參數為:
a.只有H 2電漿:
電漿頻率=13.56MHz
H2流量=135sccm
室壓=2Torr
時間=5分鐘
b. H 2/Ar電漿
電漿頻率=13.56MHz
H2流量=65sccm
Ar流量=65sccm
室壓=2Torr
時間=5分鐘
折射率及厚度於沉積後使用一橢偏儀在632.8nm直接測量。為了消除外來的碳之影響,整塊薄膜成份係利用X-射線光電子光譜術(XPS)從表面往下數個奈米(2-5奈米)特徵化。膜密度係使用X-射線反射術(XRR)特徵化。
濕蝕刻率在兩個不同濃度的稀氫氟酸(dHF)下進行,1:199 49% HF和去離子水,以及1:99 49% HF和去離子水。稀HF濃度愈高愈可增加損壞層的測量精確度。於製程中,同時蝕刻一熱氧化矽膜以確保蝕刻溶液一致性。
氧灰化製程係在室溫使用商業電漿灰化器PVA TePLA M4L進行。製程參數如下:功率=100-200W;He/O2=1:3;壓力=600mTorr。膜介電常數(k)係使用MDC 802b汞探針連接至 HP4284 LCR計量器所量測的C-V曲線計算。量測係以一前接觸模式完成,其液態金屬(汞)係用以形成二導電接點。
實施例1:經由熱ALD沉積之碳摻雜氧化矽膜的低介電常數及高氧灰化抗性。
碳摻雜氧化矽膜係使用熱ALD製程,使用1,1,3,3-四氯二矽代環丁烷(TCDSB)及1,1,1,3,3,3-六氯-1,3-二矽代丙烷(HCDSP)及氨氣,於如表3中所描述300℃沉積。該膜沉積後,接著進一步在空氣中於300℃非現址處理3小時。
Figure 107130659-A0101-12-0029-5
表4顯示來自HCDSP和TCDSB所沉積的膜之間的膜成份的比較。相較於HCDSP,該TCDSB膜具有一相當大的碳含量,展示TCDSM係一較佳的矽前驅物,較HCDSP導入更多碳。
熱氧化矽參考蝕刻率的該dHF蝕刻率係0.48±0.02Å/s。HCDSP和TCDSB膜之蝕刻率依序為0.10Å/s和<0.02Å/s。
TCDSB膜之蝕刻率係低於我們量測的偵測極限。較低的TDCSB膜之稀HF蝕刻率(>5x低)與在該膜中較高的碳含量 一致。
來自HCDSP或TCDSB所沉積的碳摻雜氧化矽膜之膜介電常數係大於5。
來自HCDSP或TCDSB膜沉積所得的碳摻雜氧化矽膜進一步使用300奈米商業PEALD工具,使用H2/Ar電漿,使用如前述條件,使用氫電漿處理。HCDSP及TCDSB膜兩者在電漿處理後分別具有降低至3.5及3.4的介電常數,顯現包括氫的電漿係降低介電常數之一有效方式。
該些膜接著暴露至標準氧灰化,接著浸入稀HF中以確定損壞。現在參考至圖1,圖1顯示當浸入稀HF中,去除的膜厚為時間的函數。
HCDSP及TCDSB膜兩者顯示在減緩下來之前一開始快速的蝕刻率,指示來自氧灰化的表面損壞。氧灰化氧化來自該膜的碳,因此引起快速的蝕刻率。蝕刻率輪廓說明TCDSB膜之27Å的損壞層和HCDSP膜之39Å的損壞層,說明在相同的蝕刻條件下,TCDSB膜較HCDSP膜更具有氧灰化抗性。
實施例2. 來自1,1,3,3-四氯-1,3-二矽代環丁烷的碳摻雜氧化矽膜之階梯覆蓋
圖案結構上的碳摻雜氧化矽膜係來自1,1,3,3-四氯-1,3-二矽代環丁烷及氨氣在如表3所描述的300℃沉積,接著非現址處理至300℃,在空氣環境持續3小時。
掃描式電子顯微鏡(SEM)係在具有高寬比1:9及溝渠開口180奈米的溝渠結構上進行。
Figure 107130659-A0101-12-0031-6
顯示在表5中來自1,1,3,3-四氯-1,3-二矽代環丁烷沉積的碳摻雜氧化矽膜的階梯覆蓋>99%。
實施例3. 使用1,1,3,3-四氯-1,3-二矽代環丁烷,經由熱ALD沉積之含矽膜的沉積
含矽膜係來自1,1,3,3-四氯-1,3-二矽代環丁烷及氨氣在基材溫度500℃,使用表3中所描述的製程步驟沉積,及儲存在環境中。
膜性質諸如XPS及在稀氫氟酸中的濕蝕刻率係大約膜沉積後一週得到。這些測試結果被提供在表6。
Figure 107130659-A0101-12-0031-7
參考至表6,該XPS數據顯示該碳摻雜氧化矽具有少許氯含量(例如小於0.5原子%)。於500℃沉積的膜相較於300℃具有較多的氮含量,同時在該膜中保持相似的碳含量。咸信於較低沉積溫度的300℃,該製程可提供較多容易氧化的Si-NH2 或Si-NH-Si碎片。另一方面,於較高500℃溫度的沉積,可提供足夠的能量以形成其係更抗氧化的一較強的Si-Nx網路。
實施例4.沉積自1,1,3,3-四氯-1,3-二矽代環丁烷的碳摻雜氧化矽膜的現址退火
碳摻雜氧化矽膜係使用1,1,3,3-四氯-1,3-二矽代環丁烷及氨氣,在如表3中所描述的300℃沉積。現址H2O蒸氣處理係在膜上使用以下參數進行:吸取H2O蒸氣;H2O罐溫度=50℃;室壓=30托:T=300℃。
每循環之膜成長係0.48埃/循環。所得膜具有折射率1.55及密度1.55g/cc。以XPS測量膜成份係O=39.0%、N=2.6%、C=25.1%及Si=33.2%。在該膜中未偵測到氯。
實施例5.使用1,1,3,3-四氯-1,3-二矽代環丁烷及氨,接著熱退火及電漿處理,經由熱ALD沉積的碳摻雜氧化矽膜之氧灰化抗性
碳摻雜氧化矽膜係使用1,1,3,3-四氯-1,3-二矽代環丁烷及氨氣在如表3中所描述的300℃沉積,接著在空氣中300。℃熱處理。該碳摻雜氧化矽膜係進一步在先前描述的H2/Ar電漿處理之前,於200-400℃、5托在氮氣中加熱。
該膜係接著暴露至氧灰化,接著稀氫氟酸蝕刻以確定損壞厚度。
膜介電常數係顯示在表7中,同時O2灰化損壞厚度係顯示在表8中。
Figure 107130659-A0101-12-0033-8
Figure 107130659-A0101-12-0033-9
在H2/Ar電漿處理之前的額外退火顯示比只有使用H2/Ar電漿處理之樣品的介電常數(k=3.6)具有較低的介電常數(k=2.8-3.2)。該膜具有氧灰化損壞厚度27-32Å。
實施例6. 使用1,1,3,3-四氯-1,3-二矽代環丁烷及氨氣在300℃沉積,接著高溫退火的碳摻雜氧化矽膜
碳摻雜氧化矽膜係使用作為矽前驅物的1,1,3,3-四氯-1,3-二矽代環丁烷及氨氣,在300℃於使用300毫米商業橫流反應器之ALD模式沉積。重複顯示在表9中的ALD步驟2至8以得到所欲的厚度。
表9. 碳摻雜氧化矽膜沉積之ALD步驟
Figure 107130659-A0101-12-0034-10
該原沉積樣品留在環境中轉換成碳摻雜氧化矽膜。該些膜之每循環的成長(GPC)係0.45埃/循環。
該碳摻雜氧化矽膜係進一步在300℃於氮氣氛圍下持續1小時,接著含氫電漿處理(只有H2電漿或H2/Ar電漿),如先前所述。
電漿處理後,該膜暴露至氧灰化,接著稀氫氟酸以確定損壞厚度。氧灰化之後的介電常數及損壞厚度係顯示在表10中。
Figure 107130659-A0101-12-0035-11
該製程展示碳摻雜氧化矽膜在氧灰化製程前及後具有高氧抗性及低k。高氧抗性藉由氧灰化(k<4.0)後的低損壞厚度及低k指示。
實施例7. 含矽膜在電漿處理後的階梯覆蓋
碳摻雜氧化矽膜係使用1,1,3,3-四氯-1,3-二矽代環丁烷及氨氣,在300℃於使用300毫米商業橫流反應器之ALD模式沉積,接著如實施例6中描述的H2/Ar電漿處理。使用的基材係具有高寬比1:9及開口180奈米的圖案化晶圓。
穿透式電子顯微鏡(SEM)係用於確定表面覆蓋。表11顯示結構中各種不同位置的膜厚。
Figure 107130659-A0101-12-0035-12
膜階梯覆蓋係>97%。
實施例8. 自1,1,3,3-四氯-1,3-二矽代環丁烷及氨氣沉積的含碳膜的化學處理
自1,1,3,3-四氯-1,3-二矽代環丁烷及氨氣在如表9中描述的300℃沉積的碳摻雜氧化矽膜係在300℃於惰性環境中退火1小時,接著暴露至使用二乙胺三甲基矽烷化學處理。化學處理參數係:T=300℃;時間=5分鐘及25分鐘;室壓=1托
如控制,該膜只有在300℃退火,而無任何化學暴露。
處理之後,測量膜介電常數及顯示在表12中。
Figure 107130659-A0101-12-0036-13
化學處理顯示膜介電常數之改善,自5.5至小於3.0。
實施例9. 使用在辛烷中的1,1,3,3-四氯-1,3-二矽代環丁烷及氨氣的含碳矽膜之沉積
在辛烷中的1,1,3,3-四氯-1,3-二矽代環丁烷的20重量%溶液用於膜沉積。化學品係使用直接液體注射遞送(罐溫度=60℃,流過蒸發器的氬氣係100sccm。液體流率係大約200毫克/分鐘)。蒸發器溫度係70℃。
沉積製程包括以下步驟:
Figure 107130659-A0101-12-0037-14
在移動至步驟5之前,重複5次步驟3至4,及重複步驟3至8多次以得到所欲厚度。以XPS分析膜成份係Si=35.7%;O=36.5%;C=23.0%;N=4.5%;Cl=0.3%。
沉積膜係進一步在300℃於惰性環境退火1小時,接著H2/Ar電漿處理。
經處理的膜暴露至標準O2灰化及浸入稀HF以確定損壞厚度。只用H2電漿處理的膜及用H2/Ar電漿處理的膜在O2灰化之後的該損壞厚度依序係38埃及37埃。
實施例10. 自現址加工之高碳含量Si氧化膜
在辛烷中的1,1,3,3-四氯-1,3-二矽代環丁烷的20重量%溶液用於膜沉積。化學品係使用直接液體注射遞送(罐溫度=60℃,流過蒸發器的氬氣係100sccm。液體流率係大約200毫克/分鐘)。蒸發器溫度係70℃。
沉積製程包括以下步驟:
Figure 107130659-A0101-12-0038-15
在移動至步驟5之前,重複5次步驟3至4,及重 複步驟3至6多次以得到所欲厚度。步驟8、9及10係選擇性的以供比較。
Figure 107130659-A0101-12-0039-16
所得的膜具有表15中的膜性質,未退火之原沉積膜的膜蝕刻率非常低,亦即0.12x熱氧化。於額外加工(N2乾燥、或N2乾燥及H2電漿)後,該蝕刻率下降至我們的偵測極限之下。
原沉積膜的膜密度係1.34g/cc,使用額外的N2乾燥、或N2乾燥及H2電漿處理具有稍微緻密化,在所有情況下,該膜具有高的碳含量25-29%和低的氯含量(<2%)。
實施例11. 自1,1,3,3-四氯-1,3-二矽代環丁烷及水/吡啶沉積的低介電常數之碳摻雜氧化矽膜
1,1,3,3-四氯-1,3-二矽代環丁烷及水係用於膜沉積。吡啶係用於作為一反應觸媒。化學品(罐溫度=70℃)係使用Ar掃過該前驅物罐遞送。水溫係17℃(蒸氣壓=15托)及水蒸氣係以蒸汽吸取遞送,及吡啶溫度係25-35℃(蒸氣壓=15-25托)及吡啶蒸氣係使用蒸氣吸取遞送。主要的氮氣流率係200sccm,及氬 氣流率係50sccm。
沉積製程包括表16中描述的步驟。
Figure 107130659-A0101-12-0040-17
重複500次步驟3至6以得到所欲厚度。
該原沉積膜具有折射率1.53及GPC0.8埃/循環。以XPS量測的膜成份係Si=35.3%、O=34.0%、C=28.9%、N=0.6%及Cl=1.3%。膜密度係1.8g/cc及稀蝕刻率係0.08埃/秒。
該膜然後於如先前所述的300℃接受標準非現址H2/Ar電漿處理。該膜之介電常數係量測電漿處理之前和之後,自原沉積膜(k=6.1)改善至3.6。
實施例12. 自現址加工之高碳含量Si氧化膜
在辛烷中的1,1,3,3-四氯-1,3-二矽代環丁烷的20重量%溶液用於膜沉積。化學品係使用直接液體注射遞送(罐溫度=60℃,流過蒸發器的氬氣係100sccm。液體流率係大約200毫克/分鐘)。蒸發器溫度係70℃。
沉積製程包括表17中描述的步驟。
Figure 107130659-A0101-12-0041-18
在移動至步驟5之前,重複5次步驟3至4,及重複步驟3至8多次以得到所欲厚度。對一些晶圓而言,步驟9是選 擇性的,以便取得H2O現址退火與在環境中轉換之間的比較。
表18顯示對碳摻雜氧化矽在環境中轉換及使用現址H2O處理者,以XPS量測之相似的膜成份。
Figure 107130659-A0101-12-0042-19
實施例13. 碳摻雜氧化矽膜的高溫退火
碳摻雜氧化矽膜係使用1,1,3,3-四氯-1,3-二矽代環丁烷及氨氣,在300℃於使用300毫米商業橫流反應器之ALD模式沉積。重複顯示在表8中的ALD步驟以得到所欲的厚度。
該原沉積碳摻雜氧化矽膜係在500℃至800℃於惰性環境中退火持續1小時。
該膜介電常數顯示在表19中。
Figure 107130659-A0101-12-0042-20
高溫退火於降低膜介電常數係有效的。
比較例1:使用二乙氧基甲基矽烷藉由PECVD沉積之碳摻雜氧化矽膜上的氫電漿處理及氧灰化的效果。
碳摻雜氧化矽膜係使用二乙氧基甲基矽烷(DEMS)使用一200毫米之商業PECVD工具於300℃沉積。製程參數如下:功率=500W;壓力=9托;Si前驅物流=500sccm;氦流=300sccm;膜厚=500埃。
該原沉積膜具有顯示在表20中的成份。
Figure 107130659-A0101-12-0043-21
該膜密度係1.48g/cc。原沉積膜(氫電漿處理之前)在稀氫氟酸(1:99 49% HF及去離子水)中之濕蝕刻率係在表21中。藉由低蝕刻率指示該膜顯示非常高的稀氫氟酸蝕刻抗性。
表21. 自PECVD及DEMS原沉積的碳摻雜氧化矽膜之在稀氫氟酸(1:99,0.5重量%)中之濕蝕刻率(氫電漿之前)。熱氧化矽參考蝕刻率係0.48±0.02埃/秒。
Figure 107130659-A0101-12-0044-22
該膜然後於300W及300℃使用氫電漿處理5分鐘。氫電漿處理之後,樣品暴露至氧灰化。氫電漿處理及氧灰化製程兩者係如同先前所述。
表22顯示PECVD及DEMS樣品之介電常數量測
Figure 107130659-A0101-12-0044-23
氫電漿處理後之介電常數自3.2增加至3.7,指示較高的損壞厚度。氧灰化進一步增加膜介電常數至5.5。氫電漿處理接著氧灰化之後的稀氫氟酸(1:99 49% HF及去離子水)特徵列表在表17中。
表23. 自PECVD及DEMS的碳摻雜氧化矽膜於氫電漿處理接著氧灰化法灰化後之在稀氫氟酸(1:99)中之濕蝕刻率。熱氧化矽參
Figure 107130659-A0101-12-0045-24
該碳摻雜氧化矽膜清楚地顯示損壞層厚度大於100埃。膜於氧灰化後之膜蝕刻率遠高於(>10x)原沉積膜。暴露至氧灰化製程後之高的膜介電常數係與來自氧灰化之厚的損壞層一致。
比較例2. 含矽膜控制而無後沉積處理。
碳摻雜氧化矽膜係使用熱ALD製程使用1,1,3,3-四氯-1,3-二矽代環丁烷及氨氣,於如表3中所述之300℃沉積。膜沉積後,接著在空氣中的室溫下在300℃退火3小時。標準氧灰化係在該碳摻雜氧化矽膜上進行。稀氫氟酸被用於確定損壞厚度,顯示在表24中。
Figure 107130659-A0101-12-0045-26
最初蝕刻率自表面大約260埃顯示相較於原沉積膜非常高的蝕刻率(0.01埃/秒)說明碳被去除。碳去除係與來自氧灰化之損壞膜一致。
實施例10. 1,1,3,3-四氯-1,3-二矽代環丁烷的配方
Figure 107130659-A0101-12-0046-31
本發明已參考某些具體例描述,熟悉本領域技術者應理解各種不同的變化可被作成及元件可被置換成其之相等物,而不背離本發明之範圍。另外,許多改良可被作成以適應一特別情況或材料至本發明之教示,而不背離其之實質範圍。因此,意圖本發明不被限制至如設想用於進行此發明的最佳模式所揭示的特別具體例,但是本發明將包括落入後附申請專利範圍之範圍的所有具體例。

Claims (22)

  1. 一種用於形成碳摻雜氧化矽膜的方法,該碳摻雜氧化矽膜藉由熱原子層沉積製程形成且具有範圍自15原子%至30原子%的碳含量,該方法包括:a)放置包含有一表面特徵之一或多個基材於一反應器中;b)加熱該反應器至範圍自環境溫度至約550℃的一或多個溫度,以及選擇性地維持該反應器於100托或更少之壓力;c)將至少一具有二Si-C-Si鍵聯的矽前驅物導入該反應器,其係選自1-氯-1,3-二矽代環丁烷、1-溴-1,3-二矽代環丁烷、1,3-二氯-1,3-1,3-二矽代環丁烷、1,3-二溴-1,3-二矽代環丁烷、1,1,3-三氯-1,3-二矽代環丁烷、1,1,3-三溴-1,3-二矽代環丁烷、1,1,3,3-四氯-1,3-二矽代環丁烷、1,1,3,3-四溴-1,3-二矽代環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽代環丁烷、1,3-溴-1,3-二甲基-1,3-二矽代環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽代戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽代戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽代戊烷、1,1,5,5-四氯-1,3,5-三矽代戊烷所組成的族群;d)使用一惰性氣體清洗;e)提供一氮源於該反應器中與該表面反應以形成一碳摻雜氮化矽膜;f)使用一惰性氣體清洗以去除反應的副產物;g)重複步驟c至f以提供該碳摻雜氮化矽膜之一所欲的厚度; h)於範圍自環境溫度至1000℃或自約100℃至400℃的一或多個溫度,使用一氧源處理所得的該碳摻雜氮化矽膜,將該碳摻雜氮化矽膜轉換為一碳摻雜氧化矽膜;及i)將該碳摻雜氧化矽膜暴露於一包含氫的電漿以提供後沉積;其中該方法進一步在該碳摻雜氧化矽膜被暴露於該包含氫的電漿之前將該碳摻雜氧化矽膜暴露於具有Si-Me或Si-H或兩者之一矽化合物,以形成一疏水性薄膜,其中該矽化合物係選自有機胺矽烷、氯矽烷、烷氧基矽烷及環烷氧基矽烷所組成的群組。
  2. 如請求項1的方法,其中該有機胺矽烷係選自二乙胺三甲基矽烷、二甲胺三甲基矽烷、乙甲胺三甲基矽烷、叔丁基胺三甲基矽烷、異丙基胺三甲基矽烷、二異丙基胺三甲基矽烷、吡咯烷三甲基矽烷、二乙胺二甲基矽烷、二甲胺二甲基矽烷、叔丁基胺二甲基矽烷、異丙基胺二甲基矽烷、二異丙基胺二甲基矽烷、吡咯烷二甲基矽烷、雙(二乙胺)二甲基矽烷、雙(二甲胺)二甲基矽烷、雙(乙甲胺)二甲基矽烷、雙(二異丙胺)二甲基矽烷、雙(異丙胺)二甲基矽烷、雙(叔丁基胺)二甲基矽烷、二吡咯烷二甲基矽烷、雙(二乙胺)二乙基矽烷、雙(二乙胺)甲基乙烯基矽烷、雙(乙甲胺)甲基乙烯基矽烷、雙(二異丙基胺)甲基乙烯基矽烷、雙(異丙基胺)甲基乙烯基矽烷、雙(叔丁基胺)甲基乙烯基矽烷、二吡咯烷甲基乙烯基矽烷、2,6-二甲基吡啶基甲基矽烷、2,6-二甲基吡啶基二甲基矽烷、2,6-二甲基吡啶基三甲基矽烷、三(二甲胺)苯基矽烷、三(二甲胺)甲基矽烷、二異丙胺矽烷、及二仲丁基胺矽烷所組成的群組。
  3. 如請求項1的方法,其中該氯矽烷係選自氯二甲基矽烷、氯三甲基矽烷、二氯甲基矽烷及二氯二甲基矽烷所組成的群組。
  4. 如請求項1的方法,其中該烷氧基矽烷係選自烷氧基矽烷係選自二乙氧基甲基矽烷、二甲氧基甲基矽烷、二乙氧基二甲基矽烷、及二甲氧基二甲基矽烷所組成的群組。
  5. 如請求項1的方法,其中該環烷氧基矽烷係選自2,4,6,8-四甲基環四矽氧烷及八甲基環四矽氧烷所組成的群組。
  6. 如請求項1的方法,其中該矽前驅物在被導入該反應器之前與一溶劑混合。
  7. 一種依請求項1的方法所形成的膜,其具有小於約4的介電常數,至少約10原子%的碳含量。
  8. 一種依請求項1的方法所形成的膜,其具有低於熱氧化矽至少0.5倍的一蝕刻速率,其中該蝕刻速率係在稀氫氟酸中量測。
  9. 一種依請求項1的方法所形成的膜,其具有低於熱氧化矽至少0.1倍的一蝕刻速率,其中該蝕刻速率係在稀氫氟酸中量測。
  10. 一種依請求項1的方法所形成的膜,其具有低於熱氧化矽至少0.05倍的一蝕刻速率,其中該蝕刻速率係在稀氫氟酸中量測。
  11. 一種依請求項1的方法所形成的膜,其具有低於熱氧化矽至少0.01倍的一蝕刻速率,其中該蝕刻速率係在稀氫氟酸中量測。
  12. 一種依請求項1的方法所形成的膜,當其暴露於一氧灰化製程具有較少的損壞層,為50Å或更少,其中該氧灰化製程係在室溫使用電漿灰化器進行,製程參數如下:功率=100-200W;He/O 2=1:3;壓力=600mTorr。
  13. 一種依請求項1的方法所形成的膜,當其暴露於一氧灰化製程具有較少的損壞層,為20Å或更少,其中該氧灰化製程係在室溫使用電漿灰化器進行,製程參數如下:功率=100-200W;He/O 2=1:3;壓力=600mTorr。
  14. 一種依請求項1的方法所形成的膜,當其暴露於一氧灰化製程具有較少的損壞層,為10Å或更少,其中該氧灰化製程係在室溫使用電漿灰化器進行,製程參數如下:功率=100-200W;He/O 2=1:3;壓力=600mTorr。
  15. 一種依請求項1的方法所形成的膜,當其暴露於一氧灰化製程具有較少的損壞層,為5Å或更少,其中該氧灰化製程係在室溫使用電漿灰化器進行,製程參數如下:功率=100-200W;He/O 2=1:3;壓力=600mTorr。
  16. 一種用於形成碳摻雜氧化矽膜的方法,該碳摻雜氧化矽膜藉由熱原子層沉積製程形成且具有範圍自15原子%至30原子%的碳含量,該方法包括:a)放置包含有一表面特徵之一或多個基材於一反應器中;b)加熱該反應器至範圍自環境溫度至約150℃的一或多個溫度,以及選擇性地維持該反應器於100托或更少之壓力;c)將至少一具有二Si-C-Si鍵聯的矽前驅物及一觸媒導入該反應器,該矽前驅物係選自1-氯-1,3-二矽代環丁烷、1-溴-1,3-二矽代環丁烷、1,3-二氯-1,3-1,3-二矽代環丁烷、1,3-二溴-1,3-二矽代環丁烷、1,1,3-三氯-1,3-二矽代環丁烷、1,1,3-三溴-1,3-二矽代環丁烷、1,1,3,3-四氯-1,3-二矽代環丁烷、1,1,3,3-四溴-1,3-二矽代環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽代環丁烷、1,3-溴-1,3-二甲基-1,3-二矽代環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽代戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽代戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三矽代戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽代戊烷、1,1,5,5-四氯-1,3,5-三矽代戊烷所組成的族群;d)使用一惰性氣體清洗;e)提供水的蒸氣於該反應器中與該前驅物及該觸媒反應以形成一碳摻雜氧化矽原沉積膜;f)使用一惰性氣體清洗以去除反應的副產物;g)重複步驟c至f以提供該碳摻雜氧化矽膜之一所欲的厚度;及h)將該碳摻雜氧化矽膜暴露於一包含氫的電漿以提供後沉積; 其中該方法進一步在該碳摻雜氧化矽膜被暴露於該包含氫的電漿之前將該碳摻雜氧化矽膜暴露於具有Si-Me或Si-H或兩者之一矽化合物,以形成一疏水性薄膜,其中該矽化合物係選自有機胺矽烷、氯矽烷、烷氧基矽烷及環烷氧基矽烷所組成的群組。
  17. 如請求項16的方法,其中該有機胺矽烷係選自二乙胺三甲基矽烷、二甲胺三甲基矽烷、乙甲胺三甲基矽烷、叔丁基胺三甲基矽烷、異丙基胺三甲基矽烷、二異丙基胺三甲基矽烷、吡咯烷三甲基矽烷、二乙胺二甲基矽烷、二甲胺二甲基矽烷、叔丁基胺二甲基矽烷、異丙基胺二甲基矽烷、二異丙基胺二甲基矽烷、吡咯烷二甲基矽烷、雙(二乙胺)二甲基矽烷、雙(二甲胺)二甲基矽烷、雙(乙甲胺)二甲基矽烷、雙(二異丙胺)二甲基矽烷、雙(異丙胺)二甲基矽烷、雙(叔丁基胺)二甲基矽烷、二吡咯烷二甲基矽烷、雙(二乙胺)二乙基矽烷、雙(二乙胺)甲基乙烯基矽烷、雙(乙甲胺)甲基乙烯基矽烷、雙(二異丙基胺)甲基乙烯基矽烷、雙(異丙基胺)甲基乙烯基矽烷、雙(叔丁基胺)甲基乙烯基矽烷、二吡咯烷甲基乙烯基矽烷、2,6-二甲基吡啶基甲基矽烷、2,6-二甲基吡啶基二甲基矽烷、2,6-二甲基吡啶基三甲基矽烷、三(二甲胺)苯基矽烷、三(二甲胺)甲基矽烷、二異丙胺矽烷、及二仲丁基胺矽烷所組成的群組。
  18. 如請求項16的方法,其中該氯矽烷係選自氯二甲基矽烷、氯三甲基矽烷、二氯甲基矽烷及二氯二甲基矽烷所組成的群組。
  19. 如請求項16的方法,其中該烷氧基矽烷係選自烷氧基矽烷 係選自二乙氧基甲基矽烷、二甲氧基甲基矽烷、二乙氧基二甲基矽烷、及二甲氧基二甲基矽烷所組成的群組。
  20. 如請求項16的方法,其中該環烷氧基矽烷係選自2,4,6,8-四甲基環四矽氧烷及八甲基環四矽氧烷所組成的群組。
  21. 如請求項16的方法,其中該觸媒係選自包含有機胺類之一路易士鹼,及該路易士鹼的量係為於步驟c期間該矽前驅物用量的至少一當量。
  22. 如請求項21的方法,其中該有機胺類為吡啶、呱嗪、氨、或三乙胺。
TW107130659A 2016-07-27 2017-07-26 用於形成碳摻雜的含矽膜的方法 TWI683025B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662367260P 2016-07-27 2016-07-27
US62/367,260 2016-07-27
US15/654,426 US20180033614A1 (en) 2016-07-27 2017-07-19 Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US15/654,426 2017-07-19

Publications (2)

Publication Number Publication Date
TW201900916A TW201900916A (zh) 2019-01-01
TWI683025B true TWI683025B (zh) 2020-01-21

Family

ID=61011718

Family Applications (3)

Application Number Title Priority Date Filing Date
TW107130659A TWI683025B (zh) 2016-07-27 2017-07-26 用於形成碳摻雜的含矽膜的方法
TW108146158A TWI720741B (zh) 2016-07-27 2017-07-26 用於形成碳摻雜氧化矽膜的矽前驅物化合物及方法、使用及容納有該化合物的用途及容器
TW106125167A TWI637075B (zh) 2016-07-27 2017-07-26 用於碳摻雜的含矽膜的組合物及其方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW108146158A TWI720741B (zh) 2016-07-27 2017-07-26 用於形成碳摻雜氧化矽膜的矽前驅物化合物及方法、使用及容納有該化合物的用途及容器
TW106125167A TWI637075B (zh) 2016-07-27 2017-07-26 用於碳摻雜的含矽膜的組合物及其方法

Country Status (9)

Country Link
US (4) US20180033614A1 (zh)
EP (2) EP3491167B1 (zh)
JP (2) JP6849788B2 (zh)
KR (6) KR102345918B1 (zh)
CN (1) CN109689928A (zh)
IL (2) IL291934B2 (zh)
SG (1) SG11201900319PA (zh)
TW (3) TWI683025B (zh)
WO (1) WO2018022719A1 (zh)

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102079501B1 (ko) * 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) * 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
WO2019028136A1 (en) 2017-08-04 2019-02-07 Lam Research Corporation SELECTIVE DEPOSITION OF SILICON NITRIDE ON HORIZONTAL SURFACES
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP6806721B2 (ja) * 2018-02-20 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システムおよびプログラム
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN112805405B (zh) * 2018-09-24 2024-04-23 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
WO2020072625A1 (en) 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
CN112969817B (zh) * 2018-10-05 2024-04-19 弗萨姆材料美国有限责任公司 含硅膜的高温原子层沉积
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102157137B1 (ko) * 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP6910387B2 (ja) * 2019-03-05 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7224217B2 (ja) * 2019-03-15 2023-02-17 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7326912B2 (ja) * 2019-06-20 2023-08-16 株式会社リコー 液体吐出ヘッド、液体吐出ユニット及び液体を吐出する装置
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2023531513A (ja) * 2020-06-23 2023-07-24 インテグリス・インコーポレーテッド ケイ素前駆体化合物及びケイ素含有膜を形成するための方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
JP2022065560A (ja) * 2020-10-15 2022-04-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7444182B2 (ja) 2022-01-28 2024-03-06 トヨタ自動車株式会社 車両用スロープ展開装置
WO2023220650A1 (en) * 2022-05-13 2023-11-16 Versum Materials Us, Llc Compositions and methods using same for carbon doped silicon containing films

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US20150002608A1 (en) * 2013-06-28 2015-01-01 Cellco Partnership (D/B/A Verizon Wireless) Videocast service architecture
US20160111272A1 (en) * 2015-12-28 2016-04-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02145590A (ja) 1988-11-26 1990-06-05 Shin Etsu Chem Co Ltd 新規ジシラシクロヘキサン化合物及びその製造方法
US5141817A (en) * 1989-06-13 1992-08-25 International Business Machines Corporation Dielectric structures having embedded gap filling RIE etch stop polymeric materials of high thermal stability
JP2614338B2 (ja) 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
KR100364115B1 (ko) 1996-12-17 2002-12-11 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 화학 증착용 시약 공급 용기
KR100365641B1 (ko) * 2000-07-29 2002-12-26 삼성전자 주식회사 배선에 의한 기생 용량을 줄일 수 있는 반도체 장치 및 그형성방법
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
WO2005045916A1 (ja) * 2003-11-11 2005-05-19 Tokyo Electron Limited 基板処理方法
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2007204626A (ja) * 2006-02-02 2007-08-16 Jsr Corp ポリマーの製造方法、ポリマー、絶縁膜形成用組成物、絶縁膜の製造方法、およびシリカ系絶縁膜
JP5218765B2 (ja) * 2006-03-29 2013-06-26 Jsr株式会社 ポリマーの製造方法、ポリマー、ポリマー膜形成用組成物、ポリマー膜の形成方法およびポリマー膜
JP4962490B2 (ja) * 2006-03-29 2012-06-27 ダイソー株式会社 修飾シリカゲル及びその利用
US20100051920A1 (en) * 2006-12-20 2010-03-04 Dow Corning Corporation Composite Article Including a Cation-Sensitive Layer
JPWO2009123032A1 (ja) * 2008-04-02 2011-07-28 Jsr株式会社 含ケイ素重合体を含む組成物およびその硬化物
US8241624B2 (en) 2008-04-18 2012-08-14 Ecolab Usa Inc. Method of disinfecting packages with composition containing peracid and catalase
KR100928942B1 (ko) * 2008-05-26 2009-11-30 제이에스아이실리콘주식회사 직선형이나 고리형의 트리실라알칸의 제조방법
CN101407466B (zh) 2008-12-08 2013-11-27 山西玉龙化工有限公司 乙二胺的纯化方法
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US20120030448A1 (en) * 2009-03-30 2012-02-02 Nec Corporation Single instruction multiple date (simd) processor having a plurality of processing elements interconnected by a ring bus
WO2011020028A2 (en) 2009-08-14 2011-02-17 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Silane blend for thin film vapor deposition
KR101030019B1 (ko) * 2009-12-31 2011-04-20 제일모직주식회사 봉지재용 투광성 수지 및 이를 포함하는 전자 소자
JP5188529B2 (ja) 2010-03-30 2013-04-24 株式会社日立ハイテクノロジーズ 電子ビーム照射方法、及び走査電子顕微鏡
JP5374638B2 (ja) * 2010-04-09 2013-12-25 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
TWI483995B (zh) * 2010-08-18 2015-05-11 Cheil Ind Inc 聚有機矽氧烷與由該聚有機矽氧烷獲得之封裝材料以及包含該封裝材料之電子元件
KR20130135261A (ko) * 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
WO2012128044A1 (ja) * 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
TW201319299A (zh) * 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
WO2013039881A2 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
KR20140083619A (ko) * 2012-12-26 2014-07-04 제일모직주식회사 실록산 모노머, 봉지재 조성물, 봉지재 및 전자 소자
KR20150121217A (ko) * 2013-03-01 2015-10-28 어플라이드 머티어리얼스, 인코포레이티드 SiCN 또는 SiCON을 포함하는 필름의 저온 원자층 증착
JP6155063B2 (ja) 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5864637B2 (ja) 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6112928B2 (ja) 2013-03-19 2017-04-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US8979549B2 (en) 2013-08-08 2015-03-17 Kuei-Yang Lin Rotating plug
JP5788448B2 (ja) * 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
JP6068661B2 (ja) * 2013-09-30 2017-01-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム
CN103539675B (zh) 2013-10-21 2015-05-13 西安近代化学研究所 一种乙二胺和水共沸物的分离方法
US9401273B2 (en) * 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
JP6192147B2 (ja) * 2014-08-22 2017-09-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102079501B1 (ko) * 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
JP6585724B2 (ja) * 2015-02-06 2019-10-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
JP7025534B2 (ja) * 2017-09-14 2022-02-24 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー シリコン含有膜堆積用の組成物及び方法
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US20150002608A1 (en) * 2013-06-28 2015-01-01 Cellco Partnership (D/B/A Verizon Wireless) Videocast service architecture
US20160111272A1 (en) * 2015-12-28 2016-04-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes

Also Published As

Publication number Publication date
US20230377874A1 (en) 2023-11-23
EP4253597A3 (en) 2023-12-06
JP6849788B2 (ja) 2021-03-31
US20180033614A1 (en) 2018-02-01
KR20190025060A (ko) 2019-03-08
KR20210107178A (ko) 2021-08-31
US11742200B2 (en) 2023-08-29
EP3491167A1 (en) 2019-06-05
TW202014546A (zh) 2020-04-16
WO2018022719A1 (en) 2018-02-01
TWI637075B (zh) 2018-10-01
CN109689928A (zh) 2019-04-26
IL291934B1 (en) 2023-09-01
IL291934B2 (en) 2024-01-01
TW201804012A (zh) 2018-02-01
KR20230170109A (ko) 2023-12-18
EP3491167B1 (en) 2023-09-06
KR20220002730A (ko) 2022-01-06
TW201900916A (zh) 2019-01-01
TWI720741B (zh) 2021-03-01
SG11201900319PA (en) 2019-02-27
KR20220143781A (ko) 2022-10-25
JP2019527483A (ja) 2019-09-26
KR20220000992A (ko) 2022-01-04
KR102345918B1 (ko) 2022-01-03
US20200203155A1 (en) 2020-06-25
IL264379A (en) 2019-02-28
KR102510722B1 (ko) 2023-03-15
IL291934A (en) 2022-06-01
JP2021097240A (ja) 2021-06-24
US20220037151A1 (en) 2022-02-03
EP3491167A4 (en) 2020-01-01
IL264379B (en) 2022-06-01
EP4253597A2 (en) 2023-10-04
JP7153100B2 (ja) 2022-10-13
US11152206B2 (en) 2021-10-19
KR102456373B1 (ko) 2022-10-18

Similar Documents

Publication Publication Date Title
TWI683025B (zh) 用於形成碳摻雜的含矽膜的方法
TWI762809B (zh) 具有高碳含量的含矽膜的製造方法
TW202208663A (zh) 含矽及氮膜的製造方法
TWI814264B (zh) 用於含有矽及硼的膜之組合物及使用其之方法
TW202348590A (zh) 用於碳摻雜的含矽膜的組合物及使用其的方法