JP6068661B2 - 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム - Google Patents
半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム Download PDFInfo
- Publication number
- JP6068661B2 JP6068661B2 JP2015538807A JP2015538807A JP6068661B2 JP 6068661 B2 JP6068661 B2 JP 6068661B2 JP 2015538807 A JP2015538807 A JP 2015538807A JP 2015538807 A JP2015538807 A JP 2015538807A JP 6068661 B2 JP6068661 B2 JP 6068661B2
- Authority
- JP
- Japan
- Prior art keywords
- gas
- temperature
- film
- substrate
- thin film
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000012545 processing Methods 0.000 title claims description 429
- 239000000758 substrate Substances 0.000 title claims description 215
- 239000004065 semiconductor Substances 0.000 title claims description 55
- 238000004519 manufacturing process Methods 0.000 title claims description 52
- 239000007789 gas Substances 0.000 claims description 1573
- 239000010408 film Substances 0.000 claims description 795
- 239000012535 impurity Substances 0.000 claims description 232
- 238000000034 method Methods 0.000 claims description 218
- 239000003054 catalyst Substances 0.000 claims description 212
- 239000010409 thin film Substances 0.000 claims description 196
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 claims description 185
- 230000008569 process Effects 0.000 claims description 161
- 239000000460 chlorine Substances 0.000 claims description 158
- 229910052799 carbon Inorganic materials 0.000 claims description 157
- 238000010438 heat treatment Methods 0.000 claims description 142
- 230000001590 oxidative effect Effects 0.000 claims description 127
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 claims description 116
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 claims description 92
- 239000000126 substance Substances 0.000 claims description 74
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 63
- 229910052801 chlorine Inorganic materials 0.000 claims description 62
- 229910052710 silicon Inorganic materials 0.000 claims description 62
- 229910052760 oxygen Inorganic materials 0.000 claims description 47
- 239000002994 raw material Substances 0.000 claims description 47
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 40
- 229910018540 Si C Inorganic materials 0.000 claims description 37
- 239000001301 oxygen Substances 0.000 claims description 34
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 33
- 239000010703 silicon Substances 0.000 claims description 31
- 229910052739 hydrogen Inorganic materials 0.000 claims description 21
- 238000011282 treatment Methods 0.000 claims description 16
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 13
- NQRYJNQNLNOLGT-UHFFFAOYSA-N Piperidine Chemical compound C1CCNCC1 NQRYJNQNLNOLGT-UHFFFAOYSA-N 0.000 claims description 12
- 150000002430 hydrocarbons Chemical class 0.000 claims description 12
- 229910021419 crystalline silicon Inorganic materials 0.000 claims description 11
- 239000001257 hydrogen Substances 0.000 claims description 11
- 230000003197 catalytic effect Effects 0.000 claims description 10
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims description 10
- 239000000463 material Substances 0.000 claims description 9
- OISVCGZHLKNMSJ-UHFFFAOYSA-N 2,6-dimethylpyridine Chemical compound CC1=CC=CC(C)=N1 OISVCGZHLKNMSJ-UHFFFAOYSA-N 0.000 claims description 8
- GLUUGHFHXGJENI-UHFFFAOYSA-N Piperazine Chemical compound C1CNCCN1 GLUUGHFHXGJENI-UHFFFAOYSA-N 0.000 claims description 8
- QUSNBJAOOMFDIB-UHFFFAOYSA-N Ethylamine Chemical compound CCN QUSNBJAOOMFDIB-UHFFFAOYSA-N 0.000 claims description 6
- BAVYZALUXZFZLV-UHFFFAOYSA-N Methylamine Chemical compound NC BAVYZALUXZFZLV-UHFFFAOYSA-N 0.000 claims description 6
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 6
- HPNMFZURTQLUMO-UHFFFAOYSA-N diethylamine Chemical compound CCNCC HPNMFZURTQLUMO-UHFFFAOYSA-N 0.000 claims description 6
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 claims description 6
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 6
- BSKHPKMHTQYZBB-UHFFFAOYSA-N 2-methylpyridine Chemical compound CC1=CC=CC=N1 BSKHPKMHTQYZBB-UHFFFAOYSA-N 0.000 claims description 4
- 150000003927 aminopyridines Chemical class 0.000 claims description 4
- HPYNZHMRTTWQTB-UHFFFAOYSA-N dimethylpyridine Natural products CC1=CC=CN=C1C HPYNZHMRTTWQTB-UHFFFAOYSA-N 0.000 claims description 4
- WDVUXWDZTPZIIE-UHFFFAOYSA-N trichloro(2-trichlorosilylethyl)silane Chemical compound Cl[Si](Cl)(Cl)CC[Si](Cl)(Cl)Cl WDVUXWDZTPZIIE-UHFFFAOYSA-N 0.000 claims description 4
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 claims description 3
- SFAZXBAPWCPIER-UHFFFAOYSA-N chloro-[chloro(dimethyl)silyl]-dimethylsilane Chemical compound C[Si](C)(Cl)[Si](C)(C)Cl SFAZXBAPWCPIER-UHFFFAOYSA-N 0.000 claims description 3
- JTBAMRDUGCDKMS-UHFFFAOYSA-N dichloro-[dichloro(methyl)silyl]-methylsilane Chemical compound C[Si](Cl)(Cl)[Si](C)(Cl)Cl JTBAMRDUGCDKMS-UHFFFAOYSA-N 0.000 claims description 3
- ABDDAHLAEXNYRC-UHFFFAOYSA-N trichloro(trichlorosilylmethyl)silane Chemical compound Cl[Si](Cl)(Cl)C[Si](Cl)(Cl)Cl ABDDAHLAEXNYRC-UHFFFAOYSA-N 0.000 claims description 3
- 235000012431 wafers Nutrition 0.000 description 332
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 154
- 238000006243 chemical reaction Methods 0.000 description 87
- 230000004048 modification Effects 0.000 description 67
- 238000012986 modification Methods 0.000 description 67
- 238000001179 sorption measurement Methods 0.000 description 66
- 229910052736 halogen Inorganic materials 0.000 description 52
- 150000002367 halogens Chemical class 0.000 description 52
- 150000001412 amines Chemical class 0.000 description 44
- 230000015572 biosynthetic process Effects 0.000 description 43
- 239000011261 inert gas Substances 0.000 description 41
- 239000000203 mixture Substances 0.000 description 39
- 239000000872 buffer Substances 0.000 description 36
- 229910052757 nitrogen Inorganic materials 0.000 description 31
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 30
- 238000005530 etching Methods 0.000 description 30
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 27
- 230000000694 effects Effects 0.000 description 27
- 239000005046 Chlorosilane Substances 0.000 description 26
- 238000010926 purge Methods 0.000 description 26
- 238000007254 oxidation reaction Methods 0.000 description 23
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 18
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 17
- 230000003647 oxidation Effects 0.000 description 17
- 238000003860 storage Methods 0.000 description 17
- 229910052751 metal Inorganic materials 0.000 description 16
- 239000002184 metal Substances 0.000 description 16
- 125000001309 chloro group Chemical group Cl* 0.000 description 15
- -1 cyclic amine Chemical class 0.000 description 15
- 230000007246 mechanism Effects 0.000 description 15
- 238000011144 upstream manufacturing Methods 0.000 description 14
- 230000002829 reductive effect Effects 0.000 description 13
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 12
- 239000010936 titanium Substances 0.000 description 12
- 239000002253 acid Substances 0.000 description 11
- 238000000354 decomposition reaction Methods 0.000 description 11
- 238000003795 desorption Methods 0.000 description 11
- 125000000217 alkyl group Chemical group 0.000 description 10
- 125000002947 alkylene group Chemical group 0.000 description 10
- 125000001570 methylene group Chemical group [H]C([H])([*:1])[*:2] 0.000 description 10
- 239000002052 molecular layer Substances 0.000 description 10
- 238000005121 nitriding Methods 0.000 description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 9
- 239000007788 liquid Substances 0.000 description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- 238000000137 annealing Methods 0.000 description 8
- 238000010586 diagram Methods 0.000 description 8
- 238000005979 thermal decomposition reaction Methods 0.000 description 8
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 7
- 230000009471 action Effects 0.000 description 7
- 238000006555 catalytic reaction Methods 0.000 description 7
- 230000007423 decrease Effects 0.000 description 7
- 238000010494 dissociation reaction Methods 0.000 description 7
- 125000000816 ethylene group Chemical group [H]C([H])([*:1])C([H])([H])[*:2] 0.000 description 7
- 125000001153 fluoro group Chemical group F* 0.000 description 7
- 125000005843 halogen group Chemical group 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- 229910007991 Si-N Inorganic materials 0.000 description 6
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 6
- 229910006294 Si—N Inorganic materials 0.000 description 6
- 125000004429 atom Chemical group 0.000 description 6
- 229910052735 hafnium Inorganic materials 0.000 description 6
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 6
- 229910000041 hydrogen chloride Inorganic materials 0.000 description 6
- 230000015654 memory Effects 0.000 description 6
- 230000009257 reactivity Effects 0.000 description 6
- 229910000077 silane Inorganic materials 0.000 description 6
- 229910052715 tantalum Inorganic materials 0.000 description 6
- 229910052726 zirconium Inorganic materials 0.000 description 6
- 229910004298 SiO 2 Inorganic materials 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 5
- 125000003277 amino group Chemical group 0.000 description 5
- 230000005593 dissociations Effects 0.000 description 5
- 238000011156 evaluation Methods 0.000 description 5
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 5
- 239000012528 membrane Substances 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 230000001737 promoting effect Effects 0.000 description 5
- 150000003839 salts Chemical class 0.000 description 5
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 5
- 229910052719 titanium Inorganic materials 0.000 description 5
- 238000001039 wet etching Methods 0.000 description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 230000006870 function Effects 0.000 description 4
- 239000003779 heat-resistant material Substances 0.000 description 4
- 239000005001 laminate film Substances 0.000 description 4
- 239000011148 porous material Substances 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 238000001228 spectrum Methods 0.000 description 4
- UOACKFBJUYNSLK-XRKIENNPSA-N Estradiol Cypionate Chemical compound O([C@H]1CC[C@H]2[C@H]3[C@@H](C4=CC=C(O)C=C4CC3)CC[C@@]21C)C(=O)CCC1CCCC1 UOACKFBJUYNSLK-XRKIENNPSA-N 0.000 description 3
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 238000011066 ex-situ storage Methods 0.000 description 3
- 238000010574 gas phase reaction Methods 0.000 description 3
- 238000010030 laminating Methods 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- MEFBJEMVZONFCJ-UHFFFAOYSA-N molybdate Chemical compound [O-][Mo]([O-])(=O)=O MEFBJEMVZONFCJ-UHFFFAOYSA-N 0.000 description 3
- 229910052750 molybdenum Inorganic materials 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 230000008016 vaporization Effects 0.000 description 3
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 2
- 229910017109 AlON Inorganic materials 0.000 description 2
- NLXLAEXVIDQMFP-UHFFFAOYSA-N Ammonia chloride Chemical compound [NH4+].[Cl-] NLXLAEXVIDQMFP-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 2
- PEDCQBHIVMGVHV-UHFFFAOYSA-N Glycerine Chemical compound OCC(O)CO PEDCQBHIVMGVHV-UHFFFAOYSA-N 0.000 description 2
- 229910004143 HfON Inorganic materials 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- 229910015659 MoON Inorganic materials 0.000 description 2
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- 229910018557 Si O Inorganic materials 0.000 description 2
- 229910003071 TaON Inorganic materials 0.000 description 2
- 229910010282 TiON Inorganic materials 0.000 description 2
- 229910006252 ZrON Inorganic materials 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 150000001335 aliphatic alkanes Chemical class 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- VQPFDLRNOCQMSN-UHFFFAOYSA-N bromosilane Chemical compound Br[SiH3] VQPFDLRNOCQMSN-UHFFFAOYSA-N 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 125000004122 cyclic group Chemical group 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 208000018459 dissociative disease Diseases 0.000 description 2
- 230000005284 excitation Effects 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 125000000524 functional group Chemical group 0.000 description 2
- 150000004820 halides Chemical class 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 238000003475 lamination Methods 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- 125000004433 nitrogen atom Chemical group N* 0.000 description 2
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 description 2
- 238000002407 reforming Methods 0.000 description 2
- 230000004044 response Effects 0.000 description 2
- 229930195734 saturated hydrocarbon Natural products 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 2
- 239000005052 trichlorosilane Substances 0.000 description 2
- 230000003313 weakening effect Effects 0.000 description 2
- KGRVJHAUYBGFFP-UHFFFAOYSA-N 2,2'-Methylenebis(4-methyl-6-tert-butylphenol) Chemical compound CC(C)(C)C1=CC(C)=CC(CC=2C(=C(C=C(C)C=2)C(C)(C)C)O)=C1O KGRVJHAUYBGFFP-UHFFFAOYSA-N 0.000 description 1
- VXEGSRKPIUDPQT-UHFFFAOYSA-N 4-[4-(4-methoxyphenyl)piperazin-1-yl]aniline Chemical compound C1=CC(OC)=CC=C1N1CCN(C=2C=CC(N)=CC=2)CC1 VXEGSRKPIUDPQT-UHFFFAOYSA-N 0.000 description 1
- XVMSFILGAMDHEY-UHFFFAOYSA-N 6-(4-aminophenyl)sulfonylpyridin-3-amine Chemical compound C1=CC(N)=CC=C1S(=O)(=O)C1=CC=C(N)C=N1 XVMSFILGAMDHEY-UHFFFAOYSA-N 0.000 description 1
- 229910016569 AlF 3 Inorganic materials 0.000 description 1
- KLZUFWVZNOTSEM-UHFFFAOYSA-K Aluminium flouride Chemical compound F[Al](F)F KLZUFWVZNOTSEM-UHFFFAOYSA-K 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910017263 Mo—C Inorganic materials 0.000 description 1
- 206010067482 No adverse event Diseases 0.000 description 1
- 229910008045 Si-Si Inorganic materials 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- 229910006411 Si—Si Inorganic materials 0.000 description 1
- 229910004529 TaF 5 Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- 229910007926 ZrCl Inorganic materials 0.000 description 1
- VSCWAEJMTAWNJL-UHFFFAOYSA-K aluminium trichloride Chemical compound Cl[Al](Cl)Cl VSCWAEJMTAWNJL-UHFFFAOYSA-K 0.000 description 1
- 235000019270 ammonium chloride Nutrition 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 125000001246 bromo group Chemical group Br* 0.000 description 1
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- SLLGVCUQYRMELA-UHFFFAOYSA-N chlorosilicon Chemical compound Cl[Si] SLLGVCUQYRMELA-UHFFFAOYSA-N 0.000 description 1
- 238000002485 combustion reaction Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000006378 damage Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- RAABOESOVLLHRU-UHFFFAOYSA-N diazene Chemical compound N=N RAABOESOVLLHRU-UHFFFAOYSA-N 0.000 description 1
- 229910000071 diazene Inorganic materials 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- XPBBUZJBQWWFFJ-UHFFFAOYSA-N fluorosilane Chemical compound [SiH3]F XPBBUZJBQWWFFJ-UHFFFAOYSA-N 0.000 description 1
- 230000014509 gene expression Effects 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- PDPJQWYGJJBYLF-UHFFFAOYSA-J hafnium tetrachloride Chemical compound Cl[Hf](Cl)(Cl)Cl PDPJQWYGJJBYLF-UHFFFAOYSA-J 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- QHEDSQMUHIMDOL-UHFFFAOYSA-J hafnium(4+);tetrafluoride Chemical compound F[Hf](F)(F)F QHEDSQMUHIMDOL-UHFFFAOYSA-J 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 150000002391 heterocyclic compounds Chemical class 0.000 description 1
- 230000001771 impaired effect Effects 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 230000009545 invasion Effects 0.000 description 1
- 150000008040 ionic compounds Chemical class 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910000476 molybdenum oxide Inorganic materials 0.000 description 1
- GICWIDZXWJGTCI-UHFFFAOYSA-I molybdenum pentachloride Chemical compound Cl[Mo](Cl)(Cl)(Cl)Cl GICWIDZXWJGTCI-UHFFFAOYSA-I 0.000 description 1
- NBJFDNVXVFBQDX-UHFFFAOYSA-I molybdenum pentafluoride Chemical compound F[Mo](F)(F)(F)F NBJFDNVXVFBQDX-UHFFFAOYSA-I 0.000 description 1
- OWKFQWAGPHVFRF-UHFFFAOYSA-N n-(diethylaminosilyl)-n-ethylethanamine Chemical compound CCN(CC)[SiH2]N(CC)CC OWKFQWAGPHVFRF-UHFFFAOYSA-N 0.000 description 1
- NCAKWMZPHTZJOT-UHFFFAOYSA-N n-[bis(diethylamino)silyl]-n-ethylethanamine Chemical compound CCN(CC)[SiH](N(CC)CC)N(CC)CC NCAKWMZPHTZJOT-UHFFFAOYSA-N 0.000 description 1
- GURMJCMOXLWZHZ-UHFFFAOYSA-N n-ethyl-n-[tris(diethylamino)silyl]ethanamine Chemical compound CCN(CC)[Si](N(CC)CC)(N(CC)CC)N(CC)CC GURMJCMOXLWZHZ-UHFFFAOYSA-N 0.000 description 1
- SSCVMVQLICADPI-UHFFFAOYSA-N n-methyl-n-[tris(dimethylamino)silyl]methanamine Chemical compound CN(C)[Si](N(C)C)(N(C)C)N(C)C SSCVMVQLICADPI-UHFFFAOYSA-N 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 231100000989 no adverse effect Toxicity 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- PQQKPALAQIIWST-UHFFFAOYSA-N oxomolybdenum Chemical compound [Mo]=O PQQKPALAQIIWST-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000000197 pyrolysis Methods 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- 239000005049 silicon tetrachloride Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- YRGLXIVYESZPLQ-UHFFFAOYSA-I tantalum pentafluoride Chemical compound F[Ta](F)(F)(F)F YRGLXIVYESZPLQ-UHFFFAOYSA-I 0.000 description 1
- OEIMLTQPLAGXMX-UHFFFAOYSA-I tantalum(v) chloride Chemical compound Cl[Ta](Cl)(Cl)(Cl)Cl OEIMLTQPLAGXMX-UHFFFAOYSA-I 0.000 description 1
- 125000000383 tetramethylene group Chemical group [H]C([H])([*:1])C([H])([H])C([H])([H])C([H])([H])[*:2] 0.000 description 1
- 230000003685 thermal hair damage Effects 0.000 description 1
- LLZRNZOLAXHGLL-UHFFFAOYSA-J titanic acid Chemical compound O[Ti](O)(O)O LLZRNZOLAXHGLL-UHFFFAOYSA-J 0.000 description 1
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 1
- XROWMBWRMNHXMF-UHFFFAOYSA-J titanium tetrafluoride Chemical compound [F-].[F-].[F-].[F-].[Ti+4] XROWMBWRMNHXMF-UHFFFAOYSA-J 0.000 description 1
- 238000000101 transmission high energy electron diffraction Methods 0.000 description 1
- LXEXBJXDGVGRAR-UHFFFAOYSA-N trichloro(trichlorosilyl)silane Chemical compound Cl[Si](Cl)(Cl)[Si](Cl)(Cl)Cl LXEXBJXDGVGRAR-UHFFFAOYSA-N 0.000 description 1
- GIRKRMUMWJFNRI-UHFFFAOYSA-N tris(dimethylamino)silicon Chemical compound CN(C)[Si](N(C)C)N(C)C GIRKRMUMWJFNRI-UHFFFAOYSA-N 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 239000006200 vaporizer Substances 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
- DUNKXUFBGCUVQW-UHFFFAOYSA-J zirconium tetrachloride Chemical group Cl[Zr](Cl)(Cl)Cl DUNKXUFBGCUVQW-UHFFFAOYSA-J 0.000 description 1
- OMQSJNWFFJOIMO-UHFFFAOYSA-J zirconium tetrafluoride Chemical group F[Zr](F)(F)F OMQSJNWFFJOIMO-UHFFFAOYSA-J 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45534—Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/022—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Electromagnetism (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Description
基板に対して所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する工程と、
前記基板に対して酸化ガスを供給する工程と、
前記基板に対して触媒ガスを供給する工程と、
を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する工程と、
前記薄膜を形成する工程における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する工程と、
前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する工程と、
を有する半導体装置の製造方法が提供される。
基板を収容する処理室と、
前記処理室内へ所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する原料ガス供給系と、
前記処理室内へ酸化ガスを供給する酸化ガス供給系と、
前記処理室内へ触媒ガスを供給する触媒ガス供給系と、
前記処理室内の基板を加熱するヒータと、
前記処理室内の基板に対して前記原料ガスを供給する処理と、前記処理室内の前記基板に対して前記酸化ガスを供給する処理と、前記処理室内の前記基板に対して前記触媒ガスを供給する処理と、を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する処理と、前記薄膜を形成する処理における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する処理と、前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する処理と、を行うように、前記原料ガス供給系、前記酸化ガス供給系、前記触媒ガス供給系および前記ヒータを制御する制御部と、
を有する基板処理装置が提供される。
基板上に薄膜を形成する第1基板処理部と、前記薄膜を熱処理する第2基板処理部と、を有する基板処理システムであって、
前記第1基板処理部は、
基板を収容する第1処理室と、
前記第1処理室内へ所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する原料ガス供給系と、
前記第1処理室内へ酸化ガスを供給する酸化ガス供給系と、
前記第1処理室内へ触媒ガスを供給する触媒ガス供給系と、
前記第1処理室内の基板に対して前記原料ガスを供給する処理と、前記第1処理室内の前記基板に対して前記酸化ガスを供給する処理と、前記第1処理室内の前記基板に対して前記触媒ガスを供給する処理と、を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する処理を行うように、前記原料ガス供給系、前記酸化ガス供給系および前記触媒ガス供給系を制御する第1制御部と、を有し、
前記第2基板処理部は、
基板を収容する第2処理室と、
前記第2処理室内の基板を加熱するヒータと、
前記第2処理室内に前記薄膜が形成された前記基板を収容した状態で、前記薄膜を形成する処理における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する処理と、前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する処理と、を行うように、前記ヒータを制御する第2制御部と、を有する
基板処理システムが提供される。
処理室内の基板に対して所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する手順と、
前記処理室内の前記基板に対して酸化ガスを供給する手順と、
前記処理室内の前記基板に対して触媒ガスを供給する手順と、
を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する手順と、
前記薄膜を形成する手順における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する手順と、
前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する手順と、
をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体が提供される。
以下、本発明の第1実施形態について図面を参照しながら説明する。
図1に示すように、処理炉202は加熱手段(加熱機構)としてのヒータ207を有する。ヒータ207は円筒形状であり、保持板としてのヒータベース(図示せず)に支持されることにより垂直に据え付けられている。なお、ヒータ207は、後述するようにガスを熱で活性化(励起)させる活性化機構(励起部)としても機能する。
次に、上述の基板処理装置の処理炉202を用いて、半導体装置(半導体デバイス)の製造工程の一工程として、基板上に薄膜を形成(成膜)するシーケンス例について説明する。以下の説明において、基板処理装置を構成する各部の動作はコントローラ121により制御される。
基板としてのウエハ200に対して所定元素としてのシリコン(Si)、炭素(C)およびハロゲン元素を含み所定元素と炭素との化学結合(Si−C結合)を有する原料ガスを供給する工程と、
ウエハ200に対して酸化ガスを供給する工程と、
ウエハ200に対して触媒ガスを供給する工程と、
を含むサイクルを所定回数行うことにより、ウエハ200上に、シリコン(Si)、酸素(O)および炭素(C)を含む薄膜を形成する工程を行う。
原料ガスを供給する工程を、触媒ガスを供給する工程を実施した状態で行い、
酸化ガスを供給する工程を、触媒ガスを供給する工程を実施した状態で行う。
薄膜を形成する工程におけるウエハ200の温度よりも高い第1の温度で上記薄膜を熱処理することにより、上記薄膜中から第1の不純物を除去する工程と、
第1の温度以上の第2の温度で上記薄膜を熱処理することにより、第1の温度で熱処理した後の上記薄膜中から、第1の不純物とは異なる第2の不純物を除去する工程と、を更に行う。
これらの熱処理は、酸素非含有の雰囲気下で行われる。
ウエハ200に対して原料ガスとしてBTCSMガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い、
ウエハ200に対して酸化ガスとしてH2Oガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い、
これらの工程を含むサイクルを所定回数(n回)行うことにより、ウエハ200上に、Si,OおよびCを含む薄膜としてシリコン酸炭化膜(以下、SiOC膜ともいう)を形成する工程を行い、
ウエハ200に対して酸素非含有ガスとしてN2ガスを供給することにより生成された酸素非含有の雰囲気下にて、SiOC膜を形成する工程におけるウエハ200の温度よりも高い第1の温度でSiOC膜を熱処理することにより、SiOC膜中から第1の不純物を除去し、
ウエハ200に対して酸素非含有ガスとしてN2ガスを供給することにより生成された酸素非含有の雰囲気下にて、第1の温度以上の第2の温度でSiOC膜を熱処理することにより、第1の温度で熱処理した後のSiOC膜中から、第1の不純物とは異なる第2の不純物を除去する例について説明する。なお、このSiOC膜を、Cを含むSiO膜や、Cがドープ(添加)されたSiO膜ということもできる。
複数枚のウエハ200がボート217に装填(ウエハチャージ)されると、図1に示すように、複数枚のウエハ200を支持したボート217は、ボートエレベータ115によって持ち上げられて処理室201内に搬入(ボートロード)される。この状態で、シールキャップ219はOリング220bを介してマニホールド209の下端をシールした状態となる。
処理室201内が所望の圧力(真空度)となるように真空ポンプ246によって真空排気される。この際、処理室201内の圧力は圧力センサ245で測定され、この測定された圧力情報に基づきAPCバルブ244がフィードバック制御される(圧力調整)。真空ポンプ246は、少なくともウエハ200に対する処理が終了するまでの間は常時作動させた状態を維持する。また、処理室201内のウエハ200が所望の温度となるようにヒータ207によって加熱される。この際、処理室201内が所望の温度分布となるように、温度センサ263が検出した温度情報に基づきヒータ207への通電具合がフィードバック制御される(温度調整)。ヒータ207による処理室201内の加熱は、少なくともウエハ200に対する処理が終了するまでの間は継続して行われる。ただし、後述するように、室温でウエハ200に対する処理を行う場合は、ヒータ207による処理室201内の加熱は行わなくてもよい。続いて、回転機構267によるボート217及びウエハ200の回転を開始する。回転機構267によるボート217及びウエハ200の回転は、少なくともウエハ200に対する処理が終了するまでの間は継続して行われる。
その後、次の2つのステップ、すなわち、ステップ1a,2aを順次実行する。
(BTCSMガス+ピリジンガス供給)
バルブ243aを開き、ガス供給管232a内にBTCSMガスを流す。BTCSMガスは、MFC241aにより流量調整され、ガス供給孔250aから処理室201内に供給され、排気管231から排気される。このとき、ウエハ200に対してBTCSMガスが供給されることとなる(BTCSMガス供給)。このとき同時にバルブ243jを開き、ガス供給管232j内にN2ガス等の不活性ガスを流す。N2ガスは、MFC241jにより流量調整され、BTCSMガスと一緒に処理室201内に供給され、排気管231から排気される。
第1の層としてのCおよびClを含むSi含有層がウエハ200上に形成された後、バルブ243aを閉じ、BTCSMガスの供給を停止する。また、バルブ243cを閉じ、ピリジンガスの供給を停止する。このとき、排気管231のAPCバルブ244は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内に残留する未反応もしくは第1の層の形成に寄与した後のBTCSMガス及びピリジンガスを処理室201内から排除する(残留ガス除去)。また、バルブ243j〜243lは開いたままとして、不活性ガスとしてのN2ガスの処理室201内への供給を維持する。N2ガスはパージガスとして作用し、これにより、処理室201内に残留する未反応もしくは第1の層の形成に寄与した後のBTCSMガス及びピリジンガスを処理室201内から排除する効果を高めることができる。
(H2Oガス+ピリジンガス供給)
ステップ1aが終了し処理室201内の残留ガスを除去した後、バルブ243bを開き、ガス供給管232bにH2Oガスを流す。H2Oガスは、MFC241bにより流量調整され、ガス供給孔250bからバッファ室237内に供給されてガス供給孔250dから処理室201内に供給され、排気管231から排気される。このとき、ノンプラズマの雰囲気下で、ウエハ200に対してH2Oガスが供給されることとなる(H2Oガス供給)。このとき同時にバルブ243kを開き、ガス供給管232k内に不活性ガスとしてのN2ガスを流す。N2ガスは、MFC241kにより流量調整され、H2Oガスと一緒に処理室201内に供給され、排気管231から排気される。
その後、バルブ243bを閉じ、H2Oガスの供給を停止する。また、バルブ243cを閉じ、ピリジンガスの供給を停止する。このとき、排気管231のAPCバルブ244は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内に残留する未反応もしくは反応に寄与した後のH2Oガスやピリジンガスや反応副生成物を処理室201内から排除する(残留ガス除去)。また、バルブ243j〜243lは開いたままとして、不活性ガスとしてのN2ガスの処理室201内への供給を維持する。N2ガスはパージガスとして作用し、これにより、処理室201内に残留する未反応もしくは第2の層の形成に寄与した後のH2Oガスやピリジンガスや反応副生成物を処理室201内から排除する効果を高めることができる。
上述したステップ1a,2aを1サイクルとして、このサイクルを1回以上、つまり、所定回数(n回)行うことにより、ウエハ200上に、所定組成及び所定膜厚のSiOC膜を成膜することができる。上述のサイクルは、複数回繰り返すのが好ましい。すなわち、1サイクルあたりに形成するSiOC層の厚さを所望の膜厚よりも小さくして、上述のサイクルを所望の膜厚になるまで複数回繰り返すのが好ましい。
以上のように形成されたSiOC膜は、例えば150℃以下の低温条件下で形成された膜であり、上述のように、例えば水分やCl等の不純物や、CxHy系の不純物が混入されていることがある。SiOC膜にこれらの不純物が混入していると、SiOC膜のエッチング耐性が低下し、また、誘電率が高まってしまうことがあり、膜中にCを添加した効果が損なわれてしまうことがある。
SiOC膜を形成する工程におけるウエハ200の温度よりも高い第1の温度でSiOC膜を熱処理することにより、SiOC膜中から第1の不純物(水分やCl等の不純物)を除去する工程(第1の熱処理工程)と、
第1の温度以上の第2の温度でSiOC膜を熱処理することにより、第1の温度で熱処理した後のSiOC膜中から、第1の不純物とは異なる第2の不純物(CxHy系の不純物)を除去する工程(第2の熱処理工程)と、
を行い、SiOC膜中における複数種類の不純物を少なくとも2段階で除去する改質処理を行う。すなわち、SiOC膜を改質する処理、いわゆる、アニール処理を2段階で行う。以下、このSiOC膜改質工程のシーケンス例について説明する。
処理室201内が所望の圧力(真空度)となるよう、APCバルブ244をフィードバック制御しながら、真空ポンプ246によって処理室201内を真空排気する(圧力調整)。また、処理室201内のウエハ200が所望の温度、すなわち、第1の温度となるようにヒータ207によって加熱される。この際、処理室201内が所望の温度分布となるように、温度センサ263が検出した温度情報に基づきヒータ207への通電具合がフィードバック制御される(温度調整)。この工程においても、回転機構267によるボート217及びウエハ200の回転を継続しておく。
処理室201内が所望の圧力を有するN2ガス雰囲気となり、また、ウエハ200の温度が所望の温度、すなわち、第1の温度となったら、かかる状態を所定時間保持し、ウエハ200上に形成されたSiOC膜に対して第1の熱処理を行う。
第1の熱処理終了後、すなわち、SiOC膜中から水分やCl等の不純物を充分に脱離させて除去させた後、ウエハ200の温度を第1の温度から第2の温度へ変更する。第2の温度は第1の温度以上の温度とする。すなわち、第2の温度は第1の温度よりも高い温度とするか第1の温度と同等な温度とする。処理室201内の雰囲気は、第1の熱処理工程と同様の所望の圧力を有するN2ガス雰囲気に維持する。
SiOC膜中の水分やCl等の不純物やCxHy系の不純物がSiOC膜中から除去され、SiOC膜を改質する処理がなされたら、バルブ243j〜243lを開いたままとして、不活性ガス供給管232j〜232lのそれぞれから不活性ガスとしてのN2ガスを処理室201内に供給し、排気管231から排気する。N2ガスはパージガスとして作用し、これにより、処理室201内が不活性ガスでパージされ、処理室201内に残留するガスやSiOC膜から脱離した不純物等の物質を含むガス等が処理室201内から除去される(パージ)。その後、処理室201内の雰囲気が不活性ガスに置換され(不活性ガス置換)、処理室201内の圧力が常圧に復帰される(大気圧復帰)。
その後、ボートエレベータ115によりシールキャップ219が下降されて、マニホールド209の下端が開口されるとともに、処理済のウエハ200がボート217に支持された状態でマニホールド209の下端から反応管203の外部に搬出(ボートアンロード)される。その後、処理済のウエハ200はボート217より取出される(ウエハディスチャージ)。
本実施形態によれば、以下に示す1つまたは複数の効果を奏する。
depo)状態のSiOC膜よりも、SiOC膜のエッチング耐性を向上させ、また、SiOC膜の誘電率を低下させることができる。つまり、SiOC膜の膜質を向上させることができる。
次に、本実施形態の変形例について、図5(b)および図7を用いて説明する。
上述の触媒ガスの供給を実施した状態で原料ガスを供給する工程では、供給する原料ガスの種類を選択することにより、SiOC層中の、ひいては、SiOC層が積層されてなるSiOC膜中のC濃度を制御することができる。
上述の実施形態では、SiOC膜形成工程とSiOC膜改質工程とを、処理にかかるウエハ200を同一の処理室201内に収容した状態で行っていた。本変形例においては、SiOC膜形成工程とSiOC膜改質工程とを、処理にかかるウエハ200をそれぞれ異なる処理室内に収容して行う。
次に、本発明の第2実施形態について説明する。
上述の実施形態では、触媒ガスの供給を実施した状態で酸化ガスを供給する工程において、酸化ガスとして酸素(O)を含むガス(酸素含有ガス)を任意に選択して供給していた。また、触媒ガスとして、孤立電子対を有するNを含み、pKaが5〜11程度のガスを任意に選択して供給していた。本実施形態においては、酸化ガスとしてO3ガスを用い、触媒ガスとして例えばTEAガス等のアミン系触媒ガスを用いる。本実施形態においても、上述の実施形態と同様、図1、図2に示す基板処理装置を用いる。以下の説明において、基板処理装置を構成する各部の動作はコントローラ121により制御される。
基板としてのウエハ200に対して所定元素としてのシリコン(Si)、炭素(C)およびハロゲン元素を含み所定元素と炭素との化学結合(Si−C結合)を有する原料ガスを供給する工程と、
ウエハ200に対して酸化ガスを供給する工程と、
ウエハ200に対して触媒ガスを供給する工程と、
を含むサイクルを所定回数行うことにより、ウエハ200上に、シリコン(Si)、酸素(O)および炭素(C)を含む薄膜を形成する工程を行う。
原料ガスを供給する工程を、触媒ガスを供給する工程を不実施とした状態で行い、
酸化ガスを供給する工程を、触媒ガスを供給する工程を実施した状態で行う。
薄膜を形成する工程におけるウエハ200の温度よりも高い第1の温度で上記薄膜を熱処理することにより、上記薄膜中から第1の不純物を除去する工程と、
第1の温度以上の第2の温度で上記薄膜を熱処理することにより、第1の温度で熱処理した後の上記薄膜中から、第1の不純物とは異なる第2の不純物を除去する工程と、を更に行う。
これらの熱処理は、酸素非含有の雰囲気下にて行う。
ウエハ200に対して原料ガスとしてBTCSMガスを供給する工程と、
ウエハ200に対して酸化ガスとしてO3ガスを供給する工程と、
ウエハ200に対してアミン系触媒ガスとしてTEAガスを供給する工程と、
を含むサイクルを所定回数(n回)行うことにより、ウエハ200上に、Si,OおよびCを含む薄膜としてシリコン酸炭化膜(SiOC膜)を形成する工程を行い、
ウエハ200に対して酸素非含有ガスとしてN2ガスを供給することにより生成された酸素非含有の雰囲気下にて、SiOC膜を形成する工程におけるウエハ200の温度よりも高い第1の温度でSiOC膜を熱処理することにより、SiOC膜中から第1の不純物を除去し、
ウエハ200に対して酸素非含有ガスとしてN2ガスを供給することにより生成された酸素非含有の雰囲気下にて、第1の温度以上の第2の温度でSiOC膜を熱処理することにより、第1の温度で熱処理した後のSiOC膜中から、第1の不純物とは異なる第2の不純物を除去する例について説明する。
BTCSMガスを供給する工程では、ウエハ200に対するBTCSMガスの供給を、TEAガス等の触媒ガスのウエハ200に対する供給を停止した状態で行い、
O3ガスを供給する工程では、ウエハ200に対するO3ガスの供給を、ウエハ200に対するアミン系触媒ガスとしてのTEAガスの供給を実施した状態で行う。
ウエハチャージ、ボートロード、圧力調整および温度調整後、次の2つのステップ1c,2cを順次実行する。
(BTCSMガス供給)
上述の実施形態と同様の手順にて、ウエハ200に対してBTCSMガスを供給する。このとき、例えばバルブ243iを閉じた状態とし、ウエハ200に対するBTCSMガスの供給を、TEAガスの供給を停止した状態で行う。更には、バルブ243c,243iを閉じた状態とし、ウエハ200に対するBTCSMガスの供給を、TEAガスをはじめとするアミン系触媒ガスの供給を停止した状態、つまり、アミン系触媒ガスを非供給とした状態で行う。すなわち、ウエハ200に対するBTCSMガスの供給を行うときは、アミン系触媒ガスをはじめとする触媒ガスの供給を行わないこととする。
その後、上述の実施形態と同様の手順にて、BTCSMガスの供給を停止し、処理室201内からの残留ガスの除去を行う。
(O3ガス+TEAガス供給)
ステップ1cが終了し処理室201内の残留ガスを除去した後、バルブ243gを開き、ガス供給管232g内にO3ガスを流す。O3ガスはMFC241gにより流量調整され、ガス供給孔250bからバッファ室237内に供給されてガス供給孔250dから処理室201内に供給され、排気管231から排気される。このとき、ノンプラズマの雰囲気下で、ウエハ200に対してO3ガスが供給されることとなる(O3ガス供給)。このとき同時にバルブ243kを開き、ガス供給管232k内に不活性ガスとしてのN2ガスを流す。N2ガスは、MFC241kにより流量調整され、O3ガスと一緒に処理室201内に供給され、排気管231から排気される。
その後、バルブ243gを閉じ、O3ガスの供給を停止する。また、バルブ243iを閉じ、TEAガスの供給を停止する。そして、上述の実施形態と同様の手順にて、処理室201内からの残留ガスの除去を行う。
上述したステップ1c,2cを1サイクルとして、このサイクルを1回以上、つまり、所定回数(n回)行うことにより、ウエハ200上に、所定組成及び所定膜厚のSiOC膜を成膜することができる。上述のサイクルは、複数回繰り返すのが好ましい。すなわち、1サイクルあたりに形成するSiOC層の厚さを所望の膜厚よりも小さくして、上述のサイクルを所望の膜厚になるまで複数回繰り返すのが好ましい。
本実施形態においても、低温条件下で形成されたSiOC膜中には、水分やCl等の不純物や、CxHy系の不純物が多く含まれる場合がある。よって、上述の実施形態と同様の手順および処理条件にて、圧力調整、温度調整、第1の熱処理、第2の熱処理、パージ及び大気圧復帰を行って、SiOC膜中の不純物を除去し、SiOC膜を改質する。これにより、SiOC膜改質工程を行う前のSiOC膜よりも、高エッチング耐性で、低誘電率のSiOC膜が得られる。
本実施形態によれば、上述の実施形態と同様の効果を奏する他、以下に示す1つまたは複数の効果を奏する。
次に、本実施形態の変形例について、図9(b)、図9(c)を用いて説明する。
ウエハ200に対して所定元素としてのシリコン(Si)とハロゲン元素とを含む原料ガスとしてHCDSガスを供給する工程と、
ウエハ200に対してO3ガスを供給する工程と、
ウエハ200に対してTEAガスを供給する工程と、
を含むサイクルを所定回数行うことにより、ウエハ200上に薄膜としてのSiO膜を形成する工程を行い、
ウエハ200に対して酸素非含有ガスとしてN2ガスを供給することにより生成された酸素非含有の雰囲気下にて、SiO膜を形成する工程におけるウエハ200の温度よりも高い第1の温度でSiO膜を熱処理することにより、SiO膜中から第1の不純物を除去し、
ウエハ200に対して酸素非含有ガスとしてN2ガスを供給することにより生成された酸素非含有の雰囲気下にて、第1の温度以上の第2の温度でSiO膜を熱処理することにより、第1の温度で熱処理した後のSiO膜中から、第1の不純物とは異なる第2の不純物を除去する。
HCDSガスを供給する工程では、ウエハ200に対するHCDSガスの供給を、ウエハ200に対するTEAガス等の触媒ガスの供給を停止した状態で行い、
O3ガスを供給する工程では、ウエハ200に対するO3ガスの供給を、ウエハ200に対するTEAガスの供給を実施した状態で行う。
次に、本発明の第3実施形態について説明する。
上述の実施形態では、ステップ1a,2a又はステップ1c,2cを含むサイクルを所定回数行ってSiOC膜を形成する例について説明した。本実施形態では、上述のSiOC膜形成工程に加え、ウエハ200に対して触媒ガスの供給を実施した状態で上述とは異なるクロロシラン系原料ガスを供給するステップと、ウエハ200に対して触媒ガスの供給を実施した状態で酸化ガスを供給するステップと、を所定回数行う。これにより、SiOC膜と、SiOC膜とは異なる膜種のSiO膜との積層膜を形成する。
ウエハ200に対して所定元素としてのシリコン(Si)およびハロゲン元素を含む原料ガスとしてHCDSガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い(ステップ1d)、ウエハ200に対して酸化ガスとしてH2Oガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い(ステップ2d)、これらの工程を含むセットを所定回数行うことにより、SiおよびOを含む第1の薄膜としてのSiO膜を形成する工程と、
ウエハ200に対して所定元素としてのシリコン(Si)、炭素(C)およびハロゲン元素を含み所定元素と炭素との化学結合(Si−C結合)を有する原料ガスとしてBTCSMガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い(ステップ1e)、ウエハ200に対して酸化ガスとしてH2Oガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い(ステップ2e)、これらの工程を含むセットを所定回数行うことにより、Si,OおよびCを含む第2の薄膜としてのSiOC膜を形成する工程と、
を含むサイクルを所定回数行うことにより、ウエハ200上に、SiO膜とSiOC膜との積層膜を形成する工程を行い、
ウエハ200に対して酸素非含有ガスとしてN2ガスを供給することにより生成された酸素非含有の雰囲気下にて、積層膜を形成する工程におけるウエハ200の温度よりも高い第1の温度で積層膜を熱処理することにより、積層膜中から第1の不純物を除去し、
ウエハ200に対して酸素非含有ガスとしてN2ガスを供給することにより生成された酸素非含有の雰囲気下にて、第1の温度以上の第2の温度で積層膜を熱処理することにより、第1の温度で熱処理した後の積層膜中から、第1の不純物とは異なる第2の不純物を除去する例について説明する。
ウエハチャージ、ボートロード、圧力調整および温度調整後、次の2つのステップ1d,2dを順次実行する。
(HCDSガス+ピリジンガス供給)
上述の図9(b)の変形例と同様の手順にて、ウエハ200に対してHCDSガスを供給する(HCDSガス供給)。また、上述の実施形態と同様の手順にて、ウエハ200に対してピリジンガスを供給する(ピリジンガス供給)。
第1の層としてのClを含むSi含有層がウエハ200上に形成された後、上述の実施形態と同様の手順にて、HCDSガスとピリジンガスとの供給を停止し、処理室201内からの残留ガスの除去を行う。
(H2Oガス+ピリジンガス供給)
ステップ1dが終了し処理室201内の残留ガスを除去した後、上述の実施形態と同様の供給手順にて、ウエハ200に対してH2Oガスとピリジンガスとを供給する。ウエハ200に対して供給されたH2Oガスは熱で活性化され、ピリジンガスによりその分解が促進されて、ステップ1dでウエハ200上に形成された第1の層(Clを含むSi含有層)の少なくとも一部と反応する。これにより第1の層は、ノンプラズマで熱的に酸化されて、SiおよびOを含む第2の層、すなわち、シリコン酸化層(SiO層)へと変化させられる。
その後、上述の実施形態と同様の手順にて、H2Oガスとピリジンガスとの供給を停止し、処理室201内からの残留ガスの除去を行う。
上述したステップ1d,2dを1セットとして、このセットを1回以上(所定回数)行うことにより、ウエハ200上に、所定組成及び所定膜厚のSiO膜を成膜することができる。なお、上述のサイクルは、複数回繰り返すのが好ましい。すなわち、1セットあたりに形成するSiO層の厚さを所望の膜厚よりも小さくして、上述のセットを所望の膜厚になるまで複数回繰り返すのが好ましい。
SiOC膜形成工程では、上述の実施形態のステップ1a,2aと同様の手順および処理条件で、ステップ1e,2eを順次実行する。かかるステップ1e,2eを1セットとして、このセットを1回以上(所定回数)行うことにより、ウエハ200上に、所定組成及び所定膜厚のSiOC膜を成膜することができる。
上述したステップ1d,2dを1セットとして、このセットを所定回数行うSiO膜形成工程と、ステップ1e,2eを1セットとして、このセットを所定回数行うSiOC膜形成工程と、を1サイクルとして、このサイクルを1回以上(所定回数)行うことにより、ウエハ200上に、SiO膜とSiOC膜との積層膜が形成される。このとき、SiO膜形成工程とSiOC膜形成工程とは、どちらから開始してもよい。
本実施形態においても、低温条件下で形成されたSiO膜とSiOC膜との積層膜中には、水分やCl等の不純物や、CxHy系の不純物が多く含まれる場合がある。よって、上述の実施形態と同様の手順および処理条件にて、圧力調整、温度調整、第1の熱処理、第2の熱処理、パージ及び大気圧復帰を行って、積層膜中の不純物を除去し、積層膜を改質する。これにより、積層膜改質工程を行う前の積層膜よりも、高エッチング耐性で、低誘電率の積層膜が得られることとなる。
本実施形態では、触媒ガスと共にHCDSガスおよびH2Oガスを用いてSiO膜を成膜し、そのSiO膜とSiOC膜との積層膜を形成する例について説明した。本実施形態の変形例では、上記とは異なる原料ガス及びプラズマ状態に励起した酸化ガスを用いることで、触媒ガスを用いることなくSiO膜を成膜し、そのSiO膜とSiOC膜との積層膜を形成する例について、図12、図13を用いて説明する。
ウエハ200に対して所定元素としてのシリコン(Si)、炭素(C)および窒素(N)を含み所定元素と窒素との化学結合(Si−N結合)を有する原料ガスとしてBDEASガスを供給する工程と(ステップ1f)、ウエハ200に対してプラズマ状態に励起した酸化ガスとしてプラズマ状態に励起したO2ガスを供給する工程と(ステップ2f)、を含むセットを所定回数行うことにより、SiおよびOを含む第1の薄膜としてのSiO膜を形成する工程と、
ウエハ200に対して所定元素としてのシリコン(Si)、炭素(C)およびハロゲン元素を含み所定元素と炭素との化学結合(Si−C結合)を有する原料ガスとしてBTCSMガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い(ステップ1g)、ウエハ200に対して酸化ガスとしてH2Oガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い(ステップ2g)、これらの工程を含むセットを所定回数行うことにより、Si,OおよびCを含む第2の薄膜としてのSiOC膜を形成する工程と、
を含むサイクルを所定回数行うことにより、ウエハ200上に、SiO膜とSiOC膜との積層膜を形成する工程を行い、
ウエハ200に対して酸素非含有ガスとしてN2ガスを供給することにより生成された酸素非含有の雰囲気下にて、積層膜を形成する工程におけるウエハ200の温度よりも高い第1の温度で積層膜を熱処理することにより、積層膜中から第1の不純物を除去し、
ウエハ200に対して酸素非含有ガスとしてN2ガスを供給することにより生成された酸素非含有の雰囲気下にて、第1の温度以上の第2の温度で積層膜を熱処理することにより、第1の温度で熱処理した後の積層膜中から、第1の不純物とは異なる第2の不純物を除去する例について説明する。
ウエハチャージ、ボートロード、圧力調整および温度調整後、次の2つのステップ1f,2fを順次実行する。
(BDEASガス供給)
バルブ243fを開き、ガス供給管232f内にBDEASガスを流す。BDEASガスは、MFC241fにより流量調整され、ガス供給孔250aから処理室201内に供給され、排気管231から排気される。このとき、ウエハ200に対してBDEASガスが供給されることとなる(BDEASガス供給)。このとき同時にバルブ243jを開き、ガス供給管232j内にN2ガス等の不活性ガスを流す。N2ガスは、MFC241jにより流量調整され、BDEASガスと一緒に処理室201内に供給され、排気管231から排気される。
第1の層としてのNおよびCを含むSi含有層がウエハ200上に形成された後、バルブ243fを閉じ、BDEASガスの供給を停止する。また、上述の実施形態と同様の手順にて、処理室201内からの残留ガスの除去を行う。
(O2ガス供給)
ステップ1dが終了し処理室201内の残留ガスを除去した後、バルブ243hを開き、ガス供給管232h内にO2ガスを流す。O2ガスは、MFC241hにより流量調整され、ガス供給孔250bからバッファ室237内に供給される。このとき、第1の棒状電極269及び第2の棒状電極270間に高周波電源273から整合器272を介して高周波(RF)電力を印加することで、バッファ室237内に供給されたO2ガスはプラズマ励起され、活性種としてガス供給孔250dから処理室201内に供給され、排気管231から排気される。このときウエハ200に対して、プラズマ状態に励起されたO2ガスが供給されることとなる(O2ガス供給)。このとき同時にバルブ243kを開き、ガス供給管232k内に不活性ガスとしてのN2ガスを流す。N2ガスは、MFC241kにより流量調整され、O2ガスと一緒に処理室201内に供給され、排気管231から排気される。
第2の層としてのSiO層がウエハ200上に形成された後、バルブ243hを閉じ、O2ガスの供給を停止する。また、上述の実施形態と同様の手順にて、処理室201内からの残留ガスの除去を行う。
上述したステップ1f,2fを1セットとして、このセットを1回以上(所定回数)行うことにより、ウエハ200上に、所定組成及び所定膜厚のSiO膜を成膜することができる。なお、上述のサイクルは、複数回繰り返すのが好ましい。すなわち、1セットあたりに形成するSiO層の厚さを所望の膜厚よりも小さくして、上述のセットを所望の膜厚になるまで複数回繰り返すのが好ましい。
SiOC膜形成工程、および各工程についての所定回数の実施は、上述の実施形態と同様の手順および処理条件で行うことができる。すなわち、ステップ1f,2fを1セットとして、このセットを所定回数行うSiO膜形成工程と、上述の実施形態のステップ1a,2aと同様のステップ1g,2gを1セットとして、このセットを所定回数行うSiOC膜形成工程と、を1サイクルとして、このサイクルを1回以上(所定回数)行うことにより、ウエハ200上に、SiO膜とSiOC膜との積層膜が形成される。
本変形例においても、低温条件下で形成されたSiO膜とSiOC膜との積層膜中には、水分やCl等の不純物や、CxHy系の不純物が多く含まれる場合がある。よって、上述の実施形態と同様の手順および処理条件にて、圧力調整、温度調整、第1の熱処理、第2の熱処理、パージ及び大気圧復帰を行って、積層膜中の不純物を除去し、積層膜を改質する。これにより、積層膜改質工程を行う前の積層膜よりも、高エッチング耐性で、低誘電率の積層膜が得られることとなる。
以上、本発明の実施の形態を具体的に説明したが、本発明は上述の実施形態や変形例に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
本発明の実施例として、上述の実施形態における基板処理装置を用い、上述の実施形態における図7の成膜シーケンスにて、ウエハ上にSiOC膜を形成し、SiOC膜の改質処理を行って、それぞれのSiOC膜の各種特性を評価した。SiOC膜の改質処理としては、第1の熱処理を行わず、第2の熱処理のみ行った。このとき、原料ガスとしてはBTCSMガスを用い、酸化ガスとしてはH2Oガスを用い、触媒ガスとしてはピリジンガスを用い、SiOC膜改質処理時の熱処理ガスとしてはN2ガスを用いた。処理条件は上述の実施形態と同様な処理条件とした。
本発明の実施例として、上述の実施形態における基板処理装置を用い、上述の実施形態における図7の成膜シーケンスにて、ウエハ上にSiOC膜を形成し、SiOC膜の改質処理を行った。SiOC膜の改質処理として、第1の熱処理および第2の熱処理の両方を行ったサンプル(サンプル1)と、第1の熱処理を行わず、第2の熱処理のみ行ったサンプル(サンプル2)とを準備した。そして、各サンプルのSiOC膜の各種特性を評価した。原料ガスとしてはBTCSMガスを用い、酸化ガスとしてはH2Oガスを用い、触媒ガスとしてはピリジンガスを用い、SiOC膜改質処理時の熱処理ガスとしてはN2ガスを用いた。サンプル1のSiOC膜を形成する際の第1の熱処理におけるウエハの温度(第1の温度)を450℃とし、第2の熱処理におけるウエハの温度(第2の温度)を600℃とした。サンプル2のSiOC膜を形成する際の第2の熱処理におけるウエハの温度(第2の温度)を600℃とした。それ以外の処理条件は上述の実施形態と同様な処理条件とした。
以下、本発明の好ましい態様について付記する。
本発明の一態様によれば、
基板に対して所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する工程と、
前記基板に対して酸化ガスを供給する工程と、
前記基板に対して触媒ガスを供給する工程と、
を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する工程と、
前記薄膜を形成する工程における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する工程と、
前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する工程と、
を有する半導体装置の製造方法が提供される。
付記1に記載の半導体装置の製造方法であって、
前記第1の不純物は水分(H2O)および塩素(Cl)を含み、前記第2の不純物は炭化水素化合物(CxHy系の不純物)を含む。
付記1または2に記載の半導体装置の製造方法であって、
前記第1の温度は、前記薄膜中から前記第1の不純物を除去する際に、前記第1の不純物により前記薄膜が酸化されない温度である。
付記1乃至3のいずれかに記載の半導体装置の製造方法であって、
前記第1の温度は、前記薄膜中から前記第1の不純物を除去する際に、前記第1の不純物と、前記薄膜中に含まれる前記第1の不純物とは異なる不純物とが、反応しない温度である。
付記1乃至4のいずれかに記載の半導体装置の製造方法であって、
前記第1の温度は、前記薄膜中から前記第1の不純物を除去する際に、前記第1の不純物と、前記薄膜中に含まれる前記第2の不純物とが、反応しない温度である。
付記1乃至5のいずれかに記載の半導体装置の製造方法であって、
前記第1の温度は、300℃以上450℃以下の範囲内の温度である。
付記1乃至6のいずれかに記載の半導体装置の製造方法であって、
前記第1の温度は、300℃以上400℃以下の範囲内の温度である。
付記1乃至7のいずれかに記載の半導体装置の製造方法であって、
前記第1の温度は、300℃以上350℃以下の範囲内の温度である。
付記1乃至8のいずれかに記載の半導体装置の製造方法であって、
前記第2の温度は、300℃以上900℃以下の範囲内の温度である。
付記1乃至9のいずれかに記載の半導体装置の製造方法であって、
前記第2の温度は、350℃以上700℃以下の範囲内の温度である。
付記1乃至10のいずれかに記載の半導体装置の製造方法であって、
前記第2の温度は、400℃以上700℃以下の範囲内の温度である。
付記1乃至11のいずれかに記載の半導体装置の製造方法であって、
前記第2の温度は、450℃以上600℃以下の範囲内の温度である。
付記1乃至12のいずれかに記載の半導体装置の製造方法であって、
前記第2の温度は、前記第1の温度よりも高い温度である。
付記1乃至13のいずれかに記載の半導体装置の製造方法であって、
前記第2の温度は、前記第1の温度と同等の温度(同一の温度)である。
付記1乃至14のいずれかに記載の半導体装置の製造方法であって、
前記薄膜を形成する工程では、前記基板の温度を室温以上150℃以下の温度とする。
付記1乃至15のいずれかに記載の半導体装置の製造方法であって、
前記薄膜を形成する工程では、前記基板の温度を室温以上100℃以下の温度とする。
付記1乃至16のいずれかに記載の半導体装置の製造方法であって、
前記薄膜を形成する工程では、前記基板の温度を50℃以上100℃以下の温度とする。
付記1乃至17のいずれかに記載の半導体装置の製造方法であって、
前記所定元素はシリコン(Si)を含み、前記原料ガスは、Si−C結合、Si−C−Si結合およびSi−C−C−Si結合からなる群より選択される少なくとも1つを有する。
付記1乃至18のいずれかに記載の半導体装置の製造方法であって、
前記原料ガスは、ビス(トリクロロシリル)メタン((SiCl3)2CH2)ガス、1,2−ビス(トリクロロシリル)エタン((SiCl3)2C2H4)ガス、1,1,2,2−テトラクロロ−1,2−ジメチルジシラン((CH3)2Si2Cl4)ガス、および1,2−ジクロロ−1,1,2,2−テトラメチルジシラン((CH3)4Si2Cl2)ガスからなる群より選択される少なくとも1つを含む。
付記1乃至19のいずれかに記載の半導体装置の製造方法であって、
前記触媒ガスは、アミン系触媒ガスを含む。
付記1乃至20のいずれかに記載の半導体装置の製造方法であって、
前記触媒ガスは、トリエチルアミン((C2H5)3N)ガス、ジエチルアミン((C2H5)2NH)ガス、モノエチルアミン(C2H5NH2)ガス、トリメチルアミン((CH3)3N)ガス、モノメチルアミン((CH3)NH2)ガス、ピリジン(C5H5N)ガス、アミノピリジン(C5H6N2)ガス、ピコリン(C6H7N)ガス、ルチジン(C7H9N)ガス、ピペラジン(C4H10N2)ガス、およびピペリジン(C5H11N)ガスからなる群より選択される少なくとも1つを含む。
付記1乃至21のいずれかに記載の半導体装置の製造方法であって、
前記触媒ガスは、ピリジン(C5H5N)ガスを含む。
付記1乃至22のいずれかに記載の半導体装置の製造方法であって、
前記酸化ガスは、水蒸気(H2Oガス)、オゾン(O3)ガス、過酸化水素(H2O2)ガス、水素(H2)ガスおよび酸素(O2)ガス、水素(H2)ガスおよびオゾン(O3)ガスからなる群より選択される少なくとも1つを含む。
付記1乃至23のいずれかに記載の半導体装置の製造方法であって、
前記酸化ガスは、水蒸気(H2Oガス)を含む。
付記1乃至24のいずれかに記載の半導体装置の製造方法であって、
前記第1の不純物を除去する工程および前記第2の不純物を除去する工程では、前記基板に対して酸素非含有ガスを供給することにより、酸素非含有の雰囲気下で、前記熱処理を行う。
付記1乃至25のいずれかに記載の半導体装置の製造方法であって、
前記第1の不純物を除去する工程および前記第2の不純物を除去する工程では、前記基板に対して不活性ガスを供給することにより、不活性ガス雰囲気下で、前記熱処理を行う。
付記1乃至26のいずれかに記載の半導体装置の製造方法であって、
前記薄膜を形成する工程および前記薄膜を熱処理する工程は、同一の処理室内で行われる。
付記1乃至27のいずれかに記載の半導体装置の製造方法であって、
前記薄膜を形成する工程および前記薄膜を熱処理する工程は、それぞれ異なる処理室内で行われる。
本発明の他の態様によれば、
基板に対して所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する工程と、
前記基板に対して酸化ガスを供給する工程と、
前記基板に対して触媒ガスを供給する工程と、
を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する工程と、
前記薄膜を形成する工程における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する工程と、
前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する工程と、
を有する基板処理方法が提供される。
本発明のさらに他の態様によれば、
基板を収容する処理室と、
前記処理室内へ所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する原料ガス供給系と、
前記処理室内へ酸化ガスを供給する酸化ガス供給系と、
前記処理室内へ触媒ガスを供給する触媒ガス供給系と、
前記処理室内の基板を加熱するヒータと、
前記処理室内の基板に対して前記原料ガスを供給する処理と、前記処理室内の前記基板に対して前記酸化ガスを供給する処理と、前記処理室内の前記基板に対して前記触媒ガスを供給する処理と、を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する処理と、前記薄膜を形成する処理における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する処理と、前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する処理と、を行うように、前記原料ガス供給系、前記酸化ガス供給系、前記触媒ガス供給系および前記ヒータを制御する制御部と、
を有する基板処理装置が提供される。
本発明のさらに他の態様によれば、
基板上に薄膜を形成する第1基板処理部と、前記薄膜を熱処理する第2基板処理部と、を有する基板処理システムであって、
前記第1基板処理部は、
基板を収容する第1処理室と、
前記第1処理室内へ所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する原料ガス供給系と、
前記第1処理室内へ酸化ガスを供給する酸化ガス供給系と、
前記第1処理室内へ触媒ガスを供給する触媒ガス供給系と、
前記第1処理室内の基板に対して前記原料ガスを供給する処理と、前記第1処理室内の前記基板に対して前記酸化ガスを供給する処理と、前記第1処理室内の前記基板に対して前記触媒ガスを供給する処理と、を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する処理を行うように、前記原料ガス供給系、前記酸化ガス供給系および前記触媒ガス供給系を制御する第1制御部と、を有し、
前記第2基板処理部は、
基板を収容する第2処理室と、
前記第2処理室内の基板を加熱するヒータと、
前記第2処理室内に前記薄膜が形成された前記基板を収容した状態で、前記薄膜を形成する処理における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する処理と、前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する処理と、を行うように、前記ヒータを制御する第2制御部と、を有する
基板処理システムが提供される。
本発明のさらに他の態様によれば、
処理室内の基板に対して所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する手順と、
前記処理室内の前記基板に対して酸化ガスを供給する手順と、
前記処理室内の前記基板に対して触媒ガスを供給する手順と、
を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する手順と、
前記薄膜を形成する手順における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する手順と、
前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する手順と、
をコンピュータに実行させるプログラム、および、該プログラムを記録したコンピュータ読み取り可能な記録媒体が提供される。
200 ウエハ(基板)
201 処理室
202 処理炉
203 反応管
207 ヒータ
209 マニホールド
231 排気管
232a 第1原料ガス供給管
232b 第1酸化ガス供給管
232c 第1触媒ガス供給管
244 APCバルブ(圧力調整部)
Claims (16)
- 基板に対して所定元素、炭素および塩素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する工程と、
前記基板に対して酸化ガスを供給する工程と、
前記基板に対して触媒ガスを供給する工程と、
を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する工程と、
前記薄膜を形成する工程における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から、水分および塩素を含む第1の不純物を除去する工程と、
前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、炭化水素化合物を含み前記第1の不純物とは異なる第2の不純物を除去する工程と、
を有する半導体装置の製造方法。 - 前記第1の温度は、前記薄膜中から前記第1の不純物を除去する際に、前記第1の不純物により前記薄膜が酸化されない温度である請求項1に記載の半導体装置の製造方法。
- 前記第1の温度は、前記薄膜中から前記第1の不純物を除去する際に、前記第1の不純物と、前記薄膜中に含まれる前記第1の不純物とは異なる不純物とが、反応しない温度である請求項2に記載の半導体装置の製造方法。
- 前記第1の温度は、前記薄膜中から前記第1の不純物を除去する際に、前記第1の不純物と、前記薄膜中に含まれる前記第2の不純物とが、反応しない温度である請求項2に記載の半導体装置の製造方法。
- 前記第1の温度は、300℃以上450℃以下の範囲内の温度である請求項2に記載の半導体装置の製造方法。
- 前記第2の温度は、300℃以上900℃以下の範囲内の温度である請求項5に記載の半導体装置の製造方法。
- 前記薄膜を形成する工程では、前記基板の温度を室温以上150℃以下の温度とする請求項6に記載の半導体装置の製造方法。
- 前記所定元素はシリコンを含み、前記原料ガスは、Si−C結合、Si−C−Si結合およびSi−C−C−Si結合からなる群より選択される少なくとも1つを有する請求項1〜7のいずれか1項に記載の半導体装置の製造方法。
- 前記原料ガスは、ビス(トリクロロシリル)メタン((SiCl3)2CH2)ガス、1,2−ビス(トリクロロシリル)エタン((SiCl3)2C2H4)ガス、1,1,2,2−テトラクロロ−1,2−ジメチルジシラン((CH3)2Si2Cl4)ガス、および1,2−ジクロロ−1,1,2,2−テトラメチルジシラン((CH3)4Si2Cl2)ガスからなる群より選択される少なくとも1つを含む請求項1〜7のいずれか1項に記載の半導体装置の製造方法。
- 前記触媒ガスは、トリエチルアミン((C2H5)3N)ガス、ジエチルアミン((C2H5)2NH)ガス、モノエチルアミン(C2H5NH2)ガス、トリメチルアミン((CH3)3N)ガス、モノメチルアミン((CH3)NH2)ガス、ピリジン(C5H5N)ガス、アミノピリジン(C5H6N2)ガス、ピコリン(C6H7N)ガス、ルチジン(C7H9N)ガス、ピペラジン(C4H10N2)ガス、およびピペリジン(C5H11N)ガスからなる群より選択される少なくとも1つを含む請求項1〜9のいずれか1項に記載の半導体装置の製造方法。
- 前記酸化ガスは、水蒸気(H2Oガス)、オゾン(O3)ガス、過酸化水素(H2O2)ガス、水素(H2)ガスおよび酸素(O2)ガス、水素(H2)ガスおよびオゾン(O3)ガスからなる群より選択される少なくとも1つを含む請求項1〜10のいずれか1項に記載の半導体装置の製造方法。
- 前記第1の不純物を除去する工程および前記第2の不純物を除去する工程では、前記基板に対して酸素非含有ガスを供給することにより、酸素非含有の雰囲気下で、前記熱処理を行う請求項1〜11のいずれか1項に記載の半導体装置の製造方法。
- 基板を収容する処理室と、
前記処理室内へ所定元素、炭素および塩素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する原料ガス供給系と、
前記処理室内へ酸化ガスを供給する酸化ガス供給系と、
前記処理室内へ触媒ガスを供給する触媒ガス供給系と、
前記処理室内の基板を加熱するヒータと、
前記処理室内の基板に対して前記原料ガスを供給する処理と、前記処理室内の前記基板に対して前記酸化ガスを供給する処理と、前記処理室内の前記基板に対して前記触媒ガスを供給する処理と、を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する処理と、前記薄膜を形成する処理における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から、水分および塩素を含む第1の不純物を除去する処理と、前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、炭化水素化合物を含み前記第1の不純物とは異なる第2の不純物を除去する処理と、を行わせるように、前記原料ガス供給系、前記酸化ガス供給系、前記触媒ガス供給系および前記ヒータを制御するよう構成される制御部と、
を有する基板処理装置。 - 基板上に薄膜を形成する第1基板処理部と、前記薄膜を熱処理する第2基板処理部と、を有する基板処理システムであって、
前記第1基板処理部は、
基板を収容する第1処理室と、
前記第1処理室内へ所定元素、炭素および塩素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する原料ガス供給系と、
前記第1処理室内へ酸化ガスを供給する酸化ガス供給系と、
前記第1処理室内へ触媒ガスを供給する触媒ガス供給系と、
前記第1処理室内の基板に対して前記原料ガスを供給する処理と、前記第1処理室内の前記基板に対して前記酸化ガスを供給する処理と、前記第1処理室内の前記基板に対して前記触媒ガスを供給する処理と、を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する処理を行わせるように、前記原料ガス供給系、前記酸化ガス供給系および前記触媒ガス供給系を制御するよう構成される第1制御部と、
を有し、
前記第2基板処理部は、
基板を収容する第2処理室と、
前記第2処理室内の基板を加熱するヒータと、
前記第2処理室内に前記薄膜が形成された前記基板を収容した状態で、前記薄膜を形成する処理における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から、水分および塩素を含む第1の不純物を除去する工程と、
前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、炭化水素化合物を含み前記第1の不純物とは異なる第2の不純物を除去する処理と、を行わせるように、前記ヒータを制御するよう構成される第2制御部と、
を有する基板処理システム。 - 基板処理装置の処理室内の基板に対して所定元素、炭素および塩素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する手順と、
前記処理室内の前記基板に対して酸化ガスを供給する手順と、
前記処理室内の前記基板に対して触媒ガスを供給する手順と、
を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する手順と、
前記薄膜を形成する手順における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から、水分および塩素を含む第1の不純物を除去する手順と、
前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、炭化水素化合物を含み前記第1の不純物とは異なる第2の不純物を除去する手順と、
をコンピュータによって前記基板処理装置に実行させるプログラム。 - 第1基板処理部の第1処理室内の基板に対して所定元素、炭素および塩素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する手順と、
前記第1処理室内の前記基板に対して酸化ガスを供給する手順と、
前記第1処理室内の前記基板に対して触媒ガスを供給する手順と、
を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する手順と、
第2基板処理部の第2処理室内において、前記薄膜を形成する手順における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から、水分および塩素を含む第1の不純物を除去する手順と、
前記第2処理室内において、前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、炭化水素化合物を含み前記第1の不純物とは異なる第2の不純物を除去する手順と、
をコンピュータによって前記第1基板処理部および前記第2基板処理部に実行させるプログラム。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
PCT/JP2013/076571 WO2015045163A1 (ja) | 2013-09-30 | 2013-09-30 | 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP6068661B2 true JP6068661B2 (ja) | 2017-01-25 |
JPWO2015045163A1 JPWO2015045163A1 (ja) | 2017-03-09 |
Family
ID=52742360
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015538807A Active JP6068661B2 (ja) | 2013-09-30 | 2013-09-30 | 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム |
Country Status (5)
Country | Link |
---|---|
US (1) | US9673043B2 (ja) |
JP (1) | JP6068661B2 (ja) |
KR (1) | KR101846850B1 (ja) |
CN (1) | CN105493248B (ja) |
WO (1) | WO2015045163A1 (ja) |
Families Citing this family (334)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
JP6111317B2 (ja) * | 2013-03-19 | 2017-04-05 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび記録媒体 |
JP5864637B2 (ja) | 2013-03-19 | 2016-02-17 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体 |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
JP6470060B2 (ja) | 2015-01-30 | 2019-02-13 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US20180033614A1 (en) * | 2016-07-27 | 2018-02-01 | Versum Materials Us, Llc | Compositions and Methods Using Same for Carbon Doped Silicon Containing Films |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
JP6456893B2 (ja) * | 2016-09-26 | 2019-01-23 | 株式会社Kokusai Electric | 半導体装置の製造方法、記録媒体および基板処理装置 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
JP6814057B2 (ja) * | 2017-01-27 | 2021-01-13 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、およびプログラム |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
JP6602332B2 (ja) * | 2017-03-28 | 2019-11-06 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11990332B2 (en) * | 2017-08-08 | 2024-05-21 | Applied Materials, Inc. | Methods and apparatus for deposition of low-k films |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
CN108559975B (zh) * | 2018-02-06 | 2020-08-25 | 江苏微导纳米科技股份有限公司 | 空间催化式化学气相沉积设备 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102541454B1 (ko) * | 2018-04-26 | 2023-06-09 | 삼성전자주식회사 | 저유전막의 형성 방법, 및 반도체 소자의 형성방법 |
WO2019207864A1 (ja) | 2018-04-27 | 2019-10-31 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、およびプログラム |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
CN112424922A (zh) * | 2018-07-17 | 2021-02-26 | Asml荷兰有限公司 | 粒子束检查装置 |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US20200040454A1 (en) * | 2018-08-06 | 2020-02-06 | Lam Research Corporation | Method to increase deposition rate of ald process |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
JP6608516B2 (ja) * | 2018-12-19 | 2019-11-20 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
JP6910387B2 (ja) | 2019-03-05 | 2021-07-28 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP7227122B2 (ja) * | 2019-12-27 | 2023-02-21 | 株式会社Kokusai Electric | 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
CN112420731B (zh) * | 2020-11-17 | 2021-12-17 | 长江存储科技有限责任公司 | 在深孔中形成薄膜层的方法及半导体器件的制备方法 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
JP7329021B2 (ja) | 2021-09-14 | 2023-08-17 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理方法、基板処理システム、およびプログラム |
KR20240119078A (ko) * | 2021-12-24 | 2024-08-06 | 가부시키가이샤 코쿠사이 엘렉트릭 | 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램 |
Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000188333A (ja) * | 1998-12-22 | 2000-07-04 | Seiko Epson Corp | 半導体装置及びその製造方法 |
US20020018849A1 (en) * | 2000-06-29 | 2002-02-14 | George Steven M. | Method for forming SIO2 by chemical vapor deposition at room temperature |
US20020160585A1 (en) * | 2001-02-02 | 2002-10-31 | Chang-Boo Park | Thin film deposition method |
US20030170605A1 (en) * | 2002-03-11 | 2003-09-11 | Egan Visual Inc. | Vapor deposited writing surfaces |
JP2003276110A (ja) * | 2002-03-26 | 2003-09-30 | Dainippon Printing Co Ltd | 積層体およびその製造方法 |
JP2004040110A (ja) * | 2002-07-08 | 2004-02-05 | Samsung Electronics Co Ltd | 原子層堆積法によって基板に二酸化シリコン層を堆積する方法 |
US20060166414A1 (en) * | 2004-12-01 | 2006-07-27 | Carlson David K | Selective deposition |
US20060199384A1 (en) * | 2005-03-03 | 2006-09-07 | Takashi Ando | Method of forming thin film, and method of manufacturing semiconductor device |
WO2007083651A1 (ja) * | 2006-01-17 | 2007-07-26 | Hitachi Kokusai Electric Inc. | 半導体装置の製造方法 |
JP2008141191A (ja) * | 2006-11-14 | 2008-06-19 | Applied Materials Inc | 低温ALDSiO2 |
US20080241358A1 (en) * | 2007-03-30 | 2008-10-02 | Tokyo Electon Limited | Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment |
JP2009117646A (ja) * | 2007-11-07 | 2009-05-28 | Hitachi Kokusai Electric Inc | 基板処理装置及びベーキング方法 |
JP2010507259A (ja) * | 2006-10-16 | 2010-03-04 | アプライド マテリアルズ インコーポレイテッド | Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用 |
Family Cites Families (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4854286B2 (ja) | 2005-12-06 | 2012-01-18 | 株式会社アルバック | 銅配線構造 |
JP2008071894A (ja) | 2006-09-13 | 2008-03-27 | Tokyo Electron Ltd | 成膜方法 |
JP5421736B2 (ja) | 2009-11-13 | 2014-02-19 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、及びプログラム |
US8329599B2 (en) | 2011-02-18 | 2012-12-11 | Asm Japan K.K. | Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen |
-
2013
- 2013-09-30 JP JP2015538807A patent/JP6068661B2/ja active Active
- 2013-09-30 WO PCT/JP2013/076571 patent/WO2015045163A1/ja active Application Filing
- 2013-09-30 CN CN201380079276.7A patent/CN105493248B/zh active Active
- 2013-09-30 KR KR1020167005514A patent/KR101846850B1/ko active IP Right Grant
-
2016
- 2016-03-30 US US15/084,847 patent/US9673043B2/en active Active
Patent Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000188333A (ja) * | 1998-12-22 | 2000-07-04 | Seiko Epson Corp | 半導体装置及びその製造方法 |
US20020018849A1 (en) * | 2000-06-29 | 2002-02-14 | George Steven M. | Method for forming SIO2 by chemical vapor deposition at room temperature |
US20020160585A1 (en) * | 2001-02-02 | 2002-10-31 | Chang-Boo Park | Thin film deposition method |
US20030170605A1 (en) * | 2002-03-11 | 2003-09-11 | Egan Visual Inc. | Vapor deposited writing surfaces |
JP2003276110A (ja) * | 2002-03-26 | 2003-09-30 | Dainippon Printing Co Ltd | 積層体およびその製造方法 |
JP2004040110A (ja) * | 2002-07-08 | 2004-02-05 | Samsung Electronics Co Ltd | 原子層堆積法によって基板に二酸化シリコン層を堆積する方法 |
US20060166414A1 (en) * | 2004-12-01 | 2006-07-27 | Carlson David K | Selective deposition |
US20060199384A1 (en) * | 2005-03-03 | 2006-09-07 | Takashi Ando | Method of forming thin film, and method of manufacturing semiconductor device |
WO2007083651A1 (ja) * | 2006-01-17 | 2007-07-26 | Hitachi Kokusai Electric Inc. | 半導体装置の製造方法 |
JP2010507259A (ja) * | 2006-10-16 | 2010-03-04 | アプライド マテリアルズ インコーポレイテッド | Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用 |
JP2008141191A (ja) * | 2006-11-14 | 2008-06-19 | Applied Materials Inc | 低温ALDSiO2 |
US20080241358A1 (en) * | 2007-03-30 | 2008-10-02 | Tokyo Electon Limited | Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment |
JP2009117646A (ja) * | 2007-11-07 | 2009-05-28 | Hitachi Kokusai Electric Inc | 基板処理装置及びベーキング方法 |
Also Published As
Publication number | Publication date |
---|---|
CN105493248B (zh) | 2018-04-10 |
JPWO2015045163A1 (ja) | 2017-03-09 |
CN105493248A (zh) | 2016-04-13 |
KR20160039670A (ko) | 2016-04-11 |
US20160211135A1 (en) | 2016-07-21 |
US9673043B2 (en) | 2017-06-06 |
KR101846850B1 (ko) | 2018-04-09 |
WO2015045163A1 (ja) | 2015-04-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6068661B2 (ja) | 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム | |
JP5864637B2 (ja) | 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体 | |
JP5788448B2 (ja) | 半導体装置の製造方法、基板処理装置及びプログラム | |
KR102319147B1 (ko) | 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 | |
JP6155063B2 (ja) | 半導体装置の製造方法、基板処理装置及びプログラム | |
JP6242095B2 (ja) | クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム | |
KR101553481B1 (ko) | 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 | |
JP5957128B2 (ja) | 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体 | |
JP6111317B2 (ja) | 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび記録媒体 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20161115 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20161215 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20161222 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6068661 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
S111 | Request for change of ownership or part of ownership |
Free format text: JAPANESE INTERMEDIATE CODE: R313111 |
|
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |