JP2010507259A - Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用 - Google Patents

Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用 Download PDF

Info

Publication number
JP2010507259A
JP2010507259A JP2009533455A JP2009533455A JP2010507259A JP 2010507259 A JP2010507259 A JP 2010507259A JP 2009533455 A JP2009533455 A JP 2009533455A JP 2009533455 A JP2009533455 A JP 2009533455A JP 2010507259 A JP2010507259 A JP 2010507259A
Authority
JP
Japan
Prior art keywords
precursor
oxygen
organosilicon
gap
dielectric material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009533455A
Other languages
English (en)
Other versions
JP4987083B2 (ja
JP2010507259A5 (ja
Inventor
アビジット, バス マリック,
ジェフリー, シー. ムンロ,
スリニヴァス, ディー. マニ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2010507259A publication Critical patent/JP2010507259A/ja
Publication of JP2010507259A5 publication Critical patent/JP2010507259A5/ja
Application granted granted Critical
Publication of JP4987083B2 publication Critical patent/JP4987083B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Silicon Polymers (AREA)
  • Element Separation (AREA)

Abstract

【課題】 基板上に形成されるギャップ内に誘電体層を堆積させる方法を提供する。
【解決手段】 方法は、有機シリコン前駆物質と酸素前駆物質を堆積チャンバに導入するステップを含む。有機シリコン前駆物質のC:Si原子比は、8未満であり、酸素前駆物質は、堆積チャンバの外で生成される原子状酸素を含む。前駆物質が反応して、ギャップ内に誘電体層を形成する。ギャップを誘電材料で充填する方法も記載する。これらの方法は、C:Si原子比が8未満の有機シリコン前駆物質と酸素前駆物質を供給するステップと、前駆物質からプラズマを生成させて、ギャップ内に誘電材料の第一部分を堆積させるステップとを含んでいる。誘電材料がエッチングされてもよく、誘電材料の第二部分がギャップ内に形成されてもよい。誘電材料の第一部分と第二部分がアニールされてもよい。
【選択図】図2

Description

関連出願の相互参照
[0001]本出願は2006年5月30日に出願された“前駆物質を含むシリコンと原子状酸素を用いた高品質の流動状二酸化シリコンの化学気相堆積”と題するIngleらの共同譲渡された米国仮特許出願第60/803,493号に関する。本出願は、また、2006年5月30日に出願された“二酸化シリコンの膜品質を向上させる新規な堆積プラズマ硬化サイクルプロセス”と題するChenらの共同譲渡された米国仮特許出願第60/803,481号に関する。本出願は、更に、2006年5月30日に出願された“ギャップ充填と共形膜適用のための低K膜の堆積及び硬化方法”と題するMunroらの共同譲渡された米国仮特許出願第60/803,489号に関する。本出願は、また更に、2006年5月30日に登録された“ボトムアップのギャップ充填のための誘電堆積プロセスとエッチバックプロセス”と題するLubomirskyの共同譲渡された米国仮特許出願第60/805,573号に関する。4件すべての出願の全体の内容はすべての目的に対しれ本明細書に援用されている。
発明の背景
[0002]半導体デバイスの形状は、数十年前の導入以来、大きさが劇的に減少してきた。現代の半導体製造装置は、定期的に250nm、180nm、65nmの特徴部サイズでデバイスを作り、新しい装置が更に小さな形状のデバイスを作るように開発され、実施されている。しかしながら、より小さな大きさということは、デバイス素子がより緊密に一緒に動作しなければならないことを意味し、このことはクロストークや寄生容量を含む電気的干渉の機会が増加しうることになる。
[0003]電気的干渉の度合いを低減するために、誘電絶縁材料を用いて、デバイス素子と金属配線と他のデバイスと特徴部間のギャップ、トレンチ、他の空隙を充填する。誘電材料は、デバイスの特徴部間の空隙に容易に形成され、その低誘電定数(即ち、“k-値”)に対して選択される。より低いk-値を持つ誘電体はクロストークとRC時間遅れを最小化するのにより優れており、またデバイスの全体の電力損失を低減もする。従来の誘電材料は、従来のCVD技術で堆積される場合に平均的k-値が4.0〜4.2である酸化シリコンを含んでいる。
[0004]従来の酸化シリコンのk-値が多くのデバイス構造に対して受け入れられるものであるが、デバイス素子の大きさを更に低減し密度を増加させることは、半導体製造者により低いk-値を持つ誘電材料を探し続けさせてきた。一つの方法は、約3.4〜3.6ほどの低さの誘電定数を持つフッ素ドープされた酸化シリコン膜(即ち“FSG”膜)を作るべくフッ素で酸化シリコンをドープすることであった。他の方法は、水素シルセスキオキサン(HSQ)のような高い流動性を持つ前駆物質で基板を被覆して多孔質の低k膜を形成する、スピンオングラスの技術の開発であった。
[0005]より最近は、シリコン-酸素-炭素(Si-O-C)膜が3.0以下のk-値で開発されてきた。これらの低k膜は、しばしば基板上に炭素-シリコンと酸素の前駆物質の化学気相堆積によって堆積される。これらのSi-O-C膜は純粋且つフッ素ドープされた酸化シリコン膜より低いk-値を持っているが、これらは、また、負の結果をもたらし得る実質的により多くの多孔性を持つ傾向がある。多孔膜は、膜のk-値を増加させ得る、水の吸収を増加させる傾向になる。多孔膜は、また、腐食や応力によるひび割れをよりもたらしがちな膜を作ることになる、より高い“ウェットエッチング速度比”(WERR)を持っている。従って、低減された多孔率、より低いWERR値、より低いひび割れの低k炭素ベースの膜を堆積させる新規な方法が求められている。これらと他の課題は本発明の実施形態により解決が図られる。
[0006]本発明の実施形態は、基板上に形成されるギャップに誘電体層を堆積させる方法を含んでいる。この方法には、有機シリコン前駆物質と酸素前駆物質を堆積チャンバに導入するステップを含む場合がある。有機シリコン前駆物質は、C:Siの原子比が8未満である場合があり、酸素前駆物質は、堆積チャンバの外で生成される原子状酸素を含む場合がある。方法には、また、ギャップに誘電体層を形成する前駆物質を反応させるステップを含む場合がある。
[0007]本発明の実施形態は、また、誘電材料でギャップを充填させる方法を含んでいる。この方法には、有機シリコン前駆物質と酸素前駆物質を堆積チャンバに供給するステップを含み、そこでは有機シリコン前駆物質が8未満のC:Siの原子比を有している。プラズマが堆積チャンバ内で前駆物質から生成されてもよく、そこではプラズマがギャップ内に誘電材料の第一部分を堆積させる。方法には、また、誘電材料の第一部分をエッチングして、材料における炭素含量を低減させるステップと、ギャップ内に誘電材料の第二部分を堆積させるステップとを含んでいる。ギャップ内における誘電材料の第一と第二の部分はアニールされてもよい。
[0008]本発明の実施形態は、更にまた、基板上のギャップ内に誘電材料を堆積し、アニールする方法を含んでいる。この方法には、有機シリコン前駆物質と酸素前駆物質を堆積チャンバに供給するステップを含む場合があり、そこでは有機シリコン前駆物質が8未満のC:Siの原子比を有している。前駆物質を反応させて、ギャップ内に誘電材料を堆積させ、熱アニールが堆積された誘電材料上で行われてもよい。更に、プラズマアニールもまた、堆積された誘電材料上で行われてもよい。
[0009]追加の実施形態と特徴が以下の説明において示され、部分的には明細書を調べる際に当業者に明白になるか、本発明を実施することによって学習されることになる。本発明の特徴及び利点は、明細書に記載される手段、組み合わせ、方法によって実現され獲得することができる。
[0010]本発明の特質と利点の理解は、更に、明細書の残りの部分と図面を参照することにより実現され、そこでは同じ符号が幾つかの図面全体に用いられて、同様の構成要素を表している。幾つかの例では、副次的表示が符号と関連付けられており、複数の同様の構成要素の一つを示すようにハイフンで続けられている。存在する副次的表示について明細書にない符号が参照される場合、すべてのこのような複数の同様の構成要素を表すことが意図されている。
図1は、本発明の実施形態による誘電体堆積の簡易化した概略を示すフローチャートである。 図2は、本発明の実施形態による誘電材料でギャップを充填する方法を示すフローチャートである。 図3は、本発明の実施形態による基板上のギャップに誘電材料を堆積しアニールするステップを示すフローチャートである。 図4は、本発明の実施形態による多層酸化シリコン膜を製造する方法を示すフローチャートである。 図5Aは、本発明の実施形態による多層酸化シリコン膜で進行的に充填されるギャップ構造を持つ基板を示す図である。 図5Bは、本発明の実施形態による多層酸化シリコン膜で進行的に充填されるギャップ構造を持つ基板を示す図である。 図5Cは、本発明の実施形態による多層酸化シリコン膜で進行的に充填されるギャップ構造を持つ基板を示す図である。 図5Dは、本発明の実施形態による多層酸化シリコン膜で進行的に充填されるギャップ構造を持つ基板を示す図である。 図5Fは、本発明の実施形態による多層酸化シリコン膜で進行的に充填されるギャップ構造を持つ基板を示す図である。 図6Aは、本発明の実施形態による酸化シリコン層を形成するために用いることができる基板処理システムを示す縦断面図である。 図6Bは、本発明の実施形態による基板処理システムのシステムモニタ/コントローラ構成要素を示す簡略化された図である。
発明の詳細な説明
[0018]基板上のギャップ内および表面上に誘電材料を堆積するシステムと方法を記載する。誘電材料は、従来のテトラエトキシシロキサン(TEOS)より炭素原子とシリコン原子とのより低い比(C:Si比)を持つ有機シリコン化合物から形成することができる。これらの化合物を酸素前駆物質とを組み合わせることによって形成される誘電体膜は、初期に堆積されたTEOSベースの酸化シリコンより、典型的には、炭素含量が少なく、多孔性が低く、WERRが低い。このことにより、膜がTEOSベースの酸化膜よりひび割れ、ボイド形成、水吸収、及び/又は収縮に対する感受性をより低くする。
[0019]有機シリコン化合物の酸素原子とシリコン原子の比(O:Si)比は、また、TEOSのO:Si比に等しいか、より大きい。低いC:Si比と高いO:Si比を持つ有機シリコン前駆物質は、シリコンの前駆物質としてTEOSのみを用いる従来製造された酸化物より、炭素含量がより低い、より高品質の酸化シリコン膜を生成する。実施形態は、また、分子構造に一つ以上のSi-O-Si結合を持つ有機シリコン前駆物質を含んでいる。Si前駆物質におけるこの結合は、炭素基とヒドロキシル基から汚染が低減されたSiO膜の形成を促進する。
[0020]有機シリコン化合物は、また、Si-O基に容易に酸化させることができるハロゲン基と窒素基を含むハロゲン化されたシロキサンとシラゾキサンを含んでもよい。ハロゲン化シロキサンは、オクタクロロトリシロキサン(OCTS)のような塩化シロキサンを含んでもよく、そのSi-O基は、炭素レベルが低減されたSi-O基に分子状酸素、オゾン、二酸化窒素、過酸化水素等によって容易に酸化することができる。同様に、ヘキサメトキシジシラゾキサン(HMDS-H)のようなシラゾキサンは、Si-O基に容易に酸化することができるSi-N基を有している。
[0021]有機シリコン化合物は、堆積された誘電体膜にSi-O基の形成を更に増加させるように堆積チャンバ内の反応性原子状酸素前駆物質に導入することができる。原子状酸素は、有機シリコン前駆物質と反応するように堆積チャンバ内に送られる前に、例えば、離れたところの高密度プラズマ生成器を用いて堆積チャンバの外であらかじめ生成されてもよい。得られた酸化シリコン層は、堆積チャンバ内で有機シリコン前駆物質と分子酸素、オゾン等と反応させることにより形成される従来の酸化膜より改良された流動性とギャップ流れ特性を持ち、且つより低い炭素含量と多孔性を持ち得る。本発明の方法、製品、及びシステムの実施形態に関する追加の詳細をここに述べる。
例示的誘電体堆積法
[0022]図1は、本発明の実施形態による誘電体堆積の簡易化した概略を示すフローチャートである。図示される方法100は、有機シリコン前駆物質102と酸素前駆物質104を堆積チャンバに導入することを含んでいる。前駆物質はチャンバ内で反応して、基板106のギャップ内と表面上に誘電体層を形成する。
[0023]堆積チャンバ内に導入される有機シリコン前駆物質は、8未満のC:Si原子比(例えば、C:Si原子比7、6、5、4、3、2、又は1以下)を持つ場合がある。このことは各シリコン原子の存在に対して有機シリコン前駆物質分子内に8つより少ない炭素原子があることを意味する。比較すると、以下に示されるテトラエトキシシロキサン(TEOS)分子は、シリコンの各原子に対して8つの炭素原子(即ち、C:Si比8)を持っている。
Figure 2010507259
[0024]有機シリコン前駆物質は、他のシロキサン化合物の中でもトリエトキシシロキサン(TRIES)、テトラメトキシシロキサン(TMOS)、トリメトキシシロキサン(TRIMOS)、ヘキサメトキシジシロキサン(HMODS)、オクタメトキシトリシロキサン(OMOTS)、及び/又はオクタメトキシドデカシロキサン(OMODDS)のようなシロキサン化合物である。
Figure 2010507259
[0025]有機シリコン化合物は、また、一つ以上の窒素基を含むシラゾキサン化合物であってもよい。シラゾキサン化合物は、他のシラゾキサン化合物の中でもヘキサメトキシジシラゾキサン(HMDS-H)メチルヘキサメトキシジシラゾキサン(HMDS-CH)、クロロヘキサメトキシジシラゾキサン(HMDS-Cl)、ヘキサエトキシジシラゾキサン(HEDS-H)、ノナメトキシトリシラゾキサン(NMTS)、オクタメトキシサイクリックシラゾキサン(OMCS)を含んでいるのがよい。
Figure 2010507259
[0026]有機シリコン化合物は、更に、一つ以上のハロゲン基(例えば、フッ素基、塩素基、臭素基、又はヨウ素基)を含むハロゲン化シロキサンでもよい。例えば、ハロゲン化シロキサンは、他の塩素化シロキサン化合物の中でもテトラクロロシラン(TECS)、ジクロロジエトキシシロキサン(DCDES)、クロロトリエトキシシロキサン(CTES)、ヘキサクロロジシロキサン(HCDS)、及び/又はオクタクロロトリシロキサン(OCTS)のような塩素化シロキサン化合物であるのがよい。
Figure 2010507259
[0027]有機シリコン化合物は、また、約0、0.5、1、2、3、4、5、6以上のO:Si比を持ってもよい。例えば、TMOSのO:Si原子比は、4(TEOSと同一)である。TRIESやTRIMOSのような他の有機シリコン化合物のO:Si比は、3である。HCDSのようななお他のもののO:Si比は0.5であり、TECSのO:Si比は0である。
[0028]有機シリコン前駆物質は、更に、他の有機シリコン化合物の中でもHMODS、OMOTS、OMODDS、HCDS、OCTSに見られるようにSi-O-Si結合を含んでもよい。Si前駆物質におけるこの結合は、炭素基とヒドロキシル基から汚染が低減されたSiO膜の形成を促進させる。
[0029]有機シリコン前駆物質は、なお更に、他の化合物の中でもトリシリルアミン(TSA)、ヘキサメチルジシラザン(HMDS)、シラトレラン、テトラキス(ジメチルアミノ)シラン、ビス(ジエチルアミノ)シラン、トリス(ジメチルアミノ)クロロシラン、メチルシラトランのようなアミノシランを含むのがよい。
Figure 2010507259
[0030]有機シリコン前駆物質は、なお更に、アルコキシジシラン、アルコキシアルキルジシラン、アルコキシジシランを含むジシランを含んでもよい。アルコキシジシランは
Figure 2010507259
を含んでもよく、ここで、R1-6は、独立してC1-3アルキルオキシ基であってもよい。例えば、アルコキシジシランは、他のアルコキシジシランの中でもヘキサメトキシジシランやヘキサエトキシジシランを含んでもよい。
[0031]アルコキシジシランは、また、アルコキシ基がSi部分に結合された環状ジシラン化合物を含んでもよい。例えば、アルコキシシクロシランは、他の中でもオクタエトキシシクロブタシラン、デカブタオキシシクロペンタシラン、ドデカメトキシシクロヘキサシランを含むのがよい。アルコキシジシランの幾つかの例を以下に示す:
Figure 2010507259
[0032]アルコキシアルキルジシランは、以下を含んでもよい:
Figure 2010507259
(ここで、R7-12は、独立して、C1-3アルキル基又はC1-3アルキルオキシ基であってもよく、R7-12の少なくとも一つは、アルキル基であり、R7-12の少なくとも一つは、アルキルオキシ基である)。アルコキシアルキルジシランは、また、少なくとも一つのアルキルとアルコキシ基がそれに結合された、ブタシラン、ペンタシラン、ヘキサシラン、ヘキサシラン、ヘプタシラン、オクタシラン等のアルキル部分とアルコキシ部分を持つ環状ジシランを含んでもよい。例としては、他のアルコキシアルキルシクロシランの中でもオクタメチル-1,4-ジオキサ-2,3,5,6-テトラシラシクロヘキサン;1,4-ジオキサ-2,3,5,6-テトラシラシクロへキサン;1,2,3,4,5,6-ヘキサメトキシ-1,2,3,4,5,6-ヘキサメチルシクロヘキサシランが挙げられる。アルコキシアルキルジシランの幾つかの例を以下に示す:
Figure 2010507259
[0033]アルコキシアセトキシジシランは、以下を含んでもよい:
Figure 2010507259
(ここで、R13-17は、独立して、C1-3アルキル基、C1-3アルコキシ基、又はアセトキシ基であり、R13-17の少なくとも一つは、アルコキシ基であり、R13-17の少なくとも一つは、アセトキシ基である)。
[0034]有機シリコン前駆物質は、また更に、シクロブタシラン、シクロペンタシラン、シクロヘキサシラン、シクロヘプタシラン、シクロオクタシラン等の有機シクロシランを含んでもよい。
[0035]酸素前駆物質は、分子酸素(O)、オゾン(O)、窒素-酸素化合物(例えば、NO、NO、NO等)、水素-酸素化合物(例えば、HO、H等)だけでなく、他の酸素含有前駆物質や前駆物質の組み合わせも含んでもよい。ある実施形態において、酸素前駆物質は、有機シリコン前駆物質とともに離れたところで生成され、堆積チャンバに導入される原子状酸素基を含んでもよい。
[0036]ここで図2を参照すると、本発明の実施形態によるギャップを誘電材料で充填する方法200を示すフローチャートが図示される。方法200は、基板を堆積チャンバに準備するステップと、次に有機シリコン前駆物質202と酸素前駆物質204をチャンバに導入するステップとを含む場合がある。基板は、半導体ウエハ(例えば、200mm、300mm、400mm等のシリコンウエハ)であってもよく、初期のプロセスで形成された構造、デバイス構成要素等を含んでもよい。例えば、基板は、高さと幅のアスペクト比(例えば、5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上等のアスペクト比)を持つギャップ、トレンチ等を含んでもよい。誘電材料は、基板のギャップと他の表面に堆積される。
[0037]上述のように、有機シリコン前駆物質は、シロキサン、ハロゲン化シロキサン、及び/又はシラゾキサン化合物を含むのがよく、C:Si原子比が8未満の有機シリコン化合物を含むのがよい。シリコン前駆物質は、堆積チャンバへの導入前或いは導入中にキャリヤガスと混合されてもよい。キャリヤガスは、基板上の酸化膜の形成に過度に干渉しない不活性ガスであり得る。キャリヤガスの例としては、他のガスの中でも、ヘリウム、ネオン、アルゴン、水素(H)が挙げられる。
[0038]例えば、有機シリコン前駆物質は、有機シリコン化合物(気体或いは液体)とヘリウムとを室温の有機シリコン前駆物質によって約600〜約2400sccmの流速で混合して、前駆物質の流れをチャンバ内に約800〜約1600mgmの速度で与えることによって堆積チャンバに導入されてもよい。
[0039]酸素前駆物質は、分子酸素(O)、オゾン(O)、窒素-酸素化合物(例えば、NO、NO、NO等)、水素-酸素化合物(例えば、HO、H等)、炭素-酸素化合物(例えば、CO、CO等)だけでなく、他の酸素含有前駆物質や前駆物質の組み合わせを含んでもよい。方法300に対して以下により詳細に記載されるように、酸素前駆物質は、また、別に生成され、堆積チャンバへ有機シリコン前駆物質とともに導入される原子状酸素基を含んでもよい。
[0040]方法200は、堆積チャンバ内で前駆物質からプラズマを生成するステップと、誘電材料206の第一部分を形成するステップとを含んでもよい。方法200の実施形態において、酸素と有機シリコン前駆物質は、堆積チャンバに導入される前には混合されない。前駆物質は、反応チャンバの周りに分配された別々の空間的に分離された前駆物質注入口を通じてチャンバに入ることができる。例えば、酸素前駆物質は、チャンバの最上部の一つの注入口(或いは複数の注入口)から入ってもよく、直接基板の上に位置決めされてもよい。注入口は、酸素前駆物質の流れを基板堆積面に垂直の方向に進ませる。他方、シリコン前駆物質は、堆積チャンバの側面の周りの一つ以上の注入口から入ってもよい。注入口は、シリコン前駆物質の流れを堆積面にほぼ平行な方向に進ませてもよい。
[0041]酸素と有機シリコンのプラズマが堆積チャンバで反応するので、それは基板堆積面上に酸化シリコン誘電体層の第一部分を形成する。はじめの酸化物層は、優れた流動性を有し、堆積面にあるギャップ、トレンチ、ボイド、継ぎ目等にすばやく移動させることができる。これにより、方法200が高さと幅のアスペクト比が大きい(例えば、約5:1、6:1、6:1、8:1、9:1、10:1、11:1、12:1以上のAR(アスペクト比))を持つギャップ、トレンチ、他の表面構造に実質的にボイドや継ぎ目のない酸化物充填を行うことを可能にさせる。
[0042]誘電材料の第一部分が形成された後、チャンバ内への前駆物質の流れは停止してもよく、材料をエッチングすることができる(ステップ208)。エッチングステップを用いて、材料中の不純物を解離させ、除去し、更に、材料を平坦化することができる。エッチングプロセスは、単一ステップのエッチング、或いは複数ステップのエッチングを含む場合がある。複数ステップのエッチングプロセスは、低密度のプラズマを用いて、誘電材料中のより大きな有機分子を解離させ、炭素の少なくとも一部を除去するステップを含んでもよい第一エッチングを含んでもよい。この低密度プラズマエッチングは、RPSシステムを用いて、酸化物層をエッチングするAr/Oプラズマを生成するステップを含んでもよい。エッチング条件は、例えば、約5500ワット電力で1600sccmのOと400sccmのアルゴンの流れからプラズマを衝突させるステップと、760ミリトールの圧力で堆積チャンバ内にそれを導入するステップを含んでもよい。このプラズマエッチングは、より大きな炭素基を解離させ、且つ酸化物層から炭素不純物を除去することができる。
[0043]このエッチングに続いて、酸化物層の第二エッチングがより高いプラズマ密度で行われて、層内のヒドロキシル基の少なくとも一部を除去することができる。このより高密度のプラズマエッチングは、高電力のRFフィールド(例えば、6000ワット)を持つ分子酸素の流れ(例えば、600sccm)の解離で形成されるプラズマに層を曝すステップを含んでもよい。酸素プラズマは、例えば、8ミリトールの圧力で堆積チャンバに導入され、酸化物層において-OH基と反応して、二酸化シリコンと水を形成することができる。
[0044]第一層のエッチングに続いて、前駆物質を堆積チャンバに再導入し、反応させて、基板210のギャップと他の表面の誘電材料の第二部分を形成する。第二部分は、また、第一層と同じ反応条件下で形成される酸化物層であっても、別の条件(例えば、チャンバ圧、温度、有機シリコン前駆物質等)下で形成されてもよい。
[0045]第二層が形成された後、それをエッチングして、不純物レベルを低減し更に/又は層を平坦化してもよい。第二層は、第一層をエッチングするのに用いたのと同じプロセスを用いてエッチングされても、別のプロセス(例えば、異なる数のエッチングステップ、異なるエッチング前駆物質、異なる電力レベル等)を用いてエッチングされてもよい。
[0046]誘電材料の第一と第二の部分の形成と処理(また、堆積させることができるいかなる後続の部分も)に続いて、材料をアニールして212、均一な高品質の酸化シリコンギャップ充填を形成することができる。最終のギャップ充填は、誘電定数(即ち、k-値)が3.0未満で、ウェットエッチ速度比(WERR)が2:1未満(例えば、約1.8:1〜約1.4:1)であってもよい。ギャップ充填は、充填量全体に一様であり、仮にあってもわずかなボイドと継ぎ目しかないものになる。
[0047]図3は、本発明の追加の実施形態による基板上のギャップ内に誘電材料を堆積させ、アニールするステップを示すフローチャートである。方法300は、堆積チャンバ302に有機シリコン前駆物質を導入するステップを含む。方法300は、また、分子酸素(O)、オゾン(O)、窒素-酸素化合物(例えば、NO、NO、NO等)、水素-酸素化合物(例えば、HO、H等)、炭素-酸素化合物(例えば、CO、CO等)だけでなく、他の酸素を含有する前駆物質や前駆物質の組み合わせのような酸素含有前駆物質の解離によって高度に反応性の原子状酸素前駆物質304を生成するステップを含む。
[0048]酸素含有前駆物質は、別のところで解離させて、原子状酸素にすることができる。解離プロセスは、他の方法の中でも、熱解離、紫外光解離、及び/又はプラズマ解離を含んでもよい。プラズマ解離は、別のプラズマ生成チャンバ内でヘリウム、アルゴン等からプラズマを衝突させることと、酸素前駆物質をプラズマに導入して、原子状酸素前駆物質を生成することを含んでいる。例えば、原子状酸素は、RF電力の4000〜6000ワット(例えば、5500ワット)を、例えば、900〜1800sccmで流れるアルゴンガスと、例えば、約600〜約1200sccmで流れる分子酸素(O)の合わせたガス流に供給する別の場所にある高密度プラズマ生成器において生成される場合がある。
[0049]反応性原子状酸素プラズマを次に堆積チャンバ306に導入し、そこで堆積チャンバに導入される有機シリコン前駆物質と初めて混合させることができる。高度に反応性の原子状酸素は、適度な温度(例えば、100℃未満の反応温度)と圧力(例えば、0.5〜6トールの全チャンバ圧)においてシリコン前駆物質308(と反応チャンバ内に存在する他の堆積前駆物質)と反応する。
[0050]実施形態は、複数のポートを持つシャワーヘッドの別々のポートを通じて原子状酸素とシリコン前駆物質を送るステップを含んでいる。例えば、基板の上に位置決めされたシャワーヘッドは、堆積チャンバに入るように前駆物質に対して開口のパターンを含んでもよい。開口の一つは、原子状酸素前駆物質によって供給されてもよく、一方、開口の第二のものは、シリコン前駆物質によって供給される。別のセットの開口を通じて進行する前駆物質は、堆積チャンバに出て行くまで相互に流動的に分離されるのがよい。装置を操作する前駆物質の種類と設計に関する追加の詳細は、2006年5月30日に出願された“誘電ギャップ充填のプロセスチャンバ”と題するLubomirskyらに共同譲渡された米国仮特許出願第60/803,499号に記載されており、その全体の内容はすべての目的に対して本明細書に援用されている。
[0051]前駆物質がチャンバ内で他方と反応するので、誘電体層は基板310上に堆積される。堆積中のチャンバ内の全圧力は、例えば、約0.5トール〜約6トールである。より高い全圧(例えば、1.3トール)は、より流動状の品質を持つ酸化物膜を堆積させることができ、一方、より低い圧力(例えば、0.5トール)は、よりコンフォーマルな酸化物層を堆積させることができる。反応チャンバ内の堆積温度は、相対的に低い(例えば、約100℃以下)温度である場合がある。堆積速度は、約500オングストローム/分〜約3000オングストローム/分(例えば、1500オングストローム/分)の範囲であってもよい。層の厚さは、約50オングストローム〜約500オングストローム(例えば、約100オングストローム〜200オングストローム)であってもよい。
[0052]誘電体層の堆積に続いて、水分の一部を除去するとともに層の硬度さを増加させる第一アニールが行われる(ステップ312)。この最初のアニールステップは、不活性環境(例えば、乾燥N環境)で約1分〜10分間酸化物層を熱する工程を含んでいる。酸化物層がアルミニウムの金属配線の上に堆積されている金属間の誘電体であるときのように基板構造に形成される金属配線を傷つけない熱アニールの温度を選択する場合がある。
[0053]代わりの(或いは追加として)第一アニールステップは、酸化シリコン層を紫外(UV)光に約1分〜約30分間曝す工程を含んでいる。熱アニールの様に、UVアニールは最初に堆積された酸化物膜に存在する水分の一部を除去し、また膜の高度を増加させることができる。
[0054]第一アニールの終了後、第二アニールステップが酸化物層から水分とシラノール結合を更に除去するように行われてもよい(ステップ314)。このアニールステップ314において水分とシラノールのほぼすべてが除去されて、初めに堆積された酸化物層か又は層が第一アニールステップにおいて処理された後よりも、より低いk-値とより低いWERR値を層に与えることができる。この第二アニールは、水とシラノール基を誘電体酸化物から除去するのに効率的なプラズマに酸化物層を曝す工程を含んでいる。しかしながら、第一アニールに続いて、酸化物層はプラズマを酸化物に存在する炭素基を分離することから低減(或いは回避)させるのに充分な硬度を持っている。従って、低k炭素含有酸化物層に対して、プラズマアニールは層のk-値を著しく増加させるのに充分な炭素を除去しない。
[0055]ここで図4を参照すると、本発明の実施形態による多層酸化シリコン膜の製造方法400を示す他のフローチャートが図示される。方法400は、基板を堆積チャンバ402に準備するステップと、チャンバ404に前駆物質(例えば、原子状酸素と有機シリコン前駆物質)を導入するステップを含んでいる。前駆物質が反応して、基板406上に酸化シリコン層を形成し、次に酸化物層をエッチングされる場合がある(ステップ408)。
[0056]この時点で、堆積された酸化物層の累積の厚さが所定の点410に到達したかを決定するためのチェックがなされる場合がある。全体の酸化物膜の所定の厚さのレベルに到達した場合には、次に堆積とエッチングのサイクルは終了してもよく、膜はアニールされてもよい(ステップ412)。しかしながら、厚さのレベルが適合されない場合には、次に他の酸化物堆積とエッチングサイクルが酸化物膜に少なくとももう一つの追加層を加えることが発生する場合がある。
[0057]酸化物膜が所定の厚さに到達したかどうか決めることは、堆積されエッチングされた層の厚さ測定によってなされても、望ましい膜厚に到達するのに必要な層の数を計算することによってなされてもよい。例えば、各々の堆積されエッチングされた層が100オングストロームの厚さで望ましい膜厚が1.2μmである場合には、12回の堆積とエッチングサイクルが膜を形成するのに実施されなければならない。各々の堆積された層の厚さは、他のパラメータの中でも反応性前駆物質の種類と流速、堆積チャンバの全圧、温度のような酸化物堆積速度に影響するパラメータを制御することによって設定される。上述したように、酸化物層の典型的堆積速度は、約500オングストローム/分〜約3000オングストローム/分(例えば、1500オングストローム/分)である。
[0058]図5A-図5Fは、複数サイクルの堆積-エッチング酸化物層形成プロセスの実施形態を用いて多層酸化シリコン膜で進行的に充填されるギャップ構造を持つ基板を示す図である。図5Aは、ギャップ504が形成された基板502を示す図である。図5A-図5Fに示されるギャップ504が酸化物充填層の進行をより明白に示すために相対的に低いアスペクト比を持って描かれていることは理解されることである。本ギャップ充填法の実施形態は、アスペクト比が5:1、6:1、7:1、8:1、9:1、10:1、11:1、12:1,13:1、14:1、15:1以上のギャップへのボイドと継ぎ目のない堆積を含んでいる。
[0059]図5Bは、ギャップ504に堆積される第一酸化物層506aを示す図である。層を形成した酸化シリコンは、良好な流動性品質を持ち、膜をギャップ504の底にすばやく移動させることができる。従って、ギャップ504の底において堆積された酸化物の厚さは、ギャップの側壁に沿った酸化物厚さより大きくなり得る。
[0060]図5Cと図5Dは、ギャップ504において以前に堆積されエッチングされた層上に堆積される追加の酸化物層506b、506c等を示す図である。これらの追加の層は、望ましい酸化物膜厚のレベルが到達されるまで(例えば、ギャップ504の最上部)、ギャップ504内に底から形成されていくことになる。
[0061]複数の酸化物層の最後が堆積されエッチングされると、アニールが行われて、図5Eに示されるように一様な膜508の中に層を形成することができる。膜は、例えば、プラズマエッチング或いはCMPによって平坦化されて、ギャップ504の最上部の上に形成される堆積材料を除去することができる。図5Fは、あってもごくわずかなボイド或いは継ぎ目を持ち、且つ高い膜品質と誘電特性を持つ残存の酸化シリコンのギャップ充填510を示す図である。
例示的基板処理システム
[0062]本発明の実施形態を実施することができる堆積システムは、他の種類のシステムの中でも、高密度プラズマ化学気相堆積(HDP-CVD)システム、プラズマ増強型化学気相堆積(PECVD)システム、大気圧未満化学気相堆積(SACVD)システム、熱化学堆積気相システムを含むのがよい。本発明の実施形態を実施することができるCVDシステムの個々の例としては、カリフォルニア州サンタクララのAppliedMaterials社から入手可能なCENTURA ULTIMATMHDP-CVDチャンバ/システムやPRODUCERTMRECVDチャンバ/システムが挙げられる。
[0063]本発明の例示的方法において使用し得る基板処理システムの例としては、2006年5月30日に出願された“誘電ギャップ充填のプロセスチャンバ”と題するLubomirskyらに共同譲渡された米国仮特許出願第60/803,499号に図示され説明されているものが含まれてもよく、その全体の内容はすべての目的に対して本明細書に援用されている。追加の例示的システムには、米国特許第6,387,207号と同第6,830,624号に図示され説明されるものが含まれてもよく、その開示内容はすべての目的に対して本明細書に援用されている。
[0064]ここで図6Aを参照すると、チャンバ壁15aとチャンバリッドアセンブリ15bを含む真空チャンバ或いは処理チャンバを有するCVDシステムの縦断面図10が示される。CVDシステム10は、プロセスチャンバ15内の中央に位置する加熱されたペデスタル12の上に置かれた基板(図示せず)にプロセスガスを分散するためのガス分配マニホールド11を含有している。ガス分配マニホールド11は、容量性プラズマを形成するための電極として機能するために電気的導電材料で形成される場合がある。処理中、基板(例えば、半導体ウエハ)は、ペデスタル12の平らな(或いはわずかに凸状の)表面12a上に位置決めされる。ペデスタル12は、下方の取り付け/取り外し位置(図6Aに示されている)とマニホールド11に密接に隣り合う上方の処理位置(図6Aにおいて破線で示される)の間を制御可能に移動させることができる。センタボード(図示せず)は、ウエハの位置に関する情報を提供するためのセンサを含んでいる。
[0065]堆積ガスとキャリヤガスが従来の平らな円形のガス分配フェースプレート13aの穿孔された穴13bを通してチャンバ15に導入される。より詳しくは、堆積プロセスガスが注入マニホールド11を通って、従来の穿孔された阻止プレートを通って、次にガス分配フェースプレート13aの穴13bを通ってチャンバ内に流れる。
[0066]マニホールド11に到達する前に、堆積ガスとキャリヤガスは、ガス供給ラインを通じてガス源から混合システムに入力され、そこでこれらは混ぜ合わされ、次にマニホールド11へ送られる。一般に、各プロセスガスに対する供給ラインは、(i)チャンバ内へのプロセスガスの流れを自動的に或いは手動で遮断するために使用し得る幾つかの安全用遮断バルブ(図示せず)と(ii)供給ラインを通してガスの流れを計測するマスフローコントローラ(これも図示せず)を含んでいる。プロセスに毒性ガスが用いられる場合、幾つかの安全用遮断バルブが従来の構成において各ガス供給ライン上に位置決めされる。
[0067]CVDシステム10において行われる堆積プロセスは、熱プロセスかプラズマ強強型プロセスのいずれかでも可能である。プラズマ増強型プロセスでは、プロセスガス混合物を励起してフェースプレート13aとペデスタル12の間の円筒状領域内でプラズマを形成させるようにRF電力供給がガス分配フェースプレート13aとペデスタル12の間に電力を加える。(この領域は、本明細書において“反応領域“と呼ぶ)。プラズマの成分が反応して、ペデスタル12の上に支持される半導体ウェファの表面上に所要の膜を堆積させる。RF電源は、真空チャンバ室15に導入される反応性化学種の分解を高める13.56MHzの高RF周波数(RF1)と360kHzの低RF周波数(RF2)で典型的に電力を供給する混合周波数RF電源である。熱プロセスにおいて、RF電源は用いられず、プロセスガス混合物が熱的に反応して、抵抗的に加熱されて反応に対して熱エネルギを与えるペデスタル12に支持される半導体ウエハの表面上に所要の膜を堆積させる。
[0068]プラズマ増強型堆積プロセス中に、プラズマは排出通路23と遮断バルブ24を取り囲むチャンバ本体の壁15aを含む全プロセスチャンバ10を加熱する。プラズマが投入されず、或いは熱堆積プロセス中は熱い液体がプロセスチャンバ15の壁15aを通じて循環されて、チャンバを高温に保持する。チャンバ壁15aの残りの部分の通路は図示されていない。チャンバ壁15aを加熱するために用いられる液体は、典型的な液体の種類、即ち、水をベースにしたエチレングリコールかオイルをベースにした熱転移液を含んでいる。この加熱(“熱交換器”による加熱を意味する)は、望ましくない反応種生成物の凝縮を有益に低減或いは排除し、これらが冷却真空通路の壁に凝縮するとともにガスの流れがない期間、処理チャンバに戻ろうとする場合にはプロセスを汚染することになるプロセスガスの揮発性生成物と他の汚染物の排除を改善する。
[0069]反応の副産物を含む、層に堆積されないガス混合物の残りは、真空ポンプ(図示せず)によってチャンバ15から排出される。特に、ガスは反応領域を取り囲む環状のスロット型をしたオリフィス16を通じて環状の排出プレナムに排気される。環状スロット16とプレナム17は、チャンバの円筒側壁15aの最上部(壁上の上部誘電体内張りを含む)と円形チャンバリッド20の底の間のギャップによって画成される。スロットオリフィス16とプレナム17の360度円形対称性と均一性は、ウエハ上に均一な膜を堆積させるようにウエハの上にプロセスガスの均一な流れを得るのに重要である。
[0070]排出プレナム17からガスは排出プレナム17の横方向の延長部21の下を流れ、下方向に伸びているガス通路23に通じる監視ポート(図示せず)を通り、真空遮断バルブ24(その本体は下部チャンバ壁15aにまとめられている)を通り、フォアライン(これも図示せず)に通じる外部真空ポンプに接続する排出口25に流れる。
[0071]ペデスタル12のウエハ支持プラッタ(好ましくはアルミニウム、セラミック、
或いはその組み合わせ)は、平行な同心円の形で2回のフル回転をするように構成されたヒータ素子に組み込まれた組み込み型の単一ループを用いて抵抗的に加熱される。ヒータ素子の外側の部分は、支持プラッタの周辺に隣接して取り付けられており、一方内側の部分は、より小さな半径を持つ同心円の通路の上を通っている。ヒータ素子への結線は、ペデスタル12の軸を通って配線される。
[0072]典型的には、チャンバの内張りのいずれか或いはすべて、ガス注入口のマニホールドのフェースプレートと、様々な他のリアクタのハードウェアは、アルミニウム、陽極酸化処理されたアルミニウム、又はセラミックのような材料からできている。このようなCVD装置の例は、Zhaoらに発行された“CVD処理チャンバ”と題する共同譲渡された米国特許第5,558,717号に記載されており、この開示内容は本明細書に全体で援用されている。
[0073]リフト機構とモータ32(図6A)は、ウエハがチャンバ10の横に挿入/取り外しの開口26を通してロボットのブレード(図示せず)により、チャンバ15の本体の内外に搬送されるようにヒータペデスタルアセンブリ12とそのウエハリフトピン12bを上下する。モータ32は、処理位置14と下部ウエハ設置位置の間のペデスタル12を上下する。この幾つかのみが図示されている、モータ、供給ラインに接続されたバルブ或いはフローコントローラ、ガス分配システム、スロットルバルブ、RF電源、チャンバと基板加熱システムは、制御線を通してシステムコントローラによってすべて制御される。コントローラは、光学センサからのフィードバックに依存して、コントローラの制御によって適当なモータで移動させるスロットルバルブとサセプタのような可動型メカニカルアセンブリの位置を決定する。
[0074]例示的実施形態において、システムコントローラは、ハードディスクドライブ(メモリ)と、フロッピィディスクドライブと、プロセッサとを含んでいる。プロセッサは、シングルボードのコンピュータ(SBC)、アナログとディジタルの入/出力ボード、インタフェースボード、ステッパモータコントローラボードを含有している。CVDシステム10の様々な部分は、ボード、カードの入れ物、コネクタの寸法と種類を画成するVersaModular European(VME)標準に従っている。VME標準は、また、16ビットのデータバスと24ビットのアドレスバスを持つバス構造も画成している。
[0075]システムコントローラは、CVD装置のすべての活動を制御する。システムコントローラは、メモリーのようなコンピュータ読み出し媒体に記憶されるコンピュータプログラムであるシステム制御ソフトウェアを実行する。メモリがハードディスクドライブであることが好ましいが、メモリはまた他の種類のメモリであってもよい。コンピュータプログラムは、具体的なプロセスのタイミング、ガスの混合物、チャンバ圧、チャンバ温度、RF電力レベル、サセプタの位置、他のパラメータを示す指示命令の集合を含むものである。例えば、フロッピーディスク或いは他の適当なドライブを含む他の記憶装置に記憶された他のコンピュータプログラムもまたコントローラを操作するために用いることができる。
[0076]基板上に膜を堆積させるプロセス或いはチャンバ15を洗浄するプロセスは、コントローラによって実行されるコンピュータプログラム製品を用いて実施され得る。コンピュータプログラムコードは、いかなる従来のコンピュータ読み出しプログラム言語においても書かれることができる:例えば、68000アセンブリ言語、C、C++、パスカル、フォートラン等の言語。適当なプログラムコードは、従来のテキストエディタを用いて単一のファイル或いは複数のファイルに入れられ、コンピュータのメモリシステムのようなコンピュータ使用可能な媒体に記憶されるか又は具体化される。入力されたコードテキストが高いレベルの言語であれば、コードはコンパイルされ、得られたコンパイラコードは、次にあらかじめコンパイルされたマイクロソフトウィンドウズ(登録商標)のライブラリルーチンのオブジェクトコードとリンクされる。リンクされコンパイルされたオブジェクトコードを実行するために、システムユーザはメモリにおけるコードをコンピュータシステムにロードさせるオブジェクトコードを呼び出す。次にCPUは、プログラムに識別されたタスクを行うように読み出し実行する。
[0077]ユーザとコントローラの間のインタフェースは、一つ以上のチャンバを含むことができる基板処理システムにおけるシステムモニタとCVDシステム10の簡略化した図である図6Bに示されるCRTモニタ50aとライトペン50bを介して行われる。好ましい実施形態において、二つのモニタ50aが用いられ、一方は操作者のためにクリーンルームの壁に取り付けられ、他方はサービスを行う技術者のために壁の後ろに取り付けられる。モニタ50aは同じ情報を同時に表示するが、一つだけのライトペン50bが操作可能にされる。ライトペン50bの先端の光センサはCRTディスプレイにより放出される光を検知する。具体的なスクリーン或いは機能を選定するために、操作者は表示スクリーンの指定された場所に触れ、ペン50bのボタンを押す。触れられた部分はその表示された色を変化させ、或いは新しいメニュー或いはスクリーンが表示され、ライトペンと表示スクリーンの間の通信を確認する。キーボード、マウス、又は他のポインティング或いは通信装置のようなその他の装置がコントローラとユーザを通信可能にするライトペン50bの代わりに或いはそれに加えて用いられる。
[0078]図6Aは、ガス分配フェースプレート13aとガス分配マニホールド11を含むプロセスチャンバ15のリッドアセンブリ15b上に取り付けられる離れた場所のプラズマ生成器60を示す図である。取り付けアダプタ64は、図6Aに最適に見られるように、リッドアセンブリ15b上に離れた場所のプラズマ生成器60を取り付ける。アダプタ64は、典型的には、金属でできている。混合装置70は、ガス分配マニホールド11(図6A)の上流側に結合される。混合装置70は、プロセスガスを混合するための混合ブロックのスロットの内側に配置される混合挿入部72を含んでいる。セラミックのアイソレータ66は、取り付けアダプタ64と混合装置70(図6A)の間に置かれる。セラミックのアイソレータ66は、Al(純度99%)、Teflon(登録商標)等のセラミック材料でできていてもよい。取り付けられると、混合装置70とセラミックのアイソレータは、リッドアセンブリ15bの一部をなしている。アイソレータ66は、より詳細に以下に述べるように混合装置70とガス分配マニホールド11から金属アダプタ64を分離して第二プラズマに対する可能性を最小化してリッドアセンブリ15bに形成する。3方向バルブ77は、直接或いは離れた場所のプラズマ生成器60を通じてプロセスチャンバ15へのプロセスガスの流れを制御する。
[0079]離れた場所のプラズマ生成器は、リッドアセンブリ15b上に便宜的に取り付けられ且つお金と時間をかけた改修をすることなく現存するチャンバに容易に再組み込みされ得るコンパクトな内臓型の装置であることが望ましい。一つの適切な装置はマサチューセッツ州ウォーバーンのAppliedScience andTechnology社から入手可能なASTRON(登録商標)生成器である。ASTRON(登録商標)生成器は、低フィールドのトロイダルプラズマを用いて、プロセスガスを解離する。一例において、プラズマは、NFのようなフッ素含有ガスとアルゴンのようなキャリヤガスを含むプロセスガスを解離して、プロセスチャンバ15において膜堆積物を洗浄するのに用いられる遊離フッ素を生成する。
[0080]幾つかの実施形態を記載してきたが、本発明の精神から離れることなく様々な改良、別の構成、同等のものが用いられてもよいことが当業者によって認識される。更に、多数の周知のプロセスと構成要素が、本発明を不必要にあいまいにすることを避けるために記載されていない。従って、上の記載は本発明の範囲を制限するととられるべきでない。
[0081]値の範囲が示されるところでは、その範囲の上限と下限の間で、内容が明白に他のものとして記載されなければ、下限の単位の10分の1まで各中間の値もまた明確に開示されるということが理解される。記載された範囲のいかなる記載された値或いは間に入る値も範囲を記載したいかなる他の記載された値或いは間に入る値もとの間のそれぞれのより小さい範囲も包含される。これらのより小さい範囲の上限と下限は、独立して、その範囲に含まれても除外されてもよく、より小さい範囲に限界のいずれかが含まれるか、いずれもが含まれないか、双方が含まれる各々の範囲もまた、記載された範囲において特に排除された限界に従って、本発明に包含される。記載された範囲が限界の一方或いは双方を含む場合、これらの包含されるいずれか或いは双方を除く範囲もまた包含される。
[0082]本明細書に、また添付の特許請求の範囲に用いられるように、単数形“a”、“an”、“the”は、内容が明確に別に記載されない限り、複数の指示対象を含むものである。従って、例えば“amethod(一つの方法)”について述べることは、このような方法の複数を含み、“theprecursor(その前駆物質)”について述べることは一つ以上の前駆物質と当業者に知られるその等価物について述べることを包含する。
[0083]また、本明細書に、以下の特許請求の範囲に用いられる用語“comprise(構成する)”、“comprising(構成している)”、“include(複数形の含む)”、“including(含んでいる)”、“includes(単数形の含む)”は、記載された特徴、整数、構成要素、又はステップの存在を指定するためのものであるが、これらは一つ以上の他の特徴、整数、構成要素、ステップ、作用、又は基の存在或いは追加を排除しない。
10…CVDシステム、11…マニホールド、12…ペデスタル、12a…平らな表面、13a…ガス分配フェースプレート、13b…穴、15…プロセスチャンバ、15a…チャンバ壁、15b…チャンバリッドアセンブリ、16…オリフィス、17…排出プレナム、21…延長部、23…排出通路、24…遮断バルブ、25…排出口、32…モータ、50a…CRTモニタ、50b…ライトペン、60…離れた場所のプラズマ生成器、64…アダプタ、66…混合アイソレータ、70…混合装置、72…混合挿入部、77…三方向バルブ、502…基板、504…ギャップ、506a…酸化物層、506b…酸化物層、506c…酸化物層、508…一様な膜、510…酸化シリコンギャップ充填。

Claims (37)

  1. 基板上に形成されるギャップに誘電体層を堆積させる方法であって、方法が:
    有機シリコン前駆物質と酸素前駆物質を堆積チャンバに導入するステップであって、該有機シリコン前駆物質のC:Si原子比が8未満であり、該酸素前駆物質が該堆積チャンバの外で生成される原子状酸素を含む、前記ステップと;
    該前駆物質を反応させて、該ギャップ内に該誘電体層を形成するステップと;
    を含む、前記方法。
  2. 該有機シリコン前駆物質のO:Si比が3より大きい、請求項1に記載の方法。
  3. 該有機シリコン前駆物質のO:Si比が4より大きい、請求項1に記載の方法。
  4. 該有機シリコン前駆物質が、Si-O-Si結合を含む、請求項1に記載の方法。
  5. 該有機シリコン前駆物質が、シロキサンを含む、請求項1に記載の方法。
  6. 該シロキサンが、トリエトキシシロキサン,テトラメトキシシロキサン、トリメトキシシロキサン、ヘキサメトキシジシロキサン、オクタメトキシトリシロキサン、及びオクタメトキシドデカシロキサンからなる群より選ばれる、請求項5に記載の方法。
  7. 該有機シリコン前駆物質が、シラゾキサンを含む、請求項1に記載の方法。
  8. 該シラゾキサンが、ヘキサメトキシジシラゾキサン、メチルヘキサメトキシジシラゾキサン、クロロヘキサメトキシジシラゾキサン、ヘキサエトキシジシラゾキサン、オクタメトキシサイクリックシラゾキサン、及びノナメトキシトリシラゾキサンからなる群より選ばれる、請求項7に記載の方法。
  9. 該有機シリコン前駆物質が、ハロゲン化シロキサンを含む、請求項1に記載の方法。
  10. 該ハロゲン化シロキサンが、テトラクロロシラン、ジクロロジエトキシシロキサン、クロロトリエトキシシロキサン,ヘキサクロロジシロキサン、及びオクタクロロトリシロキサンからなる群より選ばれる、請求項9に記載の方法。
  11. 該有機シリコン前駆物質が、アミノシラン、アルキルジシラン、アルコキジシラン、アルコキシアルキルジシラン、アルコキシアセトキシジシラン、又はシクロシランを含む、請求項1に記載の方法。
  12. 該酸素前駆物質が、更に、分子酸素、オゾン、水、過酸化水素、又は二酸化窒素を含む、請求項1に記載の方法。
  13. 該原子状酸素が:
    アルゴンを含むガス混合物からプラズマを形成する工程と;
    該プラズマに酸素源を導入する工程であって、該酸素源が解離して、該原子状酸素を形成する、前記工程と;
    によって形成される、請求項1に記載の方法。
  14. 該酸素源が、分子酸素、オゾン又は二酸化窒素を含む、請求項13に記載の方法。
  15. 該方法が、該有機シリコンと酸素前駆物質から該堆積チャンバ内にプラズマを形成するステップを更に含む、請求項1に記載の方法。
  16. 該方法が、該誘電体層をエッチングして、該層内の炭素含量を低減させるステップを更に含む、請求項1に記載の方法。
  17. 該方法が、該ギャップ内の該誘電体層をアニールするステップを更に含む、請求項1に記載の方法。
  18. ギャップを誘電材料で充填する方法であって、該方法が:
    有機シリコン前駆物質と酸素前駆物質を堆積チャンバに供給するステップであって、該有機シリコン前駆物質のC:Si原子比が8未満である、前記ステップと;
    該堆積チャンバ内で該前駆物質からのプラズマを生成するステップであって、該プラズマが該ギャップ内に該誘電材料の第一部分を堆積させる、前記ステップと;
    該誘電材料の該第一部分をエッチングして、該材料中の炭素含量を低減させるステップと;
    該ギャップ内に該誘電材料の第二部分を堆積させるステップと;
    該ギャップ内の該誘電材料の該第一部分と第二部分をアニールするステップと;
    を含む、前記方法。
  19. 該有機シリコン前駆物質のC:Si比が、約6以下である、請求項18に記載の方法。
  20. 該有機シリコン前駆物質のO:Si比が、約3以上である、請求項18に記載の方法。
  21. 該有機シリコン前駆物質が、Si-O-Si結合を含む、請求項18に記載の方法。
  22. 該有機シリコン前駆物質が、シラゾキサンを含む、請求項18に記載の方法。
  23. 該方法が、シラゾキサン中のSi-N結合を酸化するステップと、Si-O結合を形成するステップを含む、請求項22に記載の方法。
  24. 該Si-N結合が、離れて生成された原子状酸素前駆物質で酸化される、請求項23に記載の方法。
  25. 該有機シリコン前駆物質が、ハロゲン化シロキサンを含む、請求項18に記載の方法。
  26. 該方法が、該ハロゲン化シロキサン中のSi-X結合を酸化するステップとSi-O結合を形成するステップであって、Xがハロゲン原子である、前記ステップとを含む、請求項25に記載の方法。
  27. 該方法が、該アニールの前に該誘電材料の該第二部分をエッチングするステップを含む、請求項18に記載の方法。
  28. 該酸素前駆物質が、該堆積チャンバの外で生成される原子状酸素を含む、請求項18に記載の方法。
  29. 基板上のギャップ内に誘電材料を堆積させ、アニールする方法であって、該方法が:
    有機シリコン前駆物質と酸素前駆物質を堆積チャンバに供給するステップであって、該有機シリコン前駆物質のC:Si原子比が8未満である、前記ステップと;
    該前駆物質を反応させて、該ギャップ内に該誘電材料を堆積させるステップと;
    堆積された該誘電材料に熱アニールを行うステップと;
    堆積された該誘電材料にプラズマアニールを行うステップと;
    を含む、前記方法。
  30. 該熱アニールが、該誘電材料を約300℃〜約600℃の温度に約1分〜約30分間加熱する工程を含む、請求項29に記載の方法。
  31. 該プラズマアニールが、該誘電材料を高密度プラズマに約1分〜約10分間曝す工程を含む、請求項29に記載の方法。
  32. 該有機シリコン前駆物質のC:Si比が、約6以下である、請求項29に記載の方法。
  33. 該有機シリコン前駆物質のO:Si比が、約3以上である、請求項29に記載の方法。
  34. 該有機シリコン前駆物質が、Si-O-Si結合を含む、請求項29に記載の方法。
  35. 該有機シリコン前駆物質が、シロキサンを含む、請求項29に記載の方法。
  36. 該有機シリコン前駆物質が、シラゾキサン或いはハロゲン化シロキサンを含む、請求項29に記載の方法。
  37. 該酸素前駆物質が、該堆積チャンバの外で生成される原子状酸素を含む、請求項29に記載の方法。
JP2009533455A 2006-10-16 2007-10-11 Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用 Active JP4987083B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/549,930 US7498273B2 (en) 2006-05-30 2006-10-16 Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US11/549,930 2006-10-16
PCT/US2007/081139 WO2008048862A2 (en) 2006-10-16 2007-10-11 Formation of high quality dielectric films of silicon dioxide for sti: usage of different siloxane-based precursors for harp ii - remote plasma enhanced deposition processes

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012104899A Division JP5444406B2 (ja) 2006-10-16 2012-05-01 Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用

Publications (3)

Publication Number Publication Date
JP2010507259A true JP2010507259A (ja) 2010-03-04
JP2010507259A5 JP2010507259A5 (ja) 2010-11-18
JP4987083B2 JP4987083B2 (ja) 2012-07-25

Family

ID=38983940

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009533455A Active JP4987083B2 (ja) 2006-10-16 2007-10-11 Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
JP2012104899A Active JP5444406B2 (ja) 2006-10-16 2012-05-01 Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012104899A Active JP5444406B2 (ja) 2006-10-16 2012-05-01 Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用

Country Status (7)

Country Link
US (1) US7498273B2 (ja)
EP (2) EP2082078A2 (ja)
JP (2) JP4987083B2 (ja)
KR (2) KR101329285B1 (ja)
CN (1) CN101528974B (ja)
TW (1) TWI349309B (ja)
WO (1) WO2008048862A2 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012049509A (ja) * 2010-07-29 2012-03-08 Tokyo Electron Ltd トレンチの埋め込み方法および成膜システム
JP2012138501A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd トレンチの埋め込み方法および成膜装置
JP2013175720A (ja) * 2012-01-24 2013-09-05 Fumihiko Hirose 薄膜形成方法および装置
WO2015045163A1 (ja) * 2013-09-30 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体
JP2018512727A (ja) * 2015-02-23 2018-05-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質薄膜を形成するための周期的連続処理
JP2019503590A (ja) * 2015-12-21 2019-02-07 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを用いた方法
JP2019507750A (ja) * 2016-02-12 2019-03-22 シースター ケミカルズ ユーエルシー 有機金属化合物及び方法
JP2021530730A (ja) * 2018-06-29 2021-11-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用

Families Citing this family (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7888273B1 (en) * 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
JP5024527B2 (ja) * 2007-03-27 2012-09-12 Jsr株式会社 膜形成方法、及びトレンチアイソレーションの形成方法
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101114582B1 (ko) 2009-08-31 2012-02-27 기아자동차주식회사 암레스트 일체형 리어 모니터 장착구조
US8222145B2 (en) * 2009-09-24 2012-07-17 Dupont Air Products Nanomaterials, Llc Method and composition for chemical mechanical planarization of a metal-containing substrate
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8551887B2 (en) 2009-12-22 2013-10-08 Air Products And Chemicals, Inc. Method for chemical mechanical planarization of a copper-containing substrate
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8361338B2 (en) * 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
JP5563860B2 (ja) * 2010-03-26 2014-07-30 東京エレクトロン株式会社 基板処理方法
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
TW201245289A (en) * 2011-03-11 2012-11-16 Dow Corning Polysilanesiloxane copolymers and method of converting to silicon dioxide
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
KR101432606B1 (ko) * 2011-07-15 2014-08-21 제일모직주식회사 갭필용 충전제, 이의 제조 방법 및 이를 사용한 반도체 캐패시터의 제조 방법
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
CN103890910B (zh) * 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8461016B2 (en) 2011-10-07 2013-06-11 Micron Technology, Inc. Integrated circuit devices and methods of forming memory array and peripheral circuitry isolation
US9809711B2 (en) 2012-01-17 2017-11-07 Versum Materials Us, Llc Catalyst and formulations comprising same for alkoxysilanes hydrolysis reaction in semiconductor process
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130243968A1 (en) 2012-03-16 2013-09-19 Air Products And Chemicals, Inc. Catalyst synthesis for organosilane sol-gel reactions
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
CN103515285B (zh) * 2012-06-28 2018-03-27 联华电子股份有限公司 半导体结构及其制作工艺
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
KR20140059107A (ko) 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
JP6415808B2 (ja) * 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6271583B2 (ja) * 2012-12-31 2018-01-31 エフ・イ−・アイ・カンパニー 高アスペクト比構造体内への材料の付着
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
SG11201505371UA (en) 2013-02-19 2015-09-29 Applied Materials Inc Hdd patterning using flowable cvd film
US10170297B2 (en) 2013-08-22 2019-01-01 Versum Materials Us, Llc Compositions and methods using same for flowable oxide deposition
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
CN105899711B (zh) * 2014-01-24 2020-01-07 应用材料公司 在无氧化剂情况下的含硅和氧的膜的沉积
KR101836417B1 (ko) * 2014-01-29 2018-03-09 어플라이드 머티어리얼스, 인코포레이티드 저온 경화 모듈러스 강화
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
SG11201703195QA (en) * 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9911935B2 (en) * 2015-09-04 2018-03-06 International Business Machines Corporation Transparent conducting oxide as top-electrode in perovskite solar cell by non-sputtering process
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9915866B2 (en) 2015-11-16 2018-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focused radiation beam induced deposition
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9812320B1 (en) * 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US11735413B2 (en) 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
KR102269470B1 (ko) * 2017-04-04 2021-06-24 어플라이드 머티어리얼스, 인코포레이티드 실리콘 갭충전을 위한 2-단계 프로세스
WO2018191484A1 (en) * 2017-04-13 2018-10-18 Applied Materials, Inc. Method and apparatus for deposition of low-k films
KR20230130177A (ko) * 2017-05-13 2023-09-11 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
JP7203515B2 (ja) 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2020047729A (ja) * 2018-09-18 2020-03-26 トヨタ自動車株式会社 半導体装置の製造方法
SG11202107377VA (en) 2019-01-24 2021-08-30 Applied Materials Inc Methods for depositing silicon nitride
JP7278146B2 (ja) * 2019-05-20 2023-05-19 東京エレクトロン株式会社 成膜方法
TWI823050B (zh) * 2020-02-19 2023-11-21 美商應用材料股份有限公司 無氫二氧化矽
US11594409B2 (en) * 2020-02-28 2023-02-28 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01235259A (ja) * 1988-03-16 1989-09-20 Toshiba Corp シリコン酸化膜の形成方法
JPH0714826A (ja) * 1994-01-17 1995-01-17 Toshiba Corp 薄膜形成装置
JPH0870042A (ja) * 1994-08-30 1996-03-12 Fujitsu Ltd 絶縁膜の形成方法
JPH09237785A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2004260192A (ja) * 2003-02-27 2004-09-16 Samsung Electronics Co Ltd シロキサン化合物を利用した二酸化シリコン膜の形成方法
JP2004282064A (ja) * 2003-03-17 2004-10-07 Asm Japan Kk 高周波電力を使ったプラズマ反応により半導体基板上に低比誘電率膜を形成するための方法
WO2005078784A1 (ja) * 2004-02-17 2005-08-25 Toagosei Co., Ltd. シリコン酸化膜の製造方法
WO2006019438A2 (en) * 2004-07-14 2006-02-23 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5587014A (en) * 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) * 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
JPH08153784A (ja) * 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH0945687A (ja) * 1995-07-26 1997-02-14 Ricoh Co Ltd 基板表面の平坦化方法
JPH09167766A (ja) * 1995-12-15 1997-06-24 Hitachi Ltd プラズマ化学気相成長装置及び半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) * 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) * 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
CN1185694C (zh) * 1999-06-26 2005-01-19 特利康控股有限公司 在基体上形成膜的方法和装置
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
JP2001160587A (ja) * 1999-12-03 2001-06-12 Hitachi Ltd 半導体集積回路装置の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
NL1019781C2 (nl) 2002-01-18 2003-07-21 Tno Deklaag alsmede werkwijzen en inrichtingen voor de vervaardiging daarvan.
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
ATE499458T1 (de) * 2002-04-17 2011-03-15 Air Prod & Chem Verfahren zur herstellung einer porösen sioch- schicht
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6828211B2 (en) * 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
TWI329135B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
WO2007140377A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
JP2009539268A (ja) 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01235259A (ja) * 1988-03-16 1989-09-20 Toshiba Corp シリコン酸化膜の形成方法
JPH0714826A (ja) * 1994-01-17 1995-01-17 Toshiba Corp 薄膜形成装置
JPH0870042A (ja) * 1994-08-30 1996-03-12 Fujitsu Ltd 絶縁膜の形成方法
JPH09237785A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2004260192A (ja) * 2003-02-27 2004-09-16 Samsung Electronics Co Ltd シロキサン化合物を利用した二酸化シリコン膜の形成方法
JP2004282064A (ja) * 2003-03-17 2004-10-07 Asm Japan Kk 高周波電力を使ったプラズマ反応により半導体基板上に低比誘電率膜を形成するための方法
WO2005078784A1 (ja) * 2004-02-17 2005-08-25 Toagosei Co., Ltd. シリコン酸化膜の製造方法
WO2006019438A2 (en) * 2004-07-14 2006-02-23 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012049509A (ja) * 2010-07-29 2012-03-08 Tokyo Electron Ltd トレンチの埋め込み方法および成膜システム
JP2012138501A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd トレンチの埋め込み方法および成膜装置
JP2013175720A (ja) * 2012-01-24 2013-09-05 Fumihiko Hirose 薄膜形成方法および装置
WO2015045163A1 (ja) * 2013-09-30 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体
JP6068661B2 (ja) * 2013-09-30 2017-01-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム
JP2018512727A (ja) * 2015-02-23 2018-05-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質薄膜を形成するための周期的連続処理
JP2019503590A (ja) * 2015-12-21 2019-02-07 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを用いた方法
JP2021093540A (ja) * 2015-12-21 2021-06-17 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを用いた方法
JP7139475B2 (ja) 2015-12-21 2022-09-20 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを用いた方法
JP2019507750A (ja) * 2016-02-12 2019-03-22 シースター ケミカルズ ユーエルシー 有機金属化合物及び方法
JP7072511B2 (ja) 2016-02-12 2022-05-20 シースター ケミカルズ ユーエルシー 有機金属化合物
US11802134B2 (en) 2016-02-12 2023-10-31 Seastar Chemicals Ulc Organometallic compound and method
JP2021530730A (ja) * 2018-06-29 2021-11-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用
JP7328264B2 (ja) 2018-06-29 2023-08-16 アプライド マテリアルズ インコーポレイテッド 光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用

Also Published As

Publication number Publication date
JP2012169658A (ja) 2012-09-06
EP2503022A1 (en) 2012-09-26
US7498273B2 (en) 2009-03-03
JP5444406B2 (ja) 2014-03-19
KR20090081396A (ko) 2009-07-28
US20070281495A1 (en) 2007-12-06
TW200828437A (en) 2008-07-01
KR20130114269A (ko) 2013-10-16
WO2008048862A2 (en) 2008-04-24
JP4987083B2 (ja) 2012-07-25
EP2082078A2 (en) 2009-07-29
WO2008048862A3 (en) 2008-10-09
CN101528974A (zh) 2009-09-09
TWI349309B (en) 2011-09-21
KR101329285B1 (ko) 2013-11-14
CN101528974B (zh) 2013-07-17

Similar Documents

Publication Publication Date Title
JP4987083B2 (ja) Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
JP5225268B2 (ja) 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7943531B2 (en) Methods for forming a silicon oxide layer over a substrate
KR101215033B1 (ko) 실리콘 함유 전구체 및 원자 산소를 이용하는 고품질플로우-형 실리콘 이산화물의 화학적 기상 증착
US7825044B2 (en) Curing methods for silicon dioxide multi-layers
JP5530062B2 (ja) 薄膜プロセスの方法
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
US20050136684A1 (en) Gap-fill techniques
KR20130014543A (ko) 라디칼 성분 cvd에 의한 컨포멀 층들
US7674684B2 (en) Deposition methods for releasing stress buildup

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100929

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100929

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120312

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120411

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120424

R150 Certificate of patent or registration of utility model

Ref document number: 4987083

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150511

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250