WO2015045163A1 - 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体 - Google Patents

半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体 Download PDF

Info

Publication number
WO2015045163A1
WO2015045163A1 PCT/JP2013/076571 JP2013076571W WO2015045163A1 WO 2015045163 A1 WO2015045163 A1 WO 2015045163A1 JP 2013076571 W JP2013076571 W JP 2013076571W WO 2015045163 A1 WO2015045163 A1 WO 2015045163A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
temperature
film
thin film
substrate
Prior art date
Application number
PCT/JP2013/076571
Other languages
English (en)
French (fr)
Inventor
野田 孝暁
慎吾 野原
島本 聡
芦原 洋司
花島 建夫
義朗 ▲ひろせ▼
司 鎌倉
Original Assignee
株式会社日立国際電気
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社日立国際電気 filed Critical 株式会社日立国際電気
Priority to KR1020167005514A priority Critical patent/KR101846850B1/ko
Priority to JP2015538807A priority patent/JP6068661B2/ja
Priority to PCT/JP2013/076571 priority patent/WO2015045163A1/ja
Priority to CN201380079276.7A priority patent/CN105493248B/zh
Publication of WO2015045163A1 publication Critical patent/WO2015045163A1/ja
Priority to US15/084,847 priority patent/US9673043B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment

Definitions

  • the present invention relates to a semiconductor device manufacturing method, a substrate processing apparatus, a substrate processing system, and a recording medium.
  • a process of manufacturing the semiconductor device a process of forming a thin film such as a silicon oxide film on the substrate by supplying a source gas containing a predetermined element such as silicon or an oxidizing gas to the substrate is performed. There is. At that time, for example, by using a catalyst gas, it is possible to form a film at a relatively low temperature, and it is possible to improve a thermal history received by the semiconductor device.
  • carbon may be included in the thin film to improve resistance to wet etching and to improve film quality, for example, to reduce the dielectric constant of the film.
  • An object of the present invention is to provide a method of manufacturing a semiconductor device, a substrate processing apparatus, a substrate processing system, and a recording medium capable of forming a low dielectric constant thin film having excellent etching resistance.
  • a method of manufacturing a semiconductor device having the above is provided.
  • a processing chamber for accommodating the substrate;
  • a raw material gas supply system for supplying a raw material gas containing a predetermined element, carbon, and a halogen element into the processing chamber and having a chemical bond between the predetermined element and carbon;
  • An oxidizing gas supply system for supplying an oxidizing gas into the processing chamber;
  • a catalyst gas supply system for supplying a catalyst gas into the processing chamber;
  • a heater for heating the substrate in the processing chamber;
  • the raw material gas supply system, the oxidizing gas supply system, and the catalyst gas supply system so as to perform a process of removing a second impurity different from the first impurity from the thin film after the heat treatment at A control unit for controlling the pre said heater, A substrate processing apparatus is provided.
  • a substrate processing system comprising: a first substrate processing unit that forms a thin film on a substrate; and a second substrate processing unit that heat-treats the thin film
  • the first substrate processing unit includes: A first processing chamber for accommodating a substrate; A source gas supply system that supplies a source gas containing a predetermined element, carbon, and a halogen element into the first processing chamber and having a chemical bond between the predetermined element and carbon; An oxidizing gas supply system for supplying an oxidizing gas into the first processing chamber; A catalyst gas supply system for supplying a catalyst gas into the first processing chamber; A process for supplying the source gas to the substrate in the first processing chamber; a process for supplying the oxidizing gas to the substrate in the first processing chamber; and the substrate in the first processing chamber.
  • the source gas supply system the process of forming a thin film containing the predetermined element, oxygen and carbon on the substrate by performing a cycle including the process of supplying the catalyst gas a predetermined number of times.
  • An oxidizing gas supply system and a first control unit for controlling the catalyst gas supply system The second substrate processing unit includes: A second processing chamber for accommodating a substrate; A heater for heating the substrate in the second processing chamber; By heat-treating the thin film at a first temperature higher than the temperature of the substrate in the process of forming the thin film in a state where the substrate on which the thin film is formed is accommodated in the second processing chamber, The first impurity is removed from the thin film after the heat treatment at the first temperature by removing the first impurity from the first heat treatment and heat treating the thin film at a second temperature equal to or higher than the first temperature.
  • a substrate processing system having a second control unit that controls the heater so as to perform a process of removing a second impurity different from the impurity.
  • Procedure and A computer-readable recording medium on which a program for causing a computer to execute is recorded is provided.
  • the present invention it is possible to provide a semiconductor device manufacturing method, a substrate processing apparatus, a substrate processing system, and a recording medium that can form a low dielectric constant thin film having excellent etching resistance.
  • FIG. 2 is a schematic configuration diagram of a vertical processing furnace of a substrate processing apparatus suitably used in the first embodiment of the present invention, and is a diagram showing a processing furnace part in a cross-sectional view taken along line AA of FIG.
  • the controller of the substrate processing apparatus used suitably by 1st Embodiment of this invention is a figure which shows the control system of a controller with a block diagram.
  • FIG. 7C is a diagram illustrating a sequence example of 1, and FIG. It is a figure which shows the film-forming flow in the film-forming sequence of 3rd Embodiment of this invention. It is a figure which shows the timing of the gas supply in the film-forming sequence of 3rd Embodiment of this invention, Comprising: (a) is a figure which shows the example of a sequence which forms a stack film, (b) is the sequence which forms a laminated film It is a figure which shows an example. It is a figure which shows the film-forming flow in the film-forming sequence of the modification of 3rd Embodiment of this invention.
  • FIG. 1 It is a figure which shows the timing of the gas supply in the film-forming sequence of the modification of 3rd Embodiment of this invention, and RF electric power supply, Comprising: (a) is a figure which shows the example of a sequence which forms a stack film, (b) These are figures which show the example of a sequence which forms a laminate film. (A)-(f) is a figure which shows the chemical structural formula of various silane used as source gas, and is a figure which shows the chemical structural formula of BTCSM, BTCSE, TCMDDS, DCTMDS, HCDS, BDEAS, respectively.
  • (A) to (f) are diagrams showing names, chemical composition formulas, chemical structural formulas, and acid dissociation constants of various amines used as a catalyst gas, and are respectively cyclic amine, TEA, DEA, MEA, TMA, It is a figure which shows the name of MMA, a chemical composition formula, a chemical structural formula, and an acid dissociation constant. It is a graph of the Example of this invention, (a) is a graph which shows the dielectric constant of the SiOC film before and behind heat processing, (b) is a graph which shows the wet etching rate of the SiOC film before and after heat processing.
  • (C) is a graph which shows the temperature dependence of the heat processing of the wet etching rate of a SiOC film
  • (a) is of H 2 O is a graph showing the desorption spectrum
  • (b) is a diagram showing the desorption spectrum of Cl
  • (c) is a graph showing the desorption spectrum of the C 2 H 2.
  • the processing furnace 202 has a heater 207 as heating means (heating mechanism).
  • the heater 207 has a cylindrical shape and is vertically installed by being supported by a heater base (not shown) as a holding plate.
  • the heater 207 also functions as an activation mechanism (excitation unit) that activates (excites) gas with heat as will be described later.
  • a reaction tube 203 is disposed inside the heater 207 concentrically with the heater 207.
  • the reaction tube 203 is made of a heat-resistant material such as quartz (SiO 2 ) or silicon carbide (SiC), and is formed in a cylindrical shape with the upper end closed and the lower end opened.
  • a manifold (inlet flange) 209 is disposed below the reaction tube 203 concentrically with the reaction tube 203.
  • the manifold 209 is made of a metal such as stainless steel, and is formed in a cylindrical shape having an upper end and a lower end opened. The upper end portion of the manifold 209 is engaged with the lower end portion of the reaction tube 203 and is configured to support the reaction tube 203.
  • An O-ring 220a as a seal member is provided between the manifold 209 and the reaction tube 203.
  • the reaction tube 203 As the manifold 209 is supported by the heater base, the reaction tube 203 is installed vertically.
  • the reaction vessel 203 and the manifold 209 mainly constitute a processing vessel (reaction vessel).
  • a processing chamber 201 is formed in a cylindrical hollow portion of the processing container, and is configured so that wafers 200 as substrates can be accommodated in a state of being aligned in multiple stages in a horizontal posture and in a vertical direction by a boat 217 described later.
  • nozzles 249a to 249c are provided so as to penetrate the side wall of the manifold 209.
  • Gas supply pipes 232a to 232c are connected to the nozzles 249a to 249c, respectively.
  • a plurality of gas supply pipes 232d to 232f are connected to the gas supply pipe 232a.
  • a plurality of gas supply pipes 232g and 232h are connected to the gas supply pipe 232b.
  • a gas supply pipe 232i is connected to the gas supply pipe 232c.
  • the processing container is provided with the three nozzles 249a to 249c and the plurality of gas supply pipes 232a to 232i so that a plurality of types of gases can be supplied into the processing chamber 201. It is configured.
  • a (SiCl 3 ) 2 CH 2 (BTCSM) gas supply source 242a as a source gas supply source is connected to the upstream end of the gas supply pipe 232a.
  • a (CH 3 ) 2 Si 2 Cl 4 (TCMDDS) gas supply source 242d as a source gas supply source is connected to the upstream end of the gas supply pipe 232d.
  • a Si 2 Cl 6 (HCDS) gas supply source 242e as a source gas supply source is connected to the upstream end of the gas supply pipe 232e.
  • a Si [N (C 2 H 5 ) 2 ] 2 H 2 (BDEAS) gas supply source 242f as a source gas supply source is connected to the upstream end of the gas supply pipe 232f.
  • an H 2 O gas supply source 242b as an oxidizing gas supply source is connected to the upstream end of the gas supply pipe 232b.
  • an O 3 gas supply source 242g as an oxidizing gas supply source is connected to the upstream end of the gas supply pipe 232g.
  • an O 2 gas supply source 242h as an oxidizing gas supply source is connected to the upstream end of the gas supply pipe 232h.
  • a C 5 H 5 N (pyridine) gas supply source 242c as a catalyst gas supply source is connected to the upstream end of the gas supply pipe 232c.
  • a (C 2 H 5 ) 3 N (TEA) gas supply source 242i as a catalyst gas supply source is connected to the upstream end of the gas supply pipe 232i.
  • N 2 gas supply sources 242j to 242l as inert gas supply sources are connected to upstream ends of the gas supply tubes 232j to 232l connected to the gas supply tubes 232a to 232c, respectively.
  • mass flow controllers MFCs
  • flow controllers flow controllers
  • valves that are on-off valves 243a to 243l are respectively provided.
  • the downstream ends of the gas supply pipes 232j to 232l are connected to the downstream sides of the valves 243a to 243c of the gas supply pipes 232a to 232c, respectively.
  • the downstream ends of the gas supply pipes 232d to 232f are also connected to the downstream side of the valve 243a of the gas supply pipe 232a.
  • the downstream ends of the gas supply pipes 232g and 232h are also connected to the downstream side of the valve 243b of the gas supply pipe 232b.
  • the downstream end of the gas supply pipe 232i is also connected to the downstream side of the valve 243c of the gas supply pipe 232c.
  • the nozzles 249a and 249c described above are connected to the distal ends of the gas supply pipes 232a and 232c, respectively. As shown in FIG. 2, the nozzles 249 a and 249 c are arranged in an annular space between the inner wall of the reaction tube 203 and the wafer 200, along the upper portion from the lower portion of the inner wall of the reaction tube 203 in the stacking direction of the wafer 200. It is provided to stand up towards each. In other words, the nozzles 249a and 249c are respectively provided along the wafer arrangement area in areas horizontally surrounding the wafer arrangement area on the side of the wafer arrangement area where the wafers 200 are arranged.
  • the nozzles 249a and 249c are respectively configured as L-shaped long nozzles, and their horizontal portions are provided so as to penetrate the side wall of the manifold 209, and each vertical portion thereof is at least one end of the wafer arrangement region. It is provided so as to rise from the side toward the other end side.
  • Gas supply holes 250a and 250c for supplying gas are provided on the side surfaces of the nozzles 249a and 249c, respectively. As shown in FIG. 2, the gas supply holes 250 a and 250 c are opened so as to face the center of the reaction tube 203, and gas can be supplied toward the wafer 200.
  • a plurality of these gas supply holes 250a and 250c are provided from the bottom to the top of the reaction tube 203, each having the same opening area, and further provided at the same opening pitch.
  • the nozzle 249b is connected to the tip of the gas supply pipe 232b.
  • the nozzle 249b is provided in a buffer chamber 237 that is a gas dispersion space.
  • the buffer chamber 237 is formed in an annular space between the inner wall of the reaction tube 203 and the wafer 200, and in a portion extending from the lower portion to the upper portion of the inner wall of the reaction tube 203 in the loading direction of the wafer 200. It is provided along. That is, the buffer chamber 237 is provided on the side of the wafer arrangement area, in a region that horizontally surrounds the wafer arrangement area, along the wafer arrangement area.
  • a gas supply hole 250 d for supplying a gas is provided at the end of the wall of the buffer chamber 237 adjacent to the wafer 200.
  • the gas supply hole 250 d is opened so as to face the center of the reaction tube 203, and gas can be supplied toward the wafer 200.
  • a plurality of gas supply holes 250d are provided from the bottom to the top of the reaction tube 203, each having the same opening area, and further provided at the same opening pitch.
  • the nozzle 249 b is mounted on the end of the buffer chamber 237 opposite to the end where the gas supply hole 250 d is provided, along the upper part of the inner wall of the reaction tube 203 and the upper part thereof. It is provided so as to rise upward in the direction. That is, the nozzle 249b is provided along the wafer arrangement region in a region that horizontally surrounds the wafer arrangement region on the side of the wafer arrangement region where the wafers 200 are arranged.
  • the nozzle 249b is configured as an L-shaped long nozzle, and its horizontal portion is provided so as to penetrate the side wall of the manifold 209, and its vertical portion is at least from one end side to the other end side of the wafer arrangement region. It is provided to stand up.
  • a gas supply hole 250b for supplying gas is provided on the side surface of the nozzle 249b. As shown in FIG. 2, the gas supply hole 250 b is opened to face the center of the buffer chamber 237. Similar to the gas supply hole 250 d of the buffer chamber 237, a plurality of the gas supply holes 250 b are provided from the lower part to the upper part of the reaction tube 203. Each of the plurality of gas supply holes 250b has the same opening area from the upstream side (lower part) to the downstream side (upper part) when the differential pressure in the buffer chamber 237 and the processing chamber 201 is small. However, when the differential pressure is large, the opening area may be increased from the upstream side to the downstream side, or the opening pitch may be decreased.
  • each gas supply hole 250b by adjusting the opening area and opening pitch of each gas supply hole 250b from the upstream side to the downstream side as described above, first, there is a difference in flow velocity from each of the gas supply holes 250b.
  • the gas with the same flow rate is ejected.
  • the gas ejected from each of the gas supply holes 250b is once introduced into the buffer chamber 237, and the flow velocity difference of the gas is made uniform in the buffer chamber 237. That is, the gas jetted into the buffer chamber 237 from each of the gas supply holes 250b is jetted into the processing chamber 201 from the gas supply holes 250d after the particle velocity of each gas is reduced in the buffer chamber 237.
  • the gas ejected into the buffer chamber 237 from each of the gas supply holes 250b becomes a gas having a uniform flow rate and flow velocity when ejected into the processing chamber 201 from each of the gas supply holes 250d.
  • an annular vertically elongated space defined by the inner wall of the reaction tube 203 and the ends of the plurality of stacked wafers 200.
  • gas is transferred via nozzles 249a to 249c and buffer chamber 237 disposed in the cylindrical space, and wafers are supplied from gas supply holes 250a to 250d opened in nozzles 249a to 249c and buffer chamber 237, respectively.
  • the gas is first ejected into the reaction tube 203 in the vicinity of 200, and the main flow of the gas in the reaction tube 203 is set in a direction parallel to the surface of the wafer 200, that is, in the horizontal direction.
  • the gas flowing on the surface of the wafer 200 that is, the residual gas after the reaction flows toward the exhaust port, that is, the direction of the exhaust pipe 231 described later.
  • the direction of the flow of the residual gas depends on the position of the exhaust port. And is not limited to the vertical direction.
  • the gas supply pipe 232a includes silicon (Si), carbon (C), and halogen elements (fluorine (F), chlorine (Cl), bromine (Br), etc.) as predetermined elements, and the chemistry of the predetermined element and carbon.
  • a source gas having a bond Si—C bond
  • a chlorosilane-based source gas containing a methylene group which is a source gas containing Si, a methylene group as an alkylene group, and a chloro group as a halogen group, is an MFC 241a, valve 243a and the nozzle 249a are supplied into the processing chamber 201.
  • the chlorosilane-based source gas containing a methylene group is a silane-based source gas containing a methylene group and a chloro group, and is a source gas containing at least Si, a methylene group containing C, and Cl as a halogen element. That is.
  • methylenebis (trichlorosilane) that is, bis (trichlorosilyl) methane ((SiCl 3 ) 2 CH 2 , abbreviation: BTCSM) gas is used.
  • BTCSM methylenebis (trichlorosilane)
  • BTCSM bis (trichlorosilyl) methane
  • BTCSM contains a methylene group as an alkylene group in its chemical structural formula (in one molecule).
  • methylene group contained in BTCSM two bonds are bonded to Si, respectively, to form a Si—C—Si bond.
  • the Si—C bond included in the source gas is, for example, a part of the Si—C—Si bond included in BTCSM, and the methylene group included in BTCSM includes C constituting the Si—C bond.
  • the source gas containing Si, C and a halogen element and having a Si—C bond includes, for example, Si, an ethylene group as an alkylene group, and a chlorosilane containing an ethylene group that is a source gas containing a chloro group as a halogen group.
  • System material gas is included.
  • the chlorosilane-based source gas containing an ethylene group include ethylene bis (trichlorosilane), that is, 1,2-bis (trichlorosilyl) ethane ((SiCl 3 ) 2 C 2 H 4 , abbreviation: BTCSE) gas, and the like. Can be used.
  • BTCSE includes an ethylene group as an alkylene group in its chemical structural formula (in one molecule).
  • ethylene group contained in BTCSE two bonds are bonded to Si, respectively, to form a Si—C—C—Si bond.
  • the Si—C bond included in the source gas is, for example, a part of the Si—C—C—Si bond included in BTCSE, and the ethylene group included in BTCSE contains C constituting the Si—C bond.
  • the alkylene group is a functional group obtained by removing two hydrogen (H) atoms from a chain saturated hydrocarbon (alkane) represented by the general formula C n H 2n + 2 , and represented by the general formula C n H 2n. Is an assembly of atoms.
  • the alkylene group includes a propylene group and a butylene group in addition to the methylene group and ethylene group mentioned above.
  • the source gas containing Si, C and a halogen element and having a Si—C bond includes an alkylenehalosilane-based source gas containing Si, an alkylene group and a halogen element.
  • the alkylene halosilane-based source gas is a halosilane-based source gas containing an alkylene group.
  • an alkylene halosilane-based source gas is maintained in a state where many halogen elements are bonded to Si bonds in the halosilane-based source gas. It can be said that the gas has a structure in which a group is introduced.
  • BTCSM gas, BTCSE gas, and the like are included in the alkylenehalosilane-based source gas.
  • a chlorosilane-based source gas containing a methyl group which is a source gas containing a methyl group as an alkyl group and a chloro group as a halogen group, is supplied into the processing chamber 201 through the MFC 241d, the valve 243d, and the nozzle 249a.
  • the chlorosilane-based source gas containing a methyl group is a silane-based source gas containing a methyl group and a chloro group, and includes at least Si, a methyl group containing C, and Cl as a halogen element. It is a raw material gas.
  • a chlorosilane-based source gas containing a methyl group supplied from the gas supply pipe 232d for example, 1,1,2,2-tetrachloro-1,2-dimethyldisilane ((CH 3 ) 2 Si 2 Cl 4 , abbreviation : TCMDDS) gas can be used.
  • TCDMDS contains two methyl groups as alkyl groups in its chemical structural formula (in one molecule). In the two methyl groups contained in TCDMDS, each bond is bonded to Si to form a Si—C bond.
  • the Si—C bond included in the source gas is, for example, an Si—C bond included in TCMDDS, and two methyl groups included in TCMDDS each include C constituting the Si—C bond.
  • chlorosilane-based source gas containing a methyl group includes another source gas different from the TCDMDS gas.
  • chlorosilane-based source gas containing a methyl group examples include 1,2-dichloro-1,1,2,2-tetramethyldisilane ((CH 3 ) 4 Si 2 Cl 2 , abbreviation: DCTMDS) gas. Can be used.
  • DCTMDS includes four methyl groups as alkyl groups in its chemical structural formula (in one molecule). In the four methyl groups contained in DCTMDS, each bond is bonded to Si to form a Si—C bond.
  • the Si—C bond included in the source gas is, for example, an Si—C bond included in DCTMDS, and the four methyl groups included in DCTMDS each include C constituting the Si—C bond.
  • the alkyl group is a functional group obtained by removing one H atom from a chain saturated hydrocarbon (alkane) represented by the general formula C n H 2n + 2 , and is an atom of the atom represented by the general formula C n H 2n + 1. It is an aggregate.
  • the alkyl group includes an ethyl group, a propyl group, a butyl group and the like in addition to the methyl group listed above.
  • the source gas containing Si, C and a halogen element and having a Si—C bond includes an alkylhalosilane-based source gas containing Si, an alkyl group, and a halogen element.
  • the alkylhalosilane-based source gas is a halosilane-based source gas containing an alkyl group, and can be said to be a gas having a structure in which some halogen groups of the halosilane-based source gas are replaced with alkyl groups.
  • TCMDDS gas, DCTMDS gas, and the like are included in the alkylhalosilane-based source gas.
  • a source gas containing silicon (Si) as a predetermined element and a halogen element for example, a chlorosilane-based source gas, which is a source gas containing Si and a chloro group as a halogen group, is an MFC 241e and a valve 243e.
  • the chlorosilane-based source gas is a silane-based source gas containing a chloro group, and is a source gas containing at least Si and Cl as a halogen element. That is, it can be said that the chlorosilane-based raw material here is a kind of halide.
  • the chlorosilane-based source gas supplied from the gas supply pipe 232e for example, hexachlorodisilane (Si 2 Cl 6 , abbreviation: HCDS) gas can be used.
  • HCDS contains Si and chloro groups in its chemical structural formula (in one molecule).
  • the source gas containing Si and a halogen element includes HCDS gas, tetrachlorosilane, that is, silicon tetrachloride (SiCl 4 , abbreviation: STC) gas, trichlorosilane (SiHCl 3 , abbreviation: TCS) gas, dichlorosilane.
  • An inorganic source gas such as (SiH 2 Cl 2 , abbreviation: DCS) gas, monochlorosilane (SiH 3 Cl, abbreviation: MCS) gas, or the like can be used.
  • a source gas containing silicon (Si), carbon (C), and nitrogen (N) as predetermined elements and having a chemical bond (Si—N bond) between the predetermined element and nitrogen for example,
  • An aminosilane-based source gas that is a source gas containing Si and an amino group (amine group) is supplied into the processing chamber 201 through the MFC 241f, the valve 243f, and the nozzle 249a.
  • the aminosilane-based source gas is a silane-based source gas containing an amino group, and is a source gas containing at least Si and an amino group containing C and N.
  • aminosilane-based source gas supplied from the gas supply pipe 232f for example, bis (diethylamino) silane (Si [N (C 2 H 5 ) 2 ] 2 H 2 , abbreviation: BDEAS) gas can be used.
  • BDEAS bis (diethylamino) silane
  • BDEAS contains Si and an amino group in its chemical structural formula (in one molecule).
  • source gases containing Si, C and N and having Si—N bonds besides BDEAS gas, tris (diethylamino) silane (SiH [N (C 2 H 5 ) 2 ] 3 , abbreviation: 3DEAS) gas, Tetrakis (diethylamino) silane (Si [N (C 2 H 5 ) 2 ] 4 , abbreviation: 4DEAS) gas, tris (dimethylamino) silane (Si [N (CH 3 ) 2 ] 3 H, abbreviation: 3DMAS) gas, An organic source gas such as tetrakis (dimethylamino) silane (Si [N (CH 3 ) 2 ] 4 , abbreviation: 4DMAS) gas can be used.
  • the chlorosilane-based raw material gas supplied from the gas supply pipes 232a, 232d, and 232e is a gas obtained by vaporizing a chlorosilane-based raw material in a gaseous state, for example, a chlorosilane-based raw material that is in a liquid state at normal temperature and pressure. Or a chlorosilane-based raw material that is in a gaseous state at normal temperature and pressure.
  • the aminosilane-based source gas supplied from the gas supply pipe 232f is a gas-state aminosilane-based source, for example, a gas obtained by vaporizing an aminosilane-based source that is in a liquid state at room temperature and normal pressure, Aminosilane-based raw material that is in a gaseous state.
  • a gas-state aminosilane-based source for example, a gas obtained by vaporizing an aminosilane-based source that is in a liquid state at room temperature and normal pressure, Aminosilane-based raw material that is in a gaseous state.
  • raw material when the word “raw material” is used, it means “a liquid raw material in a liquid state”, “a raw material gas in a gas state”, or both. There is a case.
  • chlorosilane-based raw material when used in the present specification, it means “a chlorosilane-based raw material in a liquid state”, “a chlorosilane-based raw material gas in a gaseous state”, or It may mean both. Further, in the present specification, when the term “aminosilane-based material” is used, it means “aminosilane-based material in a liquid state”, “aminosilane-based material gas in a gas state”, or It may mean both.
  • liquid raw material that is in a liquid state at room temperature and normal pressure
  • a liquid raw material that is in a liquid state at room temperature and normal pressure
  • the liquid raw material is vaporized by a vaporization system such as a vaporizer or bubbler, and the raw material gas ( BTCSM gas, BTCSE gas, TCMDDS gas, DCTMDS gas, HCDS gas, BDEAS gas).
  • a gas containing oxygen (O) oxygen-containing gas
  • O oxygen-containing gas
  • the gas supply pipe 232b oxygen-containing gas
  • the oxidizing gas supplied from the gas supply pipe 232b for example, water vapor (H 2 O gas) can be used.
  • H 2 O gas oxygen (O 2 ) gas and hydrogen (H 2 ) gas are supplied to an external combustion apparatus (not shown) and burned to generate and supply H 2 O gas. It is good.
  • a gas containing O oxygen-containing gas
  • ozone (O 3 ) gas can be used as the oxidizing gas supplied from the gas supply pipe 232g.
  • an O-containing gas oxygen-containing gas
  • oxygen (O 2 ) gas can be used as an oxidizing gas into the processing chamber 201 via the MFC 241h, the valve 243h, the nozzle 249b, and the buffer chamber 237.
  • oxygen (O 2 ) gas can be used as an oxidizing gas supplied from the gas supply pipe 232h.
  • the surface of the wafer 200 or the O—H bond strength of the H 2 O gas is weakened by the catalytic action, the decomposition of the source gas is promoted, and the oxidation of the H 2 O gas or the like
  • an amine-based gas containing carbon (C), nitrogen (N), and hydrogen (H) is supplied into the processing chamber 201 through the MFC 241c, the valve 243c, and the nozzle 249c as the catalyst gas that promotes the oxidation reaction by the gas.
  • the amine-based gas is a gas containing an amine in which at least one of hydrogen atoms of ammonia (NH 3 ) is substituted with a hydrocarbon group such as an alkyl group.
  • the various amines used as the catalyst gas include, for example, N having a lone pair and an acid dissociation constant (hereinafter also referred to as pKa) of about 5 to 11.
  • the acid dissociation constant (pKa) is one of the indexes that quantitatively represent the strength of the acid
  • the equilibrium constant Ka in the dissociation reaction in which hydrogen ions are released from the acid is represented by a negative common logarithm. It is.
  • Such amine-based gases include cyclic amine-based gases in which hydrocarbon groups are cyclic, and chained amine-based gases in which hydrocarbon groups are chained.
  • a pyridine (C 5 H 5 N) gas that is a cyclic amine-based gas can be used as the amine-based gas supplied from the gas supply pipe 232c.
  • an amine-based gas containing C, N, and H is supplied into the processing chamber 201 through the MFC 241i, the valve 243i, and the nozzle 249c as a catalyst gas having the same catalytic action as described above.
  • the amine-based gas supplied from the gas supply pipe 232i for example, a triethylamine ((C 2 H 5 ) 3 N, abbreviated as TEA) gas that is a chain amine-based gas can be used.
  • amine-based gases as catalyst gases can be said to be amine-based catalyst gases.
  • nitrogen (N 2 ) gas as an inert gas passes through the MFCs 241j to 241l, valves 243j to 243l, gas supply pipes 232a to 232c, nozzles 249a to 249c, and buffer chamber 237, respectively. Is supplied into the processing chamber 201.
  • the N 2 gas as the inert gas also acts as a purge gas and an oxygen-free gas that does not contain oxygen (O) that generates an oxygen-free atmosphere described later. Further, when N 2 gas is used as an oxygen-free gas, the N 2 gas may also act as a heat treatment gas or an annealing gas.
  • Such inert gas, purge gas, and oxygen-free gas include, for example, N 2 gas, and rare gases such as argon (Ar) gas, helium (He) gas, neon (Ne) gas, and xenon (Xe) gas. including.
  • the source gas is mainly supplied from the gas supply pipes 232a, 232d, 232e, 232f, MFCs 241a, 241d, 241e, 241f, and valves 243a, 243d, 243e, 243f.
  • a source gas supply system to be supplied is configured.
  • the nozzle 249a, the BTCSM gas supply source 242a, the TCDMDS gas supply source 242d, the HCDS gas supply source 242e, and the BDEAS gas supply source 242f may be included in the source gas supply system.
  • the source gas supply system can also be referred to as a source supply system.
  • the source gas supply system is regarded as an assembly of a plurality of supply lines (supply systems) that supply a plurality of types of source gases that are element sources of different elements and a plurality of types of source gases that have different molecular structures.
  • the source gas supply system includes a BTCSM gas supply line mainly composed of the gas supply pipe 232a, the MFC 241a and the valve 243a, and a TCDMDS gas supply line mainly composed of the gas supply pipe 232d, the MFC 241d and the valve 243d, It can be said that this is an aggregate of an HCDS gas supply line mainly composed of the gas supply pipe 232e, the MFC 241e and the valve 243e and a BDEAS gas supply line mainly composed of the gas supply pipe 232f, the MFC 241f and the valve 243f.
  • the individual supply lines may include the nozzle 249a and the corresponding source gas supply sources 242a, 242d, 242e, and 242f.
  • the plurality of supply lines constituting the source gas supply system are configured to supply a plurality of types of source gases serving as element sources for different elements and a plurality of types of source gases having different molecular structures, respectively.
  • Each source gas has a different molecular structure, that is, a different chemical structural formula.
  • the composition and components of each source gas may be different.
  • Source gases having different molecular structures have different chemical properties. Therefore, as will be described later, by appropriately selecting the type of the source gas according to the desired film forming process, various composition ratios and film quality thin films can be used for a single substrate processing apparatus. It becomes possible to form with good reproducibility.
  • an oxidizing gas supply system is mainly constituted by the gas supply pipes 232b, 232g, 232h, the MFCs 241b, 241g, 241h, and the valves 243b, 243g, 243h.
  • the nozzle 249b, the buffer chamber 237, the H 2 O gas supply source 242b, the O 3 gas supply source 242g, and the O 2 gas supply source 242h may be included in the oxidizing gas supply system.
  • the oxidizing gas supply system can also be referred to as an oxidant supply system.
  • the oxidizing gas supply system can also be regarded as an assembly of a plurality of supply lines (supply systems) that supply a plurality of types of oxidizing gases having different molecular structures.
  • the oxidizing gas supply system includes an H 2 O gas supply line mainly composed of the gas supply pipe 232b, the MFC 241b and the valve 243b, and an O 3 gas supply mainly composed of the gas supply pipe 232g, the MFC 241g and the valve 243g. It can be said that this is an assembly of a line and an O 2 gas supply line mainly composed of a gas supply pipe 232h, an MFC 241h, and a valve 243h.
  • the individual supply lines may include the nozzle 249b, the buffer chamber 237, and the corresponding oxidizing gas supply sources 242b, 242g, and 242h.
  • the plurality of supply lines constituting the oxidizing gas supply system are configured to supply a plurality of types of oxidizing gases having different molecular structures, respectively.
  • Each oxidizing gas has a different molecular structure, that is, a different chemical structural formula.
  • the composition and components of each oxidizing gas may be different.
  • Oxidizing gases having different molecular structures have different chemical properties. Therefore, for example, by appropriately selecting the type of oxidizing gas according to the desired film forming process, various composition ratios and film quality thin films can be used for general purpose and with good reproducibility in one substrate processing apparatus. It becomes possible to form.
  • a catalyst gas supply system is mainly configured by the gas supply pipes 232c and 232i, the MFCs 241c and 241i, and the valves 243c and 243i.
  • the nozzle 249c, the pyridine gas supply source 242c, and the TEA gas supply source 242i may be included in the catalyst gas supply system.
  • the catalyst gas supply system can also be regarded as an assembly of a plurality of supply lines (supply systems) for supplying a plurality of types of catalyst gases having different molecular structures.
  • the catalyst gas supply system includes a pyridine gas supply line mainly configured by the gas supply pipe 232c, the MFC 241c, and the valve 243c, and a TEA gas supply line mainly configured by the gas supply pipe 232i, the MFC 241i, and the valve 243i, It can be said that it is an aggregate of.
  • the individual supply lines may include the nozzle 249c and the corresponding catalyst gas supply sources 242c and 242i.
  • the pyridine gas and TEA gas mentioned above can be said to be amine-based gas as a catalyst, that is, amine-based catalyst gas, as will be described later.
  • the catalyst gas supply system for supplying various amine catalyst gases is also referred to as an amine catalyst gas supply system.
  • the plurality of supply lines constituting the catalyst gas supply system are configured to respectively supply a plurality of types of catalyst gases having different molecular structures.
  • Each catalyst gas has a different molecular structure, that is, a different chemical structural formula.
  • the composition and components of each catalyst gas may be different.
  • the catalyst gases having different molecular structures have different chemical properties. Therefore, as will be described later, by appropriately selecting the type of the catalyst gas according to the desired film forming process, various composition ratios and film-quality thin films can be used for one substrate processing apparatus. It becomes possible to form with good reproducibility.
  • an inert gas supply system is mainly configured by the gas supply pipes 232j to 232l, the MFCs 241j to 241l, and the valves 243j to 243l.
  • the nozzles 249a to 249c, the buffer chamber 237, and the N 2 gas supply sources 242j to 242l are considered to be included in the inert gas supply system on the downstream side of the gas supply pipes 232a to 232c connected to the gas supply pipes 232j to 232l. May be.
  • the inert gas supply system can be regarded as an assembly of a plurality of supply lines.
  • the inert gas supply system includes an inert gas supply line mainly including the gas supply pipe 232j, the MFC 241j, and the valve 243j, and an inert gas supply mainly including the gas supply pipe 232k, the MFC 241k, and the valve 243k. It can be said that this is an assembly of a line and an inert gas supply line mainly composed of a gas supply pipe 232l, an MFC 241l, and a valve 243l.
  • the inert gas supply system also functions as a purge gas supply system and an oxygen-free gas supply system. Note that the oxygen-free gas supply system constitutes a part of an atmosphere generation unit that generates an oxygen-free atmosphere described later.
  • two rod-shaped electrodes 269 and 270 made of a conductor and having an elongated structure are arranged along the stacking direction of the wafer 200 from the lower part to the upper part of the reaction tube 203. It is installed. Each of the rod-shaped electrodes 269 and 270 is provided in parallel with the nozzle 249d. Each of the rod-shaped electrodes 269 and 270 is protected by being covered with an electrode protection tube 275 from the upper part to the lower part.
  • One of the rod-shaped electrodes 269 and 270 is connected to the high-frequency power source 273 via the matching unit 272, and the other is connected to the ground that is the reference potential.
  • the rod-shaped electrodes 269 and 270 and the electrode protection tube 275 mainly constitute a plasma source as a plasma generator (plasma generator).
  • the matching device 272 and the high-frequency power source 273 may be included in the plasma source.
  • the plasma source functions as an activation mechanism (excitation unit) that activates (excites) gas into a plasma state.
  • the electrode protection tube 275 has a structure in which each of the rod-shaped electrodes 269 and 270 can be inserted into the buffer chamber 237 while being isolated from the atmosphere in the buffer chamber 237.
  • the rod-shaped electrodes 269 and 270 inserted into the electrode protection tube 275 are oxidized by heat from the heater 207. Will be. Therefore, the inside of the electrode protection tube 275 is filled with an inert gas such as N 2 gas, or the inside of the electrode protection tube 275 is purged with an inert gas such as N 2 gas using an inert gas purge mechanism.
  • the oxygen concentration inside the electrode protection tube 275 can be reduced, and the oxidation of the rod-shaped electrodes 269 and 270 can be suppressed.
  • the reaction tube 203 is provided with an exhaust pipe 231 for exhausting the atmosphere in the processing chamber 201.
  • the exhaust pipe 231 is connected to a pressure sensor 245 as a pressure detector (pressure detection unit) for detecting the pressure in the processing chamber 201 and an APC (Auto Pressure Controller) valve 244 as a pressure regulator (pressure adjustment unit).
  • a vacuum pump 246 as a vacuum exhaust device is connected.
  • the APC valve 244 can perform vacuum evacuation and vacuum evacuation stop in the processing chamber 201 by opening and closing the valve with the vacuum pump 246 activated, and further, with the vacuum pump 246 activated,
  • the valve is configured such that the pressure in the processing chamber 201 can be adjusted by adjusting the valve opening based on the pressure information detected by the pressure sensor 245.
  • An exhaust system is mainly configured by the exhaust pipe 231, the APC valve 244, and the pressure sensor 245.
  • the vacuum pump 246 may be included in the exhaust system.
  • the exhaust pipe 231 is not limited to being provided in the reaction pipe 203, and may be provided in the manifold 209 in the same manner as the nozzles 249a to 249c.
  • an atmosphere generation unit that generates an oxygen-free atmosphere in the processing chamber 201 is mainly configured by the exhaust system and the oxygen-free gas supply system.
  • the exhaust system evacuates the inside of the processing chamber 201 alone or in cooperation with an oxygen-free gas supply system that supplies an oxygen-free gas to the wafer 200 in the processing chamber 201,
  • the atmosphere in the processing chamber 201 is an oxygen-free atmosphere.
  • a seal cap 219 is provided as a furnace opening lid capable of airtightly closing the lower end opening of the manifold 209.
  • the seal cap 219 is configured to contact the lower end of the manifold 209 from the lower side in the vertical direction.
  • the seal cap 219 is made of a metal such as stainless steel and has a disk shape.
  • an O-ring 220b is provided as a seal member that comes into contact with the lower end of the manifold 209.
  • a rotation mechanism 267 for rotating a boat 217 described later is installed on the opposite side of the seal cap 219 from the processing chamber 201.
  • a rotation shaft 255 of the rotation mechanism 267 passes through the seal cap 219 and is connected to the boat 217.
  • the rotation mechanism 267 is configured to rotate the wafer 200 by rotating the boat 217.
  • the seal cap 219 is configured to be lifted and lowered in the vertical direction by a boat elevator 115 as a lifting mechanism vertically installed outside the reaction tube 203.
  • the boat elevator 115 is configured so that the boat 217 can be carried in and out of the processing chamber 201 by moving the seal cap 219 up and down. That is, the boat elevator 115 is configured as a transfer device (transfer mechanism) that transfers the boat 217 and the wafer 200 supported by the boat 217 into and out of the processing chamber 201.
  • the boat 217 as a substrate support is made of a heat-resistant material such as quartz or silicon carbide, and supports a plurality of wafers 200 in a horizontal posture and aligned in a state where the centers are aligned with each other in multiple stages. It is configured.
  • a heat insulating member 218 made of a heat resistant material such as quartz or silicon carbide is provided below the boat 217 so that heat from the heater 207 is not easily transmitted to the seal cap 219 side.
  • the heat insulating member 218 may be configured by a plurality of heat insulating plates made of a heat resistant material such as quartz or silicon carbide, and a heat insulating plate holder that supports these heat insulating plates in multiple stages in a horizontal posture.
  • a temperature sensor 263 as a temperature detector is installed in the reaction tube 203, and the temperature in the processing chamber 201 is adjusted by adjusting the power supply to the heater 207 based on the temperature information detected by the temperature sensor 263. It is configured to have a desired temperature distribution.
  • the temperature sensor 263 is configured in an L shape similarly to the nozzles 249a to 249c, and is provided along the inner wall of the reaction tube 203.
  • the controller 121 which is a control unit (control means), is configured as a computer having a CPU (Central Processing Unit) 121a, a RAM (Random Access Memory) 121b, a storage device 121c, and an I / O port 121d.
  • the RAM 121b, the storage device 121c, and the I / O port 121d are configured to exchange data with the CPU 121a via the internal bus 121e.
  • an input / output device 122 configured as a touch panel or the like is connected to the controller 121.
  • the storage device 121c includes, for example, a flash memory, a HDD (Hard Disk Drive), and the like.
  • a control program that controls the operation of the substrate processing apparatus, a process recipe that describes the procedure and conditions of substrate processing such as thin film formation described later, and the like are stored in a readable manner.
  • the process recipe is a combination of functions so that a predetermined result can be obtained by causing the controller 121 to execute each procedure in a substrate processing process such as a thin film forming process to be described later.
  • the process recipe, the control program, and the like are collectively referred to simply as a program.
  • the RAM 121b is configured as a memory area (work area) in which programs, data, and the like read by the CPU 121a are temporarily stored.
  • the I / O port 121d includes the above-described MFCs 241a to 241l, valves 243a to 243l, pressure sensor 245, APC valve 244, vacuum pump 246, temperature sensor 263, heater 207, matching device 272, high frequency power supply 273, rotation mechanism 267, boat It is connected to the elevator 115 and the like.
  • the CPU 121a is configured to read and execute a control program from the storage device 121c, and to read a process recipe from the storage device 121c in response to an operation command input from the input / output device 122 or the like. Then, the CPU 121a adjusts the flow rates of various gases by the MFCs 241a to 241l, the opening / closing operations of the valves 243a to 243l, the opening / closing operations of the APC valve 244, and the APC valve 244 based on the pressure sensor 245 in accordance with the contents of the read process recipe.
  • Pressure adjustment operation by means of, the start and stop of the vacuum pump 246, the temperature adjustment operation of the heater 207 based on the temperature sensor 263, the rotation and rotation speed adjustment operation of the boat 217 by the rotation mechanism 267, the raising and lowering operation of the boat 217 by the boat elevator 115, and the alignment It is configured to control the impedance adjustment operation by the device 272, the power supply of the high frequency power supply 273, and the like.
  • the controller 121 is not limited to being configured as a dedicated computer, and may be configured as a general-purpose computer.
  • an external storage device storing the above-described program for example, magnetic tape, magnetic disk such as a flexible disk or hard disk, optical disk such as CD or DVD, magneto-optical disk such as MO, semiconductor memory such as USB memory or memory card
  • the controller 121 according to the present embodiment can be configured by installing a program in a general-purpose computer using the external storage device 123.
  • the means for supplying the program to the computer is not limited to supplying the program via the external storage device 123.
  • the program may be supplied without using the external storage device 123 by using communication means such as the Internet or a dedicated line.
  • the storage device 121c and the external storage device 123 are configured as computer-readable recording media. Hereinafter, these are collectively referred to simply as a recording medium.
  • recording medium When the term “recording medium” is used in this specification, it may include only the storage device 121c alone, may include only the external storage device 123 alone, or may include both.
  • the process of supplying the raw material gas is performed in a state where the process of supplying the catalyst gas is performed,
  • the step of supplying the oxidizing gas is performed in a state where the step of supplying the catalyst gas is performed.
  • These heat treatments are performed in an oxygen-free atmosphere.
  • each process is performed in a non-plasma atmosphere.
  • a plurality of elements constituting the thin film to be formed are added. Control the supply conditions of multiple types of gases. For example, the supply conditions are controlled for the purpose of making at least one of the plurality of elements constituting the thin film to be formed more excessive than the other elements with respect to the stoichiometric composition.
  • the supply conditions are controlled for the purpose of making at least one of the plurality of elements constituting the thin film to be formed more excessive than the other elements with respect to the stoichiometric composition.
  • the step of supplying the BTCSM gas as the source gas to the wafer 200 is performed in the state of performing the step of supplying the pyridine gas as the catalyst gas to the wafer 200, Performing a step of supplying H 2 O gas as an oxidizing gas to the wafer 200 in a state of performing a step of supplying pyridine gas as a catalyst gas to the wafer 200; By performing a cycle including these steps a predetermined number of times (n times), a step of forming a silicon oxycarbide film (hereinafter also referred to as a SiOC film) as a thin film containing Si, O and C on the wafer 200 is performed.
  • a silicon oxycarbide film hereinafter also referred to as a SiOC film
  • SiOC at a first temperature higher than the temperature of the wafer 200 in the step of forming the SiOC film in an oxygen-free atmosphere generated by supplying N 2 gas as an oxygen-free gas to the wafer 200.
  • the first impurity is removed from the SiOC film
  • the SiOC film By heat-treating the SiOC film at a second temperature equal to or higher than the first temperature in an oxygen-free atmosphere generated by supplying N 2 gas as an oxygen-free gas to the wafer 200, An example in which the second impurity different from the first impurity is removed from the SiOC film after the heat treatment at the temperature of 1 will be described.
  • This SiOC film can also be referred to as an SiO film containing C or an SiO film doped with (added to) C.
  • wafer when the term “wafer” is used, it means “wafer itself” or “a laminate (aggregate) of a wafer and a predetermined layer or film formed on the surface”. In other words, it may be called a wafer including a predetermined layer or film formed on the surface.
  • wafer surface when the term “wafer surface” is used in this specification, it means “the surface of the wafer itself (exposed surface)” or “the surface of a predetermined layer or film formed on the wafer”. That is, it may mean “the outermost surface of the wafer as a laminated body”.
  • the phrase “supplying a predetermined gas to the wafer” means “supplying a predetermined gas directly to the surface (exposed surface) of the wafer itself” or “ It may mean that a predetermined gas is supplied to a layer, a film, or the like formed on the wafer, that is, to the outermost surface of the wafer as a laminated body.
  • a predetermined layer (or film) on the wafer is described, “determine a predetermined layer (or film) directly on the surface (exposed surface) of the wafer itself”. This means that a predetermined layer (or film) is formed on a layer or film formed on the wafer, that is, on the outermost surface of the wafer as a laminate. There is a case.
  • substrate is the same as the term “wafer”. In that case, “wafer” may be replaced with “substrate” in the above description.
  • the processing chamber 201 is evacuated by a vacuum pump 246 so that a desired pressure (degree of vacuum) is obtained. At this time, the pressure in the processing chamber 201 is measured by the pressure sensor 245, and the APC valve 244 is feedback-controlled based on the measured pressure information (pressure adjustment).
  • the vacuum pump 246 maintains a state in which it is always operated until at least the processing on the wafer 200 is completed. Further, the wafer 200 in the processing chamber 201 is heated by the heater 207 so as to reach a desired temperature. At this time, the power supply to the heater 207 is feedback-controlled based on the temperature information detected by the temperature sensor 263 so that the inside of the processing chamber 201 has a desired temperature distribution (temperature adjustment).
  • Heating of the processing chamber 201 by the heater 207 is continuously performed at least until the processing on the wafer 200 is completed. However, as will be described later, when processing the wafer 200 at room temperature, the heater 207 does not need to heat the processing chamber 201. Subsequently, rotation of the boat 217 and the wafers 200 by the rotation mechanism 267 is started. The rotation of the boat 217 and the wafer 200 by the rotation mechanism 267 is continuously performed at least until the processing on the wafer 200 is completed.
  • steps 1a and 2a are sequentially executed.
  • Step 1a (BTCSM gas + pyridine gas supply)
  • the valve 243a is opened and BTCSM gas is allowed to flow into the gas supply pipe 232a.
  • the flow rate of the BTCSM gas is adjusted by the MFC 241a, supplied into the processing chamber 201 through the gas supply hole 250a, and exhausted from the exhaust pipe 231.
  • the BTCSM gas is supplied to the wafer 200 (BTCSM gas supply).
  • the valve 243j is opened, and an inert gas such as N 2 gas is allowed to flow into the gas supply pipe 232j.
  • the flow rate of the N 2 gas is adjusted by the MFC 241j, and the N 2 gas is supplied into the processing chamber 201 together with the BTCSM gas, and is exhausted from the exhaust pipe 231.
  • valve 243c is opened, and pyridine gas is caused to flow into the gas supply pipe 232c.
  • the flow rate of the pyridine gas is adjusted by the MFC 241c, supplied into the processing chamber 201 through the gas supply hole 250c, and exhausted from the exhaust pipe 231.
  • pyridine gas is supplied to the wafer 200 (pyridine gas supply).
  • the valve 243 l is opened, and an inert gas such as N 2 gas is allowed to flow into the gas supply pipe 232 l.
  • the flow rate of the N 2 gas is adjusted by the MFC 241 l, supplied into the processing chamber 201 together with the pyridine gas, and exhausted from the exhaust pipe 231.
  • the valve 243k is opened and N 2 gas is allowed to flow into the gas supply pipe 232k.
  • the N 2 gas is supplied into the processing chamber 201 through the gas supply pipe 232b, the nozzle 249b, and the buffer chamber 237, and is exhausted from the exhaust pipe 231.
  • the APC valve 244 is appropriately adjusted so that the pressure in the processing chamber 201 is, for example, 1 to 13330 Pa, preferably 133 to 2666 Pa.
  • the supply flow rate of the BTCSM gas controlled by the MFC 241a is, for example, 1 to 2000 sccm, preferably 10 to 1000 sccm.
  • the supply flow rate of the pyridine gas controlled by the MFC 241c is, for example, a flow rate in the range of 1 to 2000 sccm, preferably 10 to 1000 sccm.
  • the supply flow rate of the N 2 gas controlled by the MFCs 241j to 241l is set to a flow rate in the range of, for example, 100 to 10,000 sccm.
  • the time for supplying the BTCSM gas and pyridine gas to the wafer 200, that is, the gas supply time (irradiation time) is, for example, 1 to 100 seconds, preferably 5 to 60 seconds.
  • the temperature of the heater 207 is set to such a temperature that the temperature of the wafer 200 is, for example, room temperature to 150 ° C., preferably room temperature to 100 ° C., more preferably 50 ° C. to 100 ° C. To do.
  • the catalyst gas is not supplied at the time of supplying the BTCSM gas, when the temperature of the wafer 200 is less than 250 ° C., BTCSM is difficult to be chemically adsorbed on the wafer 200, and a practical film formation rate may not be obtained.
  • pyridine gas as the catalyst gas as in this embodiment, this can be eliminated even if the temperature of the wafer 200 is less than 250 ° C.
  • the temperature of the wafer 200 to 150 ° C.
  • the amount of heat applied to the wafer 200 can be reduced, and the thermal history received by the wafer 200 is controlled well. be able to.
  • the temperature of the wafer 200 is set to a temperature in the range of room temperature to 150 ° C., preferably room temperature to 100 ° C., more preferably 50 ° C. to 100 ° C.
  • a C layer having a thickness of, for example, less than one atomic layer to several atomic layers is formed on the wafer 200 (surface underlayer) as a first layer.
  • a silicon-containing layer containing Si and Cl (Si-containing layer) is formed.
  • the Si-containing layer containing C and Cl may be a silicon layer (Si layer) containing C and Cl, an adsorption layer of BTCSM gas, or both of them.
  • the Si layer containing C and Cl includes not only a continuous layer made of Si and containing C and Cl, but also a discontinuous layer and a silicon thin film containing Si and C (Cl thin film) containing these layers. It is a generic name including.
  • a continuous layer made of Si and containing C and Cl may be referred to as a Si thin film containing C and Cl.
  • Si constituting the Si layer containing C and Cl includes not only completely broken bonds with C and Cl but also completely broken bonds with C and Cl.
  • the BTCSM gas adsorption layer includes a discontinuous adsorption layer as well as a continuous adsorption layer of gas molecules of the BTCSM gas. That is, the BTCSM gas adsorbing layer includes an adsorbing layer having a thickness of less than one molecular layer composed of BTCSM molecules or less than one molecular layer.
  • the BTCSM ((SiCl 3 ) 2 CH 2 ) molecules constituting the BTCSM gas adsorption layer are not only those having the chemical structural formula shown in FIG. 14 (a), but also those in which the bond between Si and C is partially broken. , Including those in which the bond between Si and Cl is partially broken. That is, the BTCSM gas adsorption layer includes a BTCSM molecule chemical adsorption layer and a BTCSM molecule physical adsorption layer.
  • a layer having a thickness of less than one atomic layer means an atomic layer formed discontinuously, and a layer having a thickness of one atomic layer means an atomic layer formed continuously.
  • a layer having a thickness less than one molecular layer means a molecular layer formed discontinuously, and a layer having a thickness of one molecular layer means a molecular layer formed continuously.
  • the Si-containing layer containing C and Cl can include both an Si layer containing C and Cl and an adsorption layer of BTCSM gas.
  • “1 atom Expressions such as “layer” and “several atomic layer” are used.
  • the action of oxidation in step 2a described later reaches the entire first layer. Disappear.
  • the minimum thickness of the first layer that can be formed on the wafer 200 is less than one atomic layer. Accordingly, it is preferable that the thickness of the first layer be less than one atomic layer to several atomic layers.
  • the time required for forming the first layer in step 1a can also be shortened.
  • the processing time per cycle can be shortened, and the total processing time can be shortened. That is, the film forming rate can be increased.
  • the thickness of the first layer to 1 atomic layer or less, it becomes possible to improve the controllability of film thickness uniformity.
  • a Si layer containing C and Cl is formed by depositing Si on wafer 200.
  • the BTCSM gas adsorbing layer is formed by adsorbing the BTCSM gas on the wafer 200. It is preferable to form a Si layer containing C and Cl on the wafer 200 rather than forming an adsorption layer of BTCSM gas on the wafer 200 because the deposition rate can be increased.
  • the temperature of the wafer 200 is set to a low temperature of, for example, 150 ° C. or lower, a BTCSM gas adsorption layer is formed on the wafer 200 rather than the Si layer containing C and Cl formed on the wafer 200.
  • the formation will be superior.
  • the catalyst gas is not supplied, in the BTCSM gas adsorption layer, the physical adsorption state in which the bond to the base such as the surface of the wafer 200 or the bond between the BTCSM molecules is weaker than the chemical adsorption is dominant.
  • the BTCSM gas adsorption layer may be mostly composed of the BTCSM gas physical adsorption layer.
  • the pyridine gas as the catalyst gas weakens the bonding force of the O—H bonds existing on the surface of the wafer 200, promotes the decomposition of the BTCSM gas, and promotes the formation of the first layer by chemical adsorption of BTCSM molecules. That is, as shown in FIG. 6A, for example, pyridine gas as a catalyst gas acts on the O—H bond existing on the surface of the wafer 200 to weaken the O—H bond force.
  • the hydrogen chloride (HCl) gas is generated and desorbed by the reaction between H having weak bonding force and Cl of the BTCSM gas, and BTCSM molecules (halides) that have lost Cl are chemisorbed on the surface of the wafer 200 or the like. .
  • a BTCSM gas chemical adsorption layer is formed on the surface of the wafer 200 or the like.
  • the reason why the pyridine gas weakens the bonding force between O and H is that N atoms having a lone electron pair in the pyridine molecule have an action of attracting H.
  • the magnitude of the action of a predetermined compound containing an N atom or the like to attract H can be, for example, the above-described acid dissociation constant (pKa) as one of the indices.
  • pKa is a constant expressed as a negative common logarithm in the dissociation reaction in which hydrogen ions are released from an acid, and a compound having a large pKa has a strong ability to attract H.
  • a compound having a pKa of 5 or more as the catalyst gas it is possible to promote the formation of the first layer by promoting the decomposition of the BTCSM gas.
  • Cl extracted from the BTCSM molecule is combined with the catalyst gas, thereby producing a salt (Salt: ionic compound) such as ammonium chloride (NH 4 Cl), It may be a particle source.
  • the pKa of the catalyst gas is about 11 or less, preferably 7 or less.
  • Pyridine gas has a relatively large pKa of about 5.67, and has a strong ability to attract H. Further, since pKa is 7 or less, particles are hardly generated.
  • the decomposition of the BTCSM gas is promoted even under a low temperature condition of, for example, 150 ° C. or less, and in the formation of the physical adsorption layer of the BTCSM gas,
  • the first layer can be formed so that the formation of the chemisorption layer is dominant.
  • a source gas containing Si, C and a halogen element, such as BTCSM gas, and having a Si—C bond even under relatively low temperature conditions of, for example, 150 ° C. or less, C can be incorporated into the first layer.
  • the first layer containing C is oxidized in the subsequent step 2a.
  • a silicon oxycarbide layer (SiOC layer) containing C at a high concentration or such a SiOC layer is laminated, and C is added at a high concentration. Can be formed.
  • the C concentration in the SiOC layer and the SiOC film can be controlled with high accuracy.
  • the wet etching rate (hereinafter also referred to as WER) for 1% concentration hydrofluoric acid (1% HF aqueous solution) is, for example, about 600 ⁇ / min. . Even in the case of an SiO film obtained by using plasma under low temperature conditions, the WER is, for example, about 200 ⁇ / min. In the thermal oxide film obtained by thermally oxidizing the silicon wafer in the oxidation furnace, the WER of the SiO film formed under the low temperature condition is more than three times higher when the WER is, for example, about 60 ⁇ / min.
  • a high WER indicates that the etching resistance of these SiO films is inferior to that of, for example, a thermal oxide film.
  • the SiOC film in the film formation at a relatively high temperature, for example, 600 to 800 ° C., can be formed by the following method, for example. That is, in addition to the step of forming a Si-containing layer using HCDS gas, BDEAS gas, or the like, and the step of oxidizing this with an oxidizing gas to form a silicon oxide layer (SiO layer), for example, propylene (C 3 H 6 ) A step of supplying a hydrocarbon gas such as a gas to the wafer 200 by exciting it with heat or plasma is provided. Thereby, C is taken into the Si-containing layer or the SiO layer to form a SiOC layer, and as a result, a SiOC film can be formed.
  • a hydrocarbon gas such as a gas
  • an alkylenehalosilane-based source gas such as BTCSM gas, for example, a source gas containing Si, C and a halogen element and having a Si—C bond is used as the source gas.
  • BTCSM gas a source gas containing Si, C and a halogen element and having a Si—C bond
  • C can be taken into the first layer at the stage of forming the first layer as an initial layer on the wafer 200, and an SiOC layer or SiOC film having a sufficient C concentration can be formed.
  • the C concentration in the SiOC layer and the SiOC film can be controlled with high accuracy.
  • the valve 243a is closed and the supply of the BTCSM gas is stopped. Further, the valve 243c is closed and the supply of pyridine gas is stopped. At this time, the APC valve 244 of the exhaust pipe 231 is kept open, the inside of the processing chamber 201 is evacuated by the vacuum pump 246, and the unreacted remaining in the processing chamber 201 or after contributing to the formation of the first layer BTCSM gas and pyridine gas are excluded from the processing chamber 201 (residual gas removal).
  • valves 243j to 243l remain open, and the supply of N 2 gas as an inert gas into the processing chamber 201 is maintained.
  • the N 2 gas acts as a purge gas, thereby enhancing the effect of removing BTCSM gas and pyridine gas remaining in the processing chamber 201 or contributing to the formation of the first layer from the processing chamber 201. Can do.
  • the gas remaining in the processing chamber 201 may not be completely removed, and the inside of the processing chamber 201 may not be completely purged. If the amount of gas remaining in the processing chamber 201 is very small, there will be no adverse effect in the subsequent step 2a.
  • the flow rate of the N 2 gas supplied into the processing chamber 201 does not need to be a large flow rate. For example, by supplying an amount similar to the volume of the reaction tube 203 (processing chamber 201), an adverse effect occurs in step 2a. There can be no purging. Thus, by not completely purging the inside of the processing chamber 201, the purge time can be shortened and the throughput can be improved. The consumption of N 2 gas can be suppressed to the minimum necessary.
  • BTCSE gas As a source gas containing Si, C and a halogen element and having a Si—C bond, BTCSE gas, TCMDDS gas, DCTMDS gas, or the like may be used in addition to BTCSM gas.
  • the catalyst gas in addition to pyridine gas, cyclic amine gases such as aminopyridine gas, picoline gas, lutidine gas, piperazine, and piperidine gas may be used, and TEA gas, DEA gas, MEA gas, TMA gas, MMA A chain amine-based gas such as a gas may be used, or a non-amine-based gas such as NH 3 gas may be used.
  • a rare gas such as Ar gas, He gas, Ne gas, or Xe gas may be used in addition to N 2 gas.
  • Step 2a H 2 O gas + pyridine gas supply
  • the valve 243b is opened, and H 2 O gas is allowed to flow through the gas supply pipe 232b.
  • the flow rate of the H 2 O gas is adjusted by the MFC 241b, supplied to the buffer chamber 237 from the gas supply hole 250b, supplied to the processing chamber 201 from the gas supply hole 250d, and exhausted from the exhaust pipe 231.
  • H 2 O gas is supplied to the wafer 200 in a non-plasma atmosphere (H 2 O gas supply).
  • the valve 243k is opened, and N 2 gas as an inert gas is caused to flow into the gas supply pipe 232k.
  • the flow rate of the N 2 gas is adjusted by the MFC 241k, supplied into the processing chamber 201 together with the H 2 O gas, and exhausted from the exhaust pipe 231.
  • pyridine gas is supplied to the wafer 200 (pyridine gas supply) in the same manner as the supply of pyridine gas in step 1a.
  • the valve 243j is opened and N 2 gas is allowed to flow into the gas supply pipe 232j.
  • the N 2 gas is supplied into the processing chamber 201 through the gas supply pipe 232a and the nozzle 249a, and is exhausted from the exhaust pipe 231.
  • the APC valve 244 is appropriately adjusted so that the pressure in the processing chamber 201 is, for example, 1 to 13330 Pa, preferably 133 to 2666 Pa.
  • the supply flow rate of the H 2 O gas controlled by the MFC 241b is, for example, 1000 to 10,000 sccm, preferably 10 to 1000 sccm.
  • the supply flow rate of the pyridine gas controlled by the MFC 241c is, for example, a flow rate in the range of 1 to 2000 sccm, preferably 10 to 1000 sccm.
  • the supply flow rate of the N 2 gas controlled by the MFCs 241j to 241l is set to a flow rate in the range of, for example, 100 to 10,000 sccm.
  • the time for supplying the H 2 O gas and pyridine gas to the wafer 200 is, for example, 1 to 100 seconds, preferably 5 to 60 seconds.
  • the temperature of the heater 207 is such that the temperature of the wafer 200 is similar to the temperature of the wafer 200 in Step 1a, that is, for example, room temperature to 150 ° C., preferably room temperature to 100 ° C., more preferably 50 ° C. to 100 ° C. Set the temperature within the range of °C or less.
  • the H 2 O gas supplied into the processing chamber 201 is activated by heat and exhausted from the exhaust pipe 231.
  • H 2 O gas activated by heat is supplied to the wafer 200. That is, the gas flowing in the processing chamber 201 is a thermally activated H 2 O gas, and no BTCSM gas is flowing in the processing chamber 201. Therefore, the H 2 O gas does not cause a gas phase reaction, is supplied to the wafer 200 in an activated state, and includes the first layer (including C and Cl) formed on the wafer 200 in Step 1a. Reacts with at least part of the Si-containing layer). As a result, the first layer is thermally oxidized by non-plasma and changed into a second layer containing Si, O, and C, that is, a SiOC layer.
  • Pyridine gas as catalytic gas weakens the bonding force of O-H bond the H 2 O gas has, promote decomposition of the H 2 O gas, thereby promoting the reaction between the H 2 O gas and the first layer. That is, as shown in FIG. 6B, pyridine gas as a catalyst acts on the O—H bond of the H 2 O gas and weakens the bonding force between O—H.
  • H having a weak bonding force reacts with Cl in the first layer formed on the wafer 200, HCl gas is generated and desorbed, and O in the H 2 O gas that has lost H is Cl is desorbed and bonded to Si of the first layer where at least part of C remains.
  • step of supplying H 2 O gas in a state where pyridine gas is supplied step of supplying H 2 O gas and pyridine gas
  • supply of pyridine gas to be supplied according to a desired film composition or the like The amount can be adjusted as appropriate.
  • the supply amount of pyridine gas is increased, the action of pyridine gas is enhanced, the oxidizing power of H 2 O gas is improved, the Si—C bond is broken, and C is easily desorbed. As a result, the C concentration in the SiOC layer Decreases.
  • the supply amount of pyridine gas When the supply amount of pyridine gas is lowered, the action of pyridine gas is weakened, the oxidizing power of H 2 O gas is lowered, Si—C bonds are easily maintained, and as a result, the C concentration in the SiOC layer is increased. Accordingly, by appropriately adjusting the supply amount of pyridine gas, the C concentration, the silicon concentration (Si concentration), and the oxygen concentration (O concentration) in the SiOC layer, and thus in the SiOC film formed by laminating the SiOC layer, are adjusted. Etc. can be changed relatively.
  • the adjustment of the supply amount of the catalyst gas to be supplied in the step of supplying the oxidizing gas with the catalyst gas being supplied was performed by supplying the catalyst gas described above.
  • the adjustment of the supply amount of the catalyst gas supplied in the step of supplying the source gas in the state can be performed independently. That is, the supply amount of the catalyst gas in both steps may be adjusted to be the same, or may be adjusted to be different.
  • an SiOC layer formed under a low temperature condition of 150 ° C. or less includes impurities (first impurities) such as moisture (H 2 O) and chlorine (Cl), and hydrocarbons such as hydrocarbon compounds (C x H y ) -based impurities (second impurities) are likely to be mixed. Therefore, the SiOC film formed by stacking such SiOC layers may contain a large amount of impurities such as moisture and Cl, and C x H y- based impurities.
  • Impurities such as moisture are derived from, for example, H 2 O gas used as an oxidizing gas, moisture introduced from the outside when the wafer 200 is carried into the processing chamber 201, or the like.
  • Impurities such as Cl are derived from, for example, Cl in the BTCSM molecule.
  • C x H y- based impurities are derived from, for example, C and H in the BTCSM molecule and C and H in the pyridine molecule. That is, a part of pyridine may be decomposed. In this case, pyridine changes the rate of the reaction, but a part of itself changes before and after the chemical reaction, so it is not strictly a catalyst. However, even in that case, most of pyridine is not decomposed. That is, even in that case, pyridine substantially acts as a catalyst because it changes the rate of the reaction and most of itself does not change before and after the chemical reaction. In this specification, like pyridine in the reaction system of this embodiment, a part thereof may be decomposed, but most of the substance is not decomposed and substantially acts as a catalyst. It is said.
  • valve 243b is closed and the supply of H 2 O gas is stopped.
  • valve 243c is closed and the supply of pyridine gas is stopped.
  • the APC valve 244 of the exhaust pipe 231 is kept open, the inside of the processing chamber 201 is evacuated by the vacuum pump 246, and the H 2 O gas remaining in the processing chamber 201 or contributed to the reaction Pyridine gas and reaction by-products are excluded from the processing chamber 201 (residual gas removal).
  • the valves 243j to 243l remain open, and the supply of N 2 gas as an inert gas into the processing chamber 201 is maintained.
  • the N 2 gas acts as a purge gas, and thereby, H 2 O gas, pyridine gas, and reaction byproducts remaining in the processing chamber 201 and contribute to the formation of the second layer are transferred into the processing chamber 201.
  • the effect which excludes from can be heightened.
  • the gas remaining in the processing chamber 201 may not be completely removed, and the inside of the processing chamber 201 may not be completely purged. If the amount of gas remaining in the processing chamber 201 is very small, no adverse effect will occur in the subsequent step 1a.
  • the flow rate of the N 2 gas supplied into the processing chamber 201 does not need to be a large flow rate. For example, by supplying an amount similar to the volume of the reaction tube 203 (processing chamber 201), an adverse effect occurs in step 1a. There can be no purging. Thus, by not completely purging the inside of the processing chamber 201, the purge time can be shortened and the throughput can be improved. The consumption of N 2 gas can be suppressed to the minimum necessary.
  • the oxidizing gas other the H 2 O gas, using hydrogen peroxide (H 2 O 2) gas, hydrogen (H 2) gas and oxygen gas (O 2), H 2 gas + ozone (O 3) gas or the like May be.
  • the gas containing no hydrogen (H), i.e., may be used an O 2 gas or the like alone.
  • the catalyst gas in addition to pyridine gas, various amine-based gases or non-amine-based gases mentioned above may be used.
  • the inert gas various rare gases listed above may be used in addition to N 2 gas.
  • pyridine gas is more preferable as a catalyst gas throughout each step when comprehensively determined within the gas system and condition range of the present embodiment.
  • TEA gas is then preferred, followed by piperidine gas.
  • a SiOC film having a predetermined composition and a predetermined film thickness can be formed on the wafer 200. It can.
  • the above cycle is preferably repeated multiple times. That is, it is preferable that the thickness of the SiOC layer formed per cycle is made smaller than the desired film thickness and the above-described cycle is repeated a plurality of times until the desired film thickness is obtained.
  • the ratio of each element component in the SiOC layer that is, Si component, O component and C component, that is, Si concentration
  • Si component, O component and C component that is, Si concentration
  • the portion described as “suppliing a predetermined gas to the wafer 200” is “to the layer formed on the wafer 200” That is, a predetermined gas is supplied to the outermost surface of the wafer 200 as a laminate, and a portion described as “form a predetermined layer on the wafer 200” It means that a predetermined layer is formed on the layer formed on the upper surface of the wafer 200 as a stacked body. This point is as described above. This also applies to other embodiments described later.
  • SiOC film modification process The SiOC film formed as described above, for example, a film formed under a low temperature condition of 0.99 ° C. or less, as described above, for example, moisture and or impurities such as Cl, the C x H y type impurity contamination Have been. If these impurities are mixed in the SiOC film, the etching resistance of the SiOC film is lowered, the dielectric constant may be increased, and the effect of adding C in the film may be impaired. .
  • a step of removing first impurities (impurities such as moisture and Cl) from the SiOC film by heat-treating the SiOC film at a first temperature higher than the temperature of the wafer 200 in the step of forming the SiOC film (first Heat treatment step), and By heat-treating the SiOC film at a second temperature that is equal to or higher than the first temperature, a second impurity (C x H y system different from the first impurity) from the SiOC film that has been heat-treated at the first temperature.
  • a step (second heat treatment step) Then, a modification process for removing a plurality of types of impurities in the SiOC film in at least two stages is performed. That is, a process for modifying the SiOC film, a so-called annealing process is performed in two stages.
  • a sequence example of the SiOC film modification step will be described.
  • the APC valve 244 While the APC valve 244 is feedback-controlled so that the inside of the processing chamber 201 has a desired pressure (degree of vacuum), the inside of the processing chamber 201 is evacuated by the vacuum pump 246 (pressure adjustment). Further, the wafer 200 in the processing chamber 201 is heated by the heater 207 so as to have a desired temperature, that is, the first temperature. At this time, the power supply to the heater 207 is feedback-controlled based on the temperature information detected by the temperature sensor 263 so that the inside of the processing chamber 201 has a desired temperature distribution (temperature adjustment). Also in this step, the rotation of the boat 217 and the wafers 200 by the rotation mechanism 267 is continued.
  • N 2 gas as an oxygen-free gas is supplied into the processing chamber 201, and the inside of the processing chamber 201 is set to an oxygen-free atmosphere.
  • the N 2 gas can be supplied using at least one or all of the inert gas supply pipes 232j to 232l.
  • N 2 gas is supplied from all of the inert gas supply pipes 232j to 232l. That is, the valves 243j to 243l are opened, and N 2 gas is allowed to flow into the inert gas supply pipes 232j to 232l.
  • the flow rate of the N 2 gas flowing through the inert gas supply pipes 232j to 232l is adjusted by the MFCs 241j to 241l, supplied into the processing chamber 201, and exhausted from the exhaust pipe 231.
  • the inside of the processing chamber 201 becomes an N 2 gas atmosphere, that is, an oxygen-free atmosphere.
  • the N 2 gas as the oxygen-free gas will also act as a heat treatment gas.
  • First heat treatment When the inside of the processing chamber 201 becomes an N 2 gas atmosphere having a desired pressure and the temperature of the wafer 200 reaches a desired temperature, that is, the first temperature, this state is maintained for a predetermined time and formed on the wafer 200. A first heat treatment is performed on the formed SiOC film.
  • the APC valve 244 is appropriately adjusted so that the pressure in the processing chamber 201 is, for example, 133 to 101325 Pa (1 to 760 Torr), preferably 10132 to 101325 Pa (76 to 760 Torr).
  • the supply flow rate of the N 2 gas controlled by the MFCs 241j to 241l is set to a flow rate in the range of, for example, 100 to 10,000 sccm.
  • the heat treatment time for the SiOC film on the wafer 200 is, for example, 1-60 minutes, preferably 1-30 minutes, more preferably 1-10 minutes.
  • the temperature of the heater 207 is set to a temperature at which the temperature of the wafer 200 becomes a first temperature higher than the temperature of the wafer 200 in the step of forming the SiOC film, for example.
  • the temperature of the wafer 200 is a temperature higher than room temperature to 150 ° C., for example, 300 ° C. or higher and 450 ° C. or lower, preferably 300 ° C. or higher and 400 ° C. or lower, more preferably 300 ° C. or higher and 350 ° C. or lower.
  • Set the temperature so that it is within the range.
  • Such a temperature range allows impurities such as moisture and Cl as the first impurity to be efficiently and sufficiently desorbed from the SiOC film without causing undesired reactions (such as oxidation of the SiOC film). Determined in consideration of removal.
  • the SiOC film may be oxidized due to a reaction between moisture and Cl.
  • the shrinkage rate of the SiOC film is increased.
  • HCl is generated when moisture reacts with Cl, and this HCl may break Si—Cl bonds, Si—H bonds, and the like contained in the SiOC film. When these bonds are disconnected, an unnecessary adsorption site is generated in the SiOC film, and resorption of the substance (desorbed substance) desorbed from the SiOC film to the adsorption site is caused.
  • the desorbed material from the SiOC film is adsorbed to Si that has been broken and has dangling bonds (unbonded hands).
  • the bond between Si formed in this way and the desorbing substance is unstable and weak, and this desorbing substance remains in the SiOC film as an impurity.
  • the desorbing substance include moisture, Cl and C x H y impurities, and substances obtained by decomposing them.
  • C x H y- based impurities are also desorbed from the SiOC film.
  • x H y- based impurities pass through the SiOC film, they react with Cl, thereby causing re-adsorption of C to the adsorption sites in the SiOC film. That is, by reaction with C x H y type impurities and Cl, one of the elements C derived from the C x H y type impurities constitute a SiOC film (atomic), adsorbed on dangling bonds such as Si It will be.
  • C is derived thus C x H y type impurities, not the components constituting the SiOC film, a SiOC film as impurities It will remain inside.
  • C re-adsorbs to the adsorption site in the SiOC film, C may be re-adsorbed to this adsorption site by C alone, or C is re-adsorbed to this adsorption site in the form of C x H y. In some cases.
  • this temperature range that is, a temperature range higher than 450 ° C.
  • the shrinkage rate of the SiOC film increases due to these undesired reactions, and impurities are sufficiently desorbed and removed from the SiOC film. Can not do. As a result, the k value of the SiOC film cannot be lowered sufficiently.
  • the temperature of the wafer 200 By setting the temperature of the wafer 200 to 300 ° C. or more and 450 ° C. or less, it is possible to sufficiently desorb and remove impurities such as moisture and Cl from the SiOC film while suppressing these unwanted reactions. . That is, when moisture, Cl, etc. are desorbed from the SiOC film, it is possible to suppress oxidation of the SiOC film due to the reaction between moisture and Cl, and to suppress an increase in the film shrinkage rate of the SiOC film. In addition, the separation of Si—Cl bonds and Si—H bonds in the SiOC film by HCl produced by the reaction between moisture and Cl is suppressed, and unnecessary adsorption sites are generated. It becomes possible to suppress re-adsorption.
  • the film shrinkage rate of the SiOC film can be reduced, and C desorbed from the SiOC film.
  • desorption of desorbed substances including C x H y to the adsorption site in the SiOC film, and impurities, especially impurities such as moisture and Cl, can be sufficiently desorbed and removed from the SiOC film. It becomes possible to do.
  • the temperature of the wafer 200 to such a temperature range, that is, a temperature range of 300 ° C. to 450 ° C.
  • impurities such as moisture and Cl are desorbed and removed from the SiOC film.
  • at least a part of the C x H y impurities is also removed and removed.
  • resorption of C to the adsorption site in the SiOC film due to the reaction between the C x H y- based impurities desorbed from the SiOC film and Cl is suppressed.
  • Can do That is, by using such a temperature zone, it is possible to suppress re-adsorption of C in the C x H y- based impurities once desorbed from the SiOC film to the adsorption site in the SiOC film. .
  • the temperature of the wafer 200 is set to a temperature in the range of 300 ° C. to 450 ° C., preferably 300 ° C. to 400 ° C., more preferably 300 ° C. to 350 ° C.
  • impurities such as moisture and Cl in the SiOC film are sufficiently desorbed from the SiOC film while suppressing the above-described unwanted reaction. Can be removed. Further, at least a part of the C x H y- based impurities in the SiOC film can be desorbed and removed from the SiOC film while suppressing the undesired reaction described above. Since these impurities in the SiOC film are removed from the SiOC film, the SiOC film is modified, and the etching resistance of the SiOC film is higher than that of the SiOC film before the first heat treatment, and the dielectric constant is increased. The film quality (film characteristics) of the SiOC film can be improved.
  • C x H y- based impurities remain in the SiOC film.
  • impurities such as moisture and Cl and C x H y impurities are removed and removed from the SiOC film, but moisture and Cl are removed from the C x H y impurities.
  • C x H y impurities may still remain in the SiOC film.
  • the k value of the SiOC film may not be sufficiently lowered due to C x H y- based impurities remaining in the SiOC film. Therefore, in the second heat treatment step to be described later, the SiOC film is heat-treated at a second temperature equal to or higher than the first temperature, so that the SiOC film remains in the SiOC film after the heat treatment at the first temperature. The C x H y- based impurities are removed. As a result, the k value of the SiOC film can be sufficiently lowered.
  • the temperature of the wafer 200 is changed from the first temperature to the second temperature.
  • the second temperature is equal to or higher than the first temperature. That is, the second temperature is set higher than the first temperature or equal to the first temperature.
  • the atmosphere in the processing chamber 201 is maintained in an N 2 gas atmosphere having a desired pressure similar to that in the first heat treatment step.
  • the temperature of the wafer 200 reaches a desired temperature, that is, the second temperature
  • this state is maintained for a predetermined time, and the second heat treatment is performed on the SiOC film on which the first heat treatment has been performed. That is, the second heat treatment is performed at the second temperature on the SiOC film after the first heat treatment is performed at the first temperature.
  • the APC valve 244 is appropriately adjusted so that the pressure in the processing chamber 201 is, for example, 133 to 101325 Pa (1 to 760 Torr), preferably 10132 to 101325 Pa (76 to 760 Torr).
  • the supply flow rate of the N 2 gas controlled by the MFCs 241j to 241l is set to a flow rate in the range of, for example, 100 to 10,000 sccm.
  • the heat treatment time for the SiOC film on the wafer 200 is, for example, 1 to 120 minutes, preferably 1 to 60 minutes, more preferably 1 to 30 minutes.
  • the temperature of the heater 207 is set to a temperature at which the temperature of the wafer 200 becomes, for example, a second temperature that is equal to or higher than the first temperature in the first heat treatment step described above.
  • the temperature of the wafer 200 is, for example, in the range of 300 ° C. to 900 ° C., preferably 350 ° C. to 700 ° C., more preferably 400 ° C. to 700 ° C., and further preferably 450 ° C. to 600 ° C. Set the temperature so that the temperature is within the range.
  • Such a temperature range can be obtained by efficiently and sufficiently desorbing and removing the C x H y- based impurities as the second impurities from the SiOC film, and the thermal load and thermal history that the wafer 200 receives. Determined in consideration of the above.
  • the temperature of the wafer 200 when the temperature of the wafer 200 is 300 ° C., it may take time to sufficiently desorb C x H y impurities from the SiOC film. By setting the temperature of the wafer 200 to 350 ° C. or higher, it is possible to shorten the time until the C x H y- based impurities are sufficiently desorbed from the SiOC film. As shown in FIG. 17C, when the temperature of the wafer 200 is about 400 ° C., the C x H y impurity desorption amount peaks. Therefore, by setting the temperature of the wafer 200 to 400 ° C. or higher, it is possible to promote desorption of C x H y- based impurities. In other words, C x H y impurities can be efficiently desorbed from the SiOC film. Further, it is possible to further shorten the time from the SiOC film until the fully desorb C x H y based impurities.
  • the second temperature includes a temperature zone in which an undesired reaction can occur (temperature zone exceeding 450 ° C.), but in the stage of performing the second heat treatment step, a substance (moisture or Cl Etc.) does not occur.
  • the temperature of the wafer 200 be 450 ° C. or higher because it is possible to promote desorption of C x H y impurities from the SiOC film. By setting the temperature of the wafer 200 to 450 ° C. or higher, the desorption of C x H y impurities from the SiOC film can be promoted more than when the temperature of the wafer 200 is set to 300 to 350 ° C.
  • the thermal load becomes too large, which may affect the electrical characteristics of the semiconductor devices formed on the wafer 200.
  • the temperature of the wafer 200 By setting the temperature of the wafer 200 to at least 900 ° C. or less, it is possible to suppress the influence of the thermal load on the electrical characteristics and the like.
  • the wafer 200 on which the SiOC film to be heat-treated is intended for a memory device, it can withstand heat of about 900 ° C. Even if the wafer 200 is for a logic device, it can withstand heat of about 700 ° C. If the temperature of the wafer 200 is further set to 600 ° C. or less, it becomes easier to avoid thermal damage to the device structure and the like more reliably.
  • the temperature of the wafer 200 is 300 ° C. or higher and 900 ° C. or lower, preferably 350 ° C. or higher and 700 ° C. or lower, more preferably 400 ° C. or higher and 700 ° C. or lower, more preferably 450 ° C. or higher and 600 ° C. or lower. It is good to do.
  • the second temperature may be a temperature equal to or higher than the first temperature. That is, the second temperature may be higher than the first temperature, or may be equivalent to the first temperature.
  • the first temperature may be 300 to 400 ° C.
  • the second temperature may be 450 to 600 ° C. In this case, it becomes possible to prevent the above-mentioned unwanted reaction more reliably.
  • the first temperature and the second temperature may be the same temperature, for example, a temperature within a range of 400 to 450 ° C. If the first temperature and the second temperature are in the range of 400 to 450 ° C., the above-described unwanted reaction is suppressed, and at the same temperature, that is, without changing the temperature of the wafer 200. It becomes possible to perform 1 heat processing and 2nd heat processing continuously.
  • the C x H y- based impurities in the SiOC film are sufficiently desorbed from the SiOC film while suppressing the above-described unwanted reaction. Can be removed.
  • the SiOC film is further modified, and after the first heat treatment and before the second heat treatment, the SiOC film is further improved.
  • the etching resistance of the SiOC film is increased and the dielectric constant is further lowered, so that the quality (film characteristics) of the SiOC film can be further improved. According to the method of this embodiment, it was confirmed that the dielectric constant (k value) of the SiOC film can be lowered to about 2.7.
  • the SiOC film is heat-treated at a first temperature at which an undesired reaction does not occur, so that the first impurity is generated from the SiOC film without causing an undesired reaction. Remove impurities such as moisture and Cl.
  • an undesired reaction is caused from the SiOC film without causing an undesired reaction by heat-treating the SiOC film in a temperature zone (first temperature) where the undesired reaction does not occur.
  • Impurities (first impurities) such as moisture and Cl which are substances to be generated are removed.
  • a temperature range (second temperature) including a temperature range in which an undesired reaction may occur.
  • first impurities such as moisture and Cl, which are substances that cause an undesired reaction, are not present (generated), without causing an undesired reaction, followed by removal of the temperature zone in which undesirable reaction does not occur (the first temperature) C x from the SiOC film after heat treatment at H y based impurities (second impurity).
  • Such heat treatment in this embodiment can also be referred to as two-stage heat treatment (multi-stage heat treatment). It can also be called two-stage annealing (multi-stage annealing), two-stage modification treatment (multi-stage modification treatment), two-stage impurity removal treatment (multi-stage impurity removal treatment), or the like.
  • the inside of the processing chamber 201 is made an oxygen-free atmosphere with N 2 gas as an oxygen-free gas, so that the temperature is higher than the film formation temperature as described above. Even if the heat treatment is performed, it is possible to suppress the O concentration in the SiOC film from exceeding a desired concentration, that is, the oxidation of the SiOC film from excessively proceeding. Further, since the inside of the processing chamber 201 is an oxygen-free atmosphere, the C concentration in the SiOC film decreases to less than the desired concentration as the oxidation progresses, that is, C is desorbed from the SiOC film. It can suppress separating.
  • the oxygen-free gas such as N 2 gas acts as a heat treatment gas. It can also be said that N 2 gas or the like is a carrier that carries impurities desorbed from the SiOC film. That is, it can be said that the oxygen-free gas acts as an annealing gas that promotes the discharge of these impurities from the SiOC film or the processing chamber 201 and thereby promotes the modification of the SiOC film.
  • an atmosphere generation unit that generates an oxygen-free atmosphere without supplying an oxygen-free gas such as N 2 gas to the wafer 200.
  • the inside of the processing chamber 201 may be evacuated by this exhaust system.
  • most components including the oxygen (O) component are exhausted and removed from the atmosphere in the processing chamber 201.
  • exhausting the inside of the processing chamber 201 and further supplying an oxygen-free gas such as N 2 gas to the wafer 200 for example, exhaust of the O component remaining in the processing chamber 201 is exhausted. It is further facilitated to make the inside of the processing chamber 201 an oxygen-free atmosphere even more easily.
  • oxygen-free in the processing chamber 201 is caused by the dilution effect of N 2 gas.
  • the atmosphere is easy to be maintained.
  • the oxygen-free atmosphere includes a state in which the O concentration in the atmosphere in the processing chamber 201 is lowered to such an extent that the SiOC film to be processed is not affected.
  • the SiOC film modification process for removing impurities in the SiOC film is mainly performed during a heat treatment period in which the temperature of the wafer 200 is stably maintained at a desired temperature.
  • the temperature of the wafer 200 is raised in the above-described step of adjusting the temperature of the wafer 200 (step of changing from the film formation temperature to the first temperature, step of changing from the first temperature to the second temperature, etc.)
  • the temperature of the wafer 200 is lowered in the process of purging the inside of the processing chamber 201 described later, while the temperature of the wafer 200 is maintained at a temperature at which the removal of impurities in the SiOC film can proceed.
  • the SiOC film modification process can proceed.
  • the step of modifying the SiOC film mainly refers to a step of heat-treating the SiOC film, but at least a part of the step of adjusting the temperature of the wafer 200 and the step of purging the inside of the processing chamber 201.
  • the period may be included in the process of modifying the SiOC film.
  • the step of modifying the SiOC film refers to a temperature required for the modification process after the temperature of the wafer 200 reaches the temperature necessary for the modification process and is maintained at the desired temperature for a predetermined time. Refers to the period until just before reaching less than.
  • the oxygen-containing gas in addition to the N 2 gas, Ar gas, He gas, Ne gas, may be used a rare gas such as Xe gas.
  • the valves 243j to 243l are left open, and the inert gas N 2 gas as an inert gas is supplied into the processing chamber 201 from each of the supply pipes 232j to 232l and exhausted from the exhaust pipe 231.
  • the N 2 gas acts as a purge gas, whereby the inside of the processing chamber 201 is purged with an inert gas, and the gas remaining in the processing chamber 201 or a gas containing a substance such as an impurity desorbed from the SiOC film is removed from the processing chamber. It is removed from 201 (purge). Thereafter, the atmosphere in the processing chamber 201 is replaced with an inert gas (inert gas replacement), and the pressure in the processing chamber 201 is returned to normal pressure (return to atmospheric pressure).
  • the temperature of the wafer 200 is lowered so that the temperature of the wafer 200 is, for example, less than 200 ° C., preferably about room temperature. That is, the temperature of the wafer 200 is lowered by adjusting the power supply to the heater 207 or by stopping the power supply to the heater 207.
  • the temperature of the wafer 200 can be lowered to a predetermined temperature in a shorter time due to the cooling effect of the purge gas such as N 2 gas. it can.
  • the BTCSM gas is supplied in a state where the pyridine gas is supplied to the wafer 200 in Step 1a of the SiOC film forming process.
  • a source gas containing a predetermined element (Si), C and a halogen element (Cl) and having a chemical bond (Si—C bond) between the predetermined element (Si) and C, in particular, C By using a source gas containing Cl and at least two Si and having a Si—C bond, a film containing C at a high concentration, that is, a SiOC film having a high C concentration can be formed.
  • the C concentration in the SiOC film can be controlled with high accuracy. Therefore, for example, an SiOC film having high etching resistance can be obtained.
  • the SiOC film modifying step the SiOC film is heat-treated at a first temperature higher than the temperature of the wafer 200 in the step of forming the SiOC film.
  • the SiOC film can be modified. Therefore, as-deposition (as before the SiOC film modification step) The etching resistance of the SiOC film can be improved and the dielectric constant of the SiOC film can be lowered as compared with the SiOC film in the depo state. That is, the quality of the SiOC film can be improved.
  • a porous film can be formed by performing a series of processes of the SiOC film forming process and the SiOC film modifying process. That is, the SiOC film can be made porous.
  • the SiOC film formed in the SiOC film forming step at least Si—C bonds and Si—O bonds exist.
  • the bond distance between Si and C is larger than the bond distance between Si and O. Therefore, compared to the SiO 2 film, the SiOC film has a larger interatomic distance and a lower film density due to the introduction of Si—C bonds into the film.
  • Si—C—Si bonds may exist in the SiOC film, and in this case, the film density is further reduced.
  • a minute hole that is, a minute space is formed in a portion where the film density is sparse. That is, the SiOC film formed in the SiOC film forming step becomes a porous film in an as-deposited state.
  • a minute hole that is, a portion where these impurities are removed, that is, , A minute space is generated.
  • the SiOC film modified by the SiOC film modification process becomes a porous film that is more porous than the as-deposited SiOC film.
  • the porous state of the SiOC film can be maintained by performing the first heat treatment step and the second heat treatment step under the above-described treatment conditions.
  • the film shrinkage rate of the SiOC film increases and it becomes difficult to maintain the porous state of the SiOC film. Therefore, in order to maintain the porous state of the SiOC film, it is preferable to perform the first heat treatment step and the second heat treatment step under the above processing conditions.
  • a SiOC film having a dielectric constant (k value) lower than the dielectric constant (k value) of the SiO 2 film it is possible to form a SiOC film having a dielectric constant (k value) lower than the dielectric constant (k value) of the SiO 2 film. That is, according to the film forming sequence of the present embodiment, a porous SiOC film is formed by the SiOC film forming process, the SiOC film is further made porous by the SiOC film modifying process, and the porous state is maintained. Further, impurities such as moisture and Cl and C x H y impurities are removed from the SiOC film by the SiOC film modification process.
  • impurities such as moisture (H 2 O) have a permanent dipole moment, they are substances that change direction according to the electric field and increase the dielectric constant, and removal of these impurities greatly contributes to a decrease in dielectric constant.
  • impurities such as moisture (H 2 O) have a permanent dipole moment, they are substances that change direction according to the electric field and increase the dielectric constant, and removal of these impurities greatly contributes to a decrease in dielectric constant.
  • a silicon nitride film (SiN film) is made of C. It is conceivable to use a thin film such as a silicon carbonitride film (SiCN film) to which is added, or a silicon oxycarbonitride film (SiOCN film) to which O is further added.
  • SiCN film silicon carbonitride film
  • SiOCN film silicon oxycarbonitride film
  • the treatment is performed at a low temperature of, for example, 150 ° C. or less. Even under such a low temperature condition, by using a source gas containing Si, C and Cl and having a Si—C bond, the C concentration in the thin film can be increased or accurately controlled.
  • the step of supplying the raw material gas in a state in which the catalyst gas is supplied by selecting and supplying a specific raw material gas from a plurality of types of raw material gases having different molecular structures as the raw material gas, SiOC
  • the C concentration in the layer and the SiOC film can be controlled.
  • the BTCSM gas is supplied as the specific source gas by selecting the BTCSM gas supply line from the BTCSM gas supply line, the TCDMDS gas supply line, and the like. Yes.
  • a specific TCMDS gas supply line is selected from the BTCSM gas supply line, the TCDMDS gas supply line, and the like.
  • TCMDDS gas is supplied as a raw material gas.
  • the valve 243d is opened and TCMDDS gas is allowed to flow into the gas supply pipe 232d.
  • the flow rate of the TCMDDS gas is adjusted by the MFC 241d, supplied to the processing chamber 201 from the gas supply hole 250a, and exhausted from the exhaust pipe 231.
  • the TCMDDS gas is supplied to the wafer 200 (TCDMDS gas supply).
  • the valve 243j is opened, and an inert gas such as N 2 gas is allowed to flow into the gas supply pipe 232j.
  • the flow rate of the N 2 gas is adjusted by the MFC 241j, is supplied into the processing chamber 201 together with the TCMDDS gas, and is exhausted from the exhaust pipe 231.
  • the pyridine gas is supplied to the wafer 200 together with the TCMDDS gas, thereby weakening the bonding force of the O—H bond existing on the surface of the wafer 200, promoting the decomposition of the TCMDDS gas, and the C and C due to the chemical adsorption of TCDMDS molecules.
  • the formation of a Si-containing layer containing Cl is promoted.
  • the pyridine gas exhibits a catalytic action similar to that of the above-described BTCSM gas even for the TCDMDS gas.
  • the processing conditions such as the pressure in the processing chamber 201 at this time, the supply flow rate of each gas such as source gas, catalyst gas, and N 2 gas, the supply time, and the temperature of the wafer 200 are, for example, the processing in the above-described embodiment.
  • the processing conditions can be within the same range as the conditions.
  • the N 2 gas is supplied to prevent the gas from entering the nozzle 249 b and the buffer chamber 237 that are not used as in the above-described embodiment.
  • BTCSM gas, BTCSE gas, etc. which are alkylenehalosilane-based source gases having Si—C—Si bond or Si—C—C—Si bond in one molecule, have a molecular structure in which C is sandwiched between Si. . Therefore, a state in which a large amount of Cl is bonded to the surplus Si bonds is maintained.
  • Cl is bonded to three of the four bonds of Si.
  • a large amount of Cl contained in the molecule is considered to improve the reactivity of BTCSM gas, BTCSE gas, and the like.
  • the deposition rate of the SiOC film is improved by using BTCSM gas, BTCSE gas, or the like.
  • the reactive condition of BTCSM gas and BTCSE gas, etc. and the film formation rate are improved by the contained Cl, so that the usable condition range (process window) of the film formation process using BTCSM gas and BTCSE gas is also provided. Expanded.
  • a film forming condition for obtaining a desired C concentration can be selected from a wide range of process windows. As a result, it is easy to increase the C concentration in the SiOC film.
  • the controllability of the C concentration in the SiOC film can be improved.
  • the number of C contained in BTCSM gas is small compared with TCMDDS gas etc., for example, it is thought that this point does not work disadvantageously for the improvement of C density
  • TCMDDS gas, DCTMDS gas, and the like which are alkylhalosilane-based source gases in which an alkyl group such as a methyl group is bonded to Si, have a molecular structure in which some chloro groups in the chlorosilane-based source gas are replaced with methyl groups.
  • the reaction proceeds relatively slowly, and a denser SiOC film is easily obtained. For this reason, it is easy to maintain high etching resistance, for example even if it is a SiOC film
  • the DCTMDS gas containing a large number of methyl groups, that is, C, in the molecule favors the amount of C incorporated into the film.
  • a BTCSM gas, a BTCSE gas, or the like when performing the above process, for example, can be selected and supplied to form a SiOC layer containing a higher concentration of C, for example.
  • TCMDDS gas, DCTMDS gas, or the like by selecting and supplying TCMDDS gas, DCTMDS gas, or the like, it is possible to form an SiOC layer in which the C concentration is appropriately suppressed while maintaining etching resistance. That is, by selecting and supplying a specific source gas from a plurality of types of source gases, it is possible to accurately control the C concentration in the SiOC layer, that is, in the SiOC film.
  • the type of the catalyst gas to be supplied can be appropriately selected according to the desired film composition and the like.
  • the catalyst gases having different molecular structures are considered to have different catalytic intensities, for example.
  • One possible factor that can control the composition of the SiOC film by selecting the type of catalyst gas is considered to be the difference in the strength of the catalytic action. For example, by selecting a catalyst gas having a large pKa value that serves as an indicator of catalytic action, the oxidizing power of the oxidizing gas is improved, the Si—C bond is broken, and the C concentration tends to decrease.
  • the oxidizing power of the oxidizing gas is reduced, the Si—C bond is maintained, and the C concentration tends to increase.
  • Other factors that enable control of the composition of the SiOC film include differences in the vapor pressure of various substances involved in catalytic reactions such as various catalyst gases and generated salts, or their pKa value and vapor pressure. There may be multiple factors that combine these differences.
  • the C concentration in the SiOC layer or the SiOC film can be controlled.
  • a specific catalyst gas can be supplied by selecting a specific supply line from a plurality of supply lines that supply a plurality of types of catalyst gases having different molecular structures.
  • the pyridine gas is supplied as the specific catalyst gas by selecting the pyridine gas supply line from the pyridine gas supply line, the TEA gas supply line, and the like. Yes.
  • the type of catalyst gas supplied in the step of supplying the oxidizing gas in a state where the catalyst gas is supplied is the type of catalyst gas supplied in the step of supplying the raw material gas in the state where the supply of the catalyst gas is performed. May be the same or different.
  • the Si concentration and the O concentration may be relatively changed by controlling the C concentration in the SiOC film. That is, the composition of the SiOC film may be changed as a whole, and the type of the source gas may be selected for the purpose of overall control of the composition of the SiOC film.
  • steps 1a and 2a when the above-described steps 1a and 2a are performed a plurality of times, the type of the raw material gas or the catalyst gas may be changed during the cycle.
  • the cycle of steps 1a and 2a when the cycle of steps 1a and 2a is performed a plurality of times, the supply amount of the catalyst gas described above may be changed during the cycle.
  • the cycle of steps 1a and 2a is performed a plurality of times, and when this cycle is performed a plurality of times, the catalyst gas is supplied in the middle of the cycle.
  • the type of source gas supplied in the step of supplying source gas in the state is changed.
  • the C concentration in the SiOC film can be changed in the film thickness direction.
  • the type of the source gas may be changed only once or a plurality of times depending on the desired film composition or the like.
  • the source gas used may be two types or three or more types.
  • a combination of source gases to be used can be arbitrarily selected from source gases containing Si, C, and a halogen element and having a Si—C bond. The order of use of the source gases is arbitrary.
  • the cycle of steps 1a and 2a is performed a plurality of times, and the catalyst gas is supplied in the middle of performing this cycle a plurality of times.
  • the type of the catalyst gas supplied in the step of supplying the oxidizing gas in the state is changed.
  • the type of the catalyst gas may be changed only once or a plurality of times depending on the desired film composition. Further, the catalyst gas used may be two types or three or more types. The combination and order of catalyst gases to be used are arbitrary.
  • the cycle of steps 1a and 2a is performed a plurality of times, and when this cycle is performed a plurality of times, the catalyst gas is supplied in the middle. In this state, the supply amount of the catalyst gas supplied in the step of supplying the oxidizing gas is changed.
  • the C concentration in the SiOC film can be changed in the film thickness direction.
  • the supply amount of the catalyst gas may be changed from a small flow rate to a large flow rate, or may be changed from a large flow rate to a small flow rate according to a desired film composition or the like. Further, the supply amount of the catalyst gas may be changed only once or a plurality of times depending on a desired film composition or the like. At this time, the supply amount of the catalyst gas may be increased or decreased stepwise from a small flow rate to a large flow rate, or from a large flow rate to a small flow rate, or may be changed up and down appropriately in any combination. .
  • the C concentration of the SiOC film and other Si concentrations and O concentrations are appropriately controlled in the film thickness direction, so that SiOC having a desired composition is obtained.
  • a film is more easily obtained. Therefore, for example, SiOC films having different etching resistance in the film thickness direction can be obtained.
  • the substrate processing apparatus of this embodiment and the modification includes a plurality of source gas supply lines, and can select and supply a specific source gas from a plurality of types of source gases having different molecular structures. It is configured. Further, the substrate processing apparatus of the present embodiment and the modification includes a plurality of catalyst gas supply lines, and is configured to be able to select and supply a specific catalyst gas from a plurality of types of catalyst gases having different molecular structures. Yes. Accordingly, it becomes easy to select and supply a specific source gas or catalyst gas from a plurality of types of gases according to a desired film composition or the like. Therefore, it becomes possible to form SiOC films having various composition ratios and film quality on a general-purpose basis and with good reproducibility with a single substrate processing apparatus. In addition, it is possible to ensure the degree of freedom of apparatus operation when adding or replacing gas types.
  • the process recipe (program in which processing procedures and processing conditions are described) used for forming the various thin films described above is set for each type of source gas or catalyst gas, that is, A plurality of process recipes can be prepared in advance for different gas systems.
  • a plurality of process recipes in which the supply amount and flow rate of the catalyst gas are set to different values may be prepared in advance. That is, in the substrate processing apparatus according to the present embodiment and the modified example, a plurality of process recipes can be prepared for different processing conditions.
  • the SiOC film forming step and the SiOC film modifying step are performed in a state where the wafer 200 to be processed is accommodated in the same processing chamber 201.
  • the SiOC film forming process and the SiOC film modifying process are performed by accommodating the wafers 200 to be processed in different processing chambers.
  • a SiOC film forming process is performed in a processing chamber 201 (hereinafter referred to as a first substrate processing unit) provided in the substrate processing apparatus of the present embodiment (hereinafter also referred to as a first substrate processing unit), as in the above-described embodiment. 1 process chamber).
  • the operation of each unit constituting the first substrate processing unit is controlled by the first control unit.
  • a cycle including steps 1b and 2b similar to steps 1a and 2a described above is performed a predetermined number of times, purging in the processing chamber 201, return to atmospheric pressure, boat unloading, and wafer discharge are sequentially performed.
  • a step of heat-treating the SiOC film formed on the wafer 200 taken out from the boat 217, that is, a SiOC film modification step is performed in a processing chamber different from the processing chamber 201.
  • a processing chamber for example, a substrate processing apparatus similar to that of the above-described embodiment, which is provided in a substrate processing apparatus (hereinafter also referred to as a second substrate processing unit) different from the apparatus that has performed the SiOC film forming step.
  • a chamber hereinafter also referred to as a second treatment chamber
  • the operation of each part constituting the second substrate processing unit is controlled by the second control unit.
  • wafer charging and boat loading are sequentially executed in the same manner as when the SiOC film forming process of the above-described embodiment is performed in the first substrate processing unit. Further, the pressure adjustment and the temperature adjustment are performed in the same manner as when performing the SiOC film modification process of the above-described embodiment. Thereafter, as in the above-described embodiment, the first heat treatment, the second heat treatment, the purge, the return to atmospheric pressure, the boat unloading, and the wafer discharge are sequentially executed.
  • the substrate processing system is mainly constituted by the first substrate processing unit for forming the SiOC film and the second substrate processing unit for heat-treating the SiOC film.
  • the SiOC film formation step and the SiOC film modification step can be performed in the same processing chamber 201 (in-situ), and each has a different processing chamber (first processing chamber and second processing chamber). It can also be performed in the room (ex-situ). If both processes are performed in In-situ, the wafer 200 can be consistently processed while being left under vacuum without being exposed to the air during the process. Therefore, a more stable film forming process can be performed. If both steps are performed in Ex-Situ, the temperature in each processing chamber can be set in advance to, for example, the processing temperature in each step or a temperature close thereto, and the time required for temperature adjustment can be shortened. Therefore, production efficiency can be further increased.
  • the processing chamber for heat-treating the SiOC film may be a processing chamber provided in an apparatus different from the substrate processing apparatus of the above-described embodiment, for example, an apparatus such as a dedicated annealing process machine (heat treatment furnace).
  • an apparatus such as a dedicated annealing process machine (heat treatment furnace).
  • the first substrate processing unit and the second substrate processing unit may be configured as a group of independent devices (stand-alone devices) as described above.
  • the second substrate processing unit may be configured as one apparatus (cluster type apparatus) mounted on the same platform.
  • a thin film can be formed under processing conditions within the same range as the processing conditions in the sequences of FIGS. 4 and 5A.
  • a gas containing oxygen (O) oxygen-containing gas
  • I was supplying.
  • the catalyst gas a gas containing N having a lone electron pair and having a pKa of about 5 to 11 has been arbitrarily selected and supplied.
  • O 3 gas is used as the oxidizing gas
  • an amine-based catalyst gas such as TEA gas is used as the catalyst gas.
  • the substrate processing apparatus shown in FIG. 1 and FIG. In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the controller 121.
  • the process of supplying the source gas is performed in a state where the process of supplying the catalyst gas is not performed,
  • the step of supplying the oxidizing gas is performed in a state where the step of supplying the catalyst gas is performed.
  • These heat treatments are performed in an oxygen-free atmosphere.
  • each process is performed in a non-plasma atmosphere.
  • SiOC film silicon oxycarbide film
  • the first impurity is removed from the SiOC film
  • heat-treating the SiOC film By heat-treating the SiOC film at a second temperature equal to or higher than the first temperature in an oxygen-free atmosphere generated by supplying N 2 gas as an oxygen-free gas to the wafer 200, An example in which the second impurity different from the first impurity is removed from the SiOC film after the heat treatment at the temperature of 1 will be described.
  • the supply of the BTCSM gas to the wafer 200 is performed while the supply of the catalyst gas such as TEA gas to the wafer 200 is stopped,
  • the oxidizing power of the O 3 gas can be significantly increased. Therefore, for example, even if a catalyst gas such as TEA gas is not supplied in the step of supplying the raw material gas, sufficient reactivity can be obtained in the step of supplying the O 3 gas, and the SiOC film can be formed.
  • Step 1c BTCSM gas supply
  • BTCSM gas is supplied to the wafer 200 in the same procedure as in the above-described embodiment.
  • the valve 243i is closed, and the supply of BTCSM gas to the wafer 200 is performed while the supply of TEA gas is stopped.
  • the valves 243c and 243i are closed, and the supply of the BTCSM gas to the wafer 200 is stopped, that is, the supply of the amine catalyst gas including the TEA gas is stopped, that is, the amine catalyst gas is not supplied.
  • the catalyst gas including the amine catalyst gas is not supplied.
  • the valves 243k and 243l are opened, and N 2 gas is allowed to flow into the gas supply pipes 232k and 232l.
  • the N 2 gas is supplied into the processing chamber 201 through the gas supply pipes 232b and 232c, the nozzles 249b and 249c, and the buffer chamber 237, and is exhausted from the exhaust pipe 231.
  • the APC valve 244 is appropriately adjusted so that the pressure in the processing chamber 201 is, for example, 1 to 13330 Pa, preferably 133 to 2666 Pa.
  • the supply flow rate of the BTCSM gas controlled by the MFC 241a is, for example, a flow rate in the range of 1 to 2000 sccm.
  • the supply flow rate of the N 2 gas controlled by the MFCs 241j to 241l is set to a flow rate in the range of, for example, 100 to 10,000 sccm.
  • the time for supplying the BTCSM gas to the wafer 200, that is, the gas supply time (irradiation time) is, for example, 1 to 100 seconds, preferably 5 to 60 seconds.
  • the temperature of the heater 207 is set to such a temperature that the temperature of the wafer 200 is, for example, room temperature to 150 ° C., preferably room temperature to 100 ° C., more preferably 50 ° C. to 100 ° C. To do.
  • the catalyst gas is not supplied when the BTCSM gas is supplied, BTCSM is difficult to be chemically adsorbed on the wafer 200 when the temperature of the wafer 200 is less than 250 ° C., and a practical film formation rate cannot be obtained.
  • this embodiment as will be described later, by using a combination of O 3 gas and TEA gas in the next step 2a, this can be eliminated even if the temperature of the wafer 200 is less than 250 ° C. .
  • the amount of heat applied to the wafer 200 can be reduced by setting the temperature of the wafer 200 to 150 ° C. or lower, and further to 100 ° C. or lower. Control can be performed satisfactorily. At this time, if the temperature is higher than room temperature, a sufficient film forming rate can be obtained. Therefore, the temperature of the wafer 200 is set to a temperature in the range of room temperature to 150 ° C., preferably room temperature to 100 ° C., more preferably 50 ° C. to 100 ° C.
  • a C layer having a thickness of, for example, less than one atomic layer to several atomic layers is formed on the wafer 200 (surface underlayer) as a first layer.
  • a Si-containing layer containing Cl and Cl is formed.
  • an adsorption layer of BTCSM gas by physical adsorption with insufficient thermal decomposition that is, a BTCSM gas physical adsorption layer is mainly used. It is thought that it is formed.
  • the Si-containing layer containing C and Cl is mainly constituted by the adsorption layer of BTCSM molecules that are insufficiently thermally decomposed, the Si-containing layer is difficult to be fixed on the wafer 200 or the like. It is difficult to form a SiOC layer having a strong bond by the oxidation treatment. That is, when the catalyst gas is not supplied when the BTCSM gas is supplied, the oxidation reaction of the Si-containing layer containing C and Cl may hardly proceed even if the catalyst gas is supplied in the subsequent oxidation treatment. . Therefore, there is a possibility that the film formation rate is lowered or no film is formed in the first place.
  • the catalyst gas is used only in the next step 2c.
  • the oxidizing power of the oxidizing gas can be remarkably enhanced by combining a predetermined oxidizing gas, that is, O 3 gas, and a predetermined catalytic gas, that is, an amine catalyst gas such as TEA gas.
  • a predetermined oxidizing gas that is, O 3 gas
  • a predetermined catalytic gas that is, an amine catalyst gas such as TEA gas.
  • This also eliminates the need to go through a reaction system using a catalyst gas having a complicated reaction mechanism at least in the raw material gas supply process. It is also possible to avoid the salt generated by the catalytic reaction from becoming a particle source when the catalyst gas is supplied when the BTCSM gas is supplied. Therefore, it becomes easy to construct a film forming process. Further, for example, by not using the catalyst gas in the BTCSM gas supply step, the amount of the catalyst gas used can be reduced, and the manufacturing cost can be reduced.
  • Step 2c (O 3 gas + TEA gas supply)
  • the valve 243g is opened, and O 3 gas is allowed to flow into the gas supply pipe 232g.
  • the flow rate of the O 3 gas is adjusted by the MFC 241 g, supplied from the gas supply hole 250 b into the buffer chamber 237, supplied from the gas supply hole 250 d into the processing chamber 201, and exhausted from the exhaust pipe 231.
  • O 3 gas is supplied to the wafer 200 in a non-plasma atmosphere (O 3 gas supply).
  • the valve 243k is opened, and N 2 gas as an inert gas is caused to flow into the gas supply pipe 232k.
  • the flow rate of the N 2 gas is adjusted by the MFC 241k, is supplied into the processing chamber 201 together with the O 3 gas, and is exhausted from the exhaust pipe 231.
  • valve 243i is opened, and the TEA gas is caused to flow into the gas supply pipe 232i.
  • the flow rate of the TEA gas is adjusted by the MFC 241i, supplied to the processing chamber 201 through the gas supply hole 250c, and exhausted from the exhaust pipe 231.
  • the TEA gas is supplied to the wafer 200 (TEA gas supply).
  • the valve 243 l is opened, and an inert gas such as N 2 gas is allowed to flow into the gas supply pipe 232 l.
  • the flow rate of the N 2 gas is adjusted by the MFC 241 l, supplied into the processing chamber 201 together with the TEA gas, and exhausted from the exhaust pipe 231.
  • the valve 243j is opened, and N 2 gas is allowed to flow into the gas supply pipe 232j.
  • the N 2 gas is supplied into the processing chamber 201 through the gas supply pipe 232a and the nozzle 249a, and is exhausted from the exhaust pipe 231.
  • the APC valve 244 is appropriately adjusted so that the pressure in the processing chamber 201 is, for example, 1 to 13330 Pa, preferably 133 to 2666 Pa.
  • the supply flow rate of the O 3 gas controlled by the MFC 241 g is, for example, a flow rate in the range of 1000 to 10,000 sccm.
  • the TEA gas supply flow rate controlled by the MFC 241i is, for example, 0.01 to 100, more preferably 0.05 to 10 in terms of a ratio of O 3 gas supply flow rate (sccm) / TEA gas supply flow rate (sccm). The flow rate is within the range.
  • the supply flow rate of the N 2 gas controlled by the MFCs 241j to 241l is set to a flow rate in the range of, for example, 100 to 10,000 sccm.
  • the time for supplying the O 3 gas and the TEA gas to the wafer 200 is, for example, 1 to 100 seconds, preferably 5 to 60 seconds.
  • the temperature of the heater 207 is such that the temperature of the wafer 200 is the same temperature range as when the BTCSM gas is supplied in step 1c, that is, for example, room temperature to 150 ° C., preferably room temperature to 100 ° C., more preferably 50 ° C. It sets so that it may become the temperature within the range of 100 degrees C or less.
  • the O 3 gas supplied into the processing chamber 201 is activated by heat and exhausted from the exhaust pipe 231. At this time, the heat activated O 3 gas is supplied to the wafer 200. That is, the gas flowing in the processing chamber 201 is a thermally activated O 3 gas, and no BTCSM gas is flowing in the processing chamber 201. Therefore, the O 3 gas does not cause a gas phase reaction and is supplied to the wafer 200 in an activated state, and the first layer (Si containing C and Cl) formed on the wafer 200 in Step 1c. It reacts with at least a part of the containing layer). As a result, the first layer is thermally oxidized by non-plasma and changed into a second layer containing Si, O, and C, that is, a SiOC layer.
  • TEA gas as amine-based catalysts gas promotes decomposition of the O 3 gas, to improve the oxidizing power of the O 3 gas, thereby promoting the reaction between the Si-containing layer containing a O 3 gas and the C and Cl .
  • the inventors of the present invention have found that combining the O 3 gas and the TEA gas improves the oxidizing power of the O 3 gas beyond the range predicted by a normal catalytic reaction. As described above, if the catalyst gas is not supplied when the BTCSM gas is supplied and the thermal decomposition of the BTCSM gas is insufficient, sufficient reactivity can be obtained even if the catalyst gas is supplied in the subsequent oxidizing gas supply process. It is difficult to obtain.
  • step 1c thermal decomposition is insufficient as a Si-containing layer containing C and Cl.
  • BTCSM gas adsorption layer by physicoadsorption that is, even if the BTCSM gas physical adsorption layer is mainly formed, the oxidation reaction between the O 3 gas and the Si-containing layer containing C and Cl is appropriately advanced I found out that I can do it.
  • the oxidizing power of the O 3 gas can be remarkably increased by the action of the TEA gas, and even the BTCSM gas physical adsorption layer is more reliably subjected to the oxidation treatment of the base and adjacent layers. It has been found that a SiOC layer having a strong bond with matching molecules and atoms can be formed.
  • valve 243g is closed and the supply of O 3 gas is stopped. Further, the valve 243i is closed, and the supply of the TEA gas is stopped. And the residual gas from the inside of the process chamber 201 is removed in the same procedure as the above-mentioned embodiment.
  • a SiOC film having a predetermined composition and a predetermined thickness can be formed on the wafer 200. It can.
  • the above cycle is preferably repeated multiple times. That is, it is preferable that the thickness of the SiOC layer formed per cycle is made smaller than the desired film thickness and the above-described cycle is repeated a plurality of times until the desired film thickness is obtained.
  • the SiOC film formed under the low temperature condition may contain a large amount of impurities such as moisture and Cl and C x H y- based impurities. Therefore, pressure adjustment, temperature adjustment, first heat treatment, second heat treatment, purge and return to atmospheric pressure are performed in the same procedure and processing conditions as in the above-described embodiment to remove impurities in the SiOC film, The SiOC film is modified. As a result, a SiOC film having higher etching resistance and lower dielectric constant than that of the SiOC film before the SiOC film modification step is obtained.
  • the impurities such as moisture contained in the SiOC film formed under the low temperature condition are derived from, for example, moisture brought in from the outside when the wafer 200 is carried into the processing chamber 201.
  • Impurities such as Cl are derived from, for example, Cl in the BTCSM molecule.
  • C x H y- based impurities are derived from, for example, C and H in the BTCSM molecule and C and H in the TEA molecule. That is, TEA, like pyridine, may be partially decomposed, but most is not decomposed and substantially acts as a catalyst.
  • TEA in the reaction system of the present embodiment a part of the reaction system may be decomposed, but most of the substance is not decomposed, and a substance that substantially acts as a catalyst is referred to as a catalyst. Yes.
  • boat unloading and wafer discharge are performed in the same procedure as in the above-described embodiment, and the film forming process of this embodiment is completed.
  • step 1c the supply of BTCSM gas to the wafer 200 is performed in a state where supply of the catalyst gas to the wafer 200 is stopped.
  • the film forming process can be simplified.
  • the salt which arises when a catalyst gas is supplied at the time of BTCSM gas supply does not generate
  • the usage-amount of catalyst gas can be restrained and manufacturing cost can be reduced.
  • step 2c the supply of O 3 gas to the wafer 200 is performed in a state where the TEA gas is supplied to the wafer 200.
  • the oxidizing power of O 3 gas can be remarkably increased. That is, according to the film forming sequence of the present embodiment, by combining the O 3 gas and the amine catalyst gas, the oxidizing power of the O 3 gas can be increased beyond the range that can be predicted by a normal catalytic reaction. . Therefore, sufficient reactivity with respect to the Si-containing layer containing C and Cl can be obtained without supplying the catalyst gas when supplying the BTCSM gas in Step 1c. Therefore, the SiOC layer can be formed by oxidizing the Si-containing layer containing C and Cl while maintaining a sufficient film formation rate.
  • TEA gas is excellent as an amine-based catalyst gas combined with O 3 gas, and that pyridine gas and then piperidine gas are suitable. This is based on the fact that the temperature range in which a thin film such as a SiOC film can be formed is widened in an example using TEA gas when comparing each gas under a predetermined condition.
  • a chlorosilane-based source gas or an aminosilane-based source gas different from the above is used as a source gas, and a silicon oxide film (SiO 2 film, hereinafter also referred to as an SiO film) which is a thin film of a film type different from the SiOC film. ) Will be described.
  • a HCDS gas as a source gas containing silicon (Si) as a predetermined element and a halogen element to the wafer 200; Supplying an O 3 gas to the wafer 200; Supplying a TEA gas to the wafer 200; A step of forming a SiO film as a thin film on the wafer 200 by performing a cycle including SiO 2 at a first temperature higher than the temperature of the wafer 200 in the step of forming the SiO film in an oxygen-free atmosphere generated by supplying N 2 gas as an oxygen-free gas to the wafer 200.
  • the first impurity is removed from the SiO film
  • the SiO film By heat-treating the SiO film at a second temperature equal to or higher than the first temperature in an oxygen-free atmosphere generated by supplying N 2 gas as an oxygen-free gas to the wafer 200, A second impurity different from the first impurity is removed from the SiO film after the heat treatment at the temperature of 1.
  • the step of supplying the HCDS gas the supply of the HCDS gas to the wafer 200 is performed in a state where the supply of the catalyst gas such as the TEA gas to the wafer 200 is stopped,
  • the step of supplying the O 3 gas the O 3 gas is supplied to the wafer 200 while the TEA gas is supplied to the wafer 200.
  • the valve 243e is opened and HCDS gas is allowed to flow into the gas supply pipe 232e.
  • the flow rate of the HCDS gas is adjusted by the MFC 241e, supplied into the processing chamber 201 through the gas supply hole 250a, and exhausted from the exhaust pipe 231.
  • HCDS gas is supplied to the wafer 200 (HCDS gas supply).
  • the valve 243j is opened, and an inert gas such as N 2 gas is allowed to flow into the gas supply pipe 232j.
  • the flow rate of N 2 gas is adjusted by the MFC 241j, supplied into the processing chamber 201 together with the HCDS gas, and exhausted from the exhaust pipe 231.
  • the Si-containing layer containing Cl may be a Si layer containing Cl, an adsorption layer of HCDS gas, or both of them.
  • the Si layer containing Cl is a generic term including a continuous layer made of Si and containing Cl, a discontinuous layer, and a Si thin film containing Cl formed by overlapping these layers.
  • a continuous layer made of Si and containing Cl may be referred to as a Si thin film containing Cl. Note that Si constituting the Si layer containing Cl includes not only completely broken bond with Cl but also completely broken bond with Cl.
  • the adsorption layer of HCDS gas includes a discontinuous adsorption layer in addition to a continuous adsorption layer of gas molecules of HCDS gas. That is, the adsorption layer of the HCDS gas includes an adsorption layer having a thickness of less than one molecular layer composed of HCDS molecules or less than one molecular layer.
  • the HCDS (Si 2 Cl 6 ) molecules constituting the adsorption layer of the HCDS gas include not only the chemical structural formula shown in FIG. 14E but also those in which the bond between Si and Cl is partially broken. .
  • an HCDS gas adsorption layer by physical adsorption with insufficient thermal decomposition that is, a HCDS gas physical adsorption layer is mainly formed as the Si-containing layer containing Cl.
  • the wafer 200 is activated by heat by supplying the O 3 gas and the TEA gas to the wafer 200, and the oxidizing power of the TEA gas is increased.
  • the raised O 3 gas reacts with at least a part of the first layer (Cl-containing Si-containing layer).
  • the first layer is thermally oxidized by non-plasma and is changed to a second layer containing Si and O, that is, a silicon oxide layer (SiO layer).
  • processing conditions such as the pressure in the processing chamber 201 at this time, the supply flow rate of each gas such as source gas, O 3 gas, TEA gas, and N 2 gas, the supply time, and the temperature of the wafer 200 are described above. Processing conditions within the same range as the processing conditions of the embodiment can be set. Further, when each gas is supplied, as in the above-described embodiment, N 2 gas supply is appropriately performed to prevent the gas from entering the nozzles 249a, 249b, 249c that are not used at that time.
  • a chemical bond between the predetermined element and nitrogen including silicon (Si), carbon (C), and nitrogen (N) as the predetermined element By using BDEAS gas as a source gas having (Si—N bond), a SiO film as a thin film is formed on the wafer 200, and the SiO film is subjected to a modification process (first process) in an N 2 gas atmosphere. 1 heat treatment and second heat treatment). A procedure for supplying BDEAS gas to the wafer 200 will be described later.
  • Etc. may be used to form a SiO film that is a thin film different from the SiOC film.
  • the BDEAS gas is a gas that is easily adsorbed on the wafer 200 and the like and has high decomposability and reactivity. For this reason, while being used alone without using a catalyst gas even under low temperature conditions, an oxidizing gas such as O 2 gas excited to a plasma state may be used for the subsequent oxidation treatment. In the film forming sequence of FIG. 9C, the same effect as that obtained when the oxidation treatment is performed using such plasma can be obtained. From this, it can be inferred that by using a combination of O 3 gas and amine catalyst gas, the oxidizing power of O 3 gas may be increased to the same extent as when plasma is used.
  • impurities such as moisture are contained in the SiO film formed under low temperature conditions.
  • impurities such as Cl may be contained in the SiO film.
  • BDEAS gas is used as the source gas, impurities such as C, H, and N may be contained in the SiO film.
  • the film forming sequence of this embodiment will be described with reference to FIGS. Also in the present embodiment, the substrate processing apparatus shown in FIGS. 1 and 2 is used as in the above-described embodiment. In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the controller 121.
  • a step of supplying HCDS gas as a source gas containing silicon (Si) as a predetermined element and a halogen element to the wafer 200 is performed in a state where a step of supplying pyridine gas as a catalyst gas to the wafer 200 is performed ( Step 1d), a process of supplying H 2 O gas as an oxidizing gas to the wafer 200 is performed in a state where a process of supplying pyridine gas as a catalyst gas to the wafer 200 is performed (Step 2d).
  • the process of supplying a pyridine gas as a catalyst gas to the wafer 200 is performed (step 1e), and the process of supplying an H 2 O gas as an oxidizing gas to the wafer 200 is performed with respect to the wafer 200 as a catalyst gas.
  • the step of forming a SiOC film as a second thin film containing Si, O, and C is performed in a state where the step of supplying pyridine gas is performed (step 2e), and a set including these steps is performed a predetermined number of times.
  • a step of forming a laminated film of a SiO film and a SiOC film on the wafer 200 by performing a cycle including Lamination is performed at a first temperature higher than the temperature of the wafer 200 in the step of forming a laminated film in an oxygen-free atmosphere generated by supplying N 2 gas as an oxygen-free gas to the wafer 200.
  • the first impurity is removed from the laminated film
  • a second temperature that is equal to or higher than the first temperature in an oxygen-free atmosphere generated by supplying N 2 gas as an oxygen-free gas to the wafer 200
  • Step 1d (HCDS gas + pyridine gas supply) HCDS gas is supplied to the wafer 200 (HCDS gas supply) in the same procedure as in the modified example of FIG. 9B described above. Further, pyridine gas is supplied to the wafer 200 (pyridine gas supply) in the same procedure as in the above-described embodiment.
  • the pyridine gas is supplied to the wafer 200 together with the HCDS gas, thereby weakening the bonding force of the O—H bond existing on the surface of the wafer 200 and promoting the decomposition of the HCDS gas.
  • the formation of the Si-containing layer (first layer) containing Cl by adsorption is promoted.
  • the pyridine gas exhibits the same catalytic action on the HCDS gas as in the case of the BTCSM gas described above.
  • the processing conditions such as the pressure in the processing chamber 201 at this time, the supply flow rate of each gas such as source gas, catalyst gas, and N 2 gas, the supply time, and the temperature of the wafer 200 are, for example, the processing in the above-described embodiment.
  • the processing conditions can be within the same range as the conditions.
  • N 2 gas is supplied to prevent the gas from entering the nozzle 249b that is not used.
  • Step 2d H 2 O gas + pyridine gas supply
  • H 2 O gas and pyridine gas are supplied to the wafer 200 by the same supply procedure as in the above-described embodiment.
  • the H 2 O gas supplied to the wafer 200 is activated by heat, and its decomposition is promoted by pyridine gas, and the first layer (Cl-containing Si-containing layer) formed on the wafer 200 in step 1d. ) Reacts with at least part of.
  • the first layer is thermally oxidized by non-plasma and changed into a second layer containing Si and O, that is, a silicon oxide layer (SiO layer).
  • the processing conditions such as the pressure in the processing chamber 201 at this time, the supply flow rate of each gas such as the oxidizing gas, the catalyst gas, and the N 2 gas, the supply time, the temperature of the wafer 200, etc.
  • the processing conditions can be within the same range as the conditions.
  • N 2 gas is supplied to prevent the gas from entering the nozzle 249a and the like that are not used, as in the above-described embodiment.
  • a SiO film having a predetermined composition and a predetermined film thickness can be formed on the wafer 200.
  • the above cycle is preferably repeated a plurality of times. That is, it is preferable that the thickness of the SiO layer formed per set is made smaller than the desired film thickness and the above set is repeated a plurality of times until the desired film thickness is obtained.
  • SiOC film formation process In the SiOC film forming process, steps 1e and 2e are sequentially executed in the same procedure and processing conditions as in steps 1a and 2a of the above-described embodiment. By setting these steps 1e and 2e as one set and performing this set once or more (a predetermined number of times), a SiOC film having a predetermined composition and a predetermined film thickness can be formed on the wafer 200.
  • a laminated film in which one SiO film and one SiOC film are laminated. ) Can be formed.
  • a laminated film formed by laminating a plurality of SiO films and SiOC films by performing a plurality of cycles including a SiO film formation step and a SiOC film formation step.
  • FIG. 11B shows an example in which a cycle including the SiO film formation step and the SiOC film formation step is repeated twice.
  • the set including steps 1d and 2d and the set including steps 1e and 2e are the same in one cycle according to the desired film thickness ratio between the SiO film and the SiOC film.
  • the number of times may be included, or a different number of times may be included.
  • By alternately performing each set the same number of times it is possible to obtain a laminated film including a SiO film and a SiOC film in which the ratios of the respective film thicknesses are substantially equal. Further, by performing each set different times, a laminated film including SiO films and SiOC films having different film thickness ratios can be obtained.
  • the laminated film of the SiO film and the SiOC film formed under a low temperature condition may contain a large amount of impurities such as moisture and Cl, and C x H y impurities. Therefore, pressure adjustment, temperature adjustment, first heat treatment, second heat treatment, purge and return to atmospheric pressure are performed in the same procedure and processing conditions as in the above-described embodiment to remove impurities in the stacked film, Modify the laminated film. As a result, it is possible to obtain a laminated film having a higher etching resistance and a lower dielectric constant than the laminated film before the laminated film modification step.
  • boat unloading and wafer discharge are performed in the same procedure as in the above-described embodiment, and the film forming process of this embodiment is completed.
  • a step of forming a laminated film of a SiO film and a SiOC film on the wafer 200 by performing a cycle including Lamination is performed at a first temperature higher than the temperature of the wafer 200 in the step of forming a laminated film in an oxygen-free atmosphere generated by supplying N 2 gas as an oxygen-free gas to the wafer 200.
  • the first impurity is removed from the laminated film
  • a second temperature that is equal to or higher than the first temperature in an oxygen-free atmosphere generated by supplying N 2 gas as an oxygen-free gas to the wafer 200
  • Step 1f (BDEAS gas supply)
  • the valve 243f is opened and BDEAS gas is allowed to flow into the gas supply pipe 232f.
  • the flow rate of the BDEAS gas is adjusted by the MFC 241f, supplied into the processing chamber 201 through the gas supply hole 250a, and exhausted from the exhaust pipe 231.
  • BDEAS gas is supplied to the wafer 200 (BDEAS gas supply).
  • the valve 243j is opened, and an inert gas such as N 2 gas is allowed to flow into the gas supply pipe 232j.
  • the flow rate of the N 2 gas is adjusted by the MFC 241j, is supplied into the processing chamber 201 together with the BDEAS gas, and is exhausted from the exhaust pipe 231.
  • the valves 243k and 243l are opened, and N 2 gas is allowed to flow into the gas supply pipes 232k and 232l.
  • the N 2 gas is supplied into the processing chamber 201 through the gas supply pipes 232k and 232l, the nozzles 249b and 249c, and the buffer chamber 237, and is exhausted from the exhaust pipe 231.
  • N having a thickness of, for example, less than one atomic layer to several atomic layers is formed on the wafer 200 (surface underlayer) as a first layer, for example.
  • a Si-containing layer containing C is formed.
  • the Si-containing layer containing N and C may be an Si layer containing N and C, an adsorption layer of BDEAS gas, or both of them.
  • the Si layer containing N and C includes, in addition to a continuous layer made of Si and containing N and C, a discontinuous layer and a Si thin film containing N and C formed by overlapping these layers. It is a generic name.
  • a continuous layer made of Si and containing N and C may be referred to as an Si thin film containing N and C. Note that Si constituting the Si layer containing N and C includes not only completely broken bond with N but also completely broken bond with N.
  • the adsorption layer of the BDEAS gas includes a discontinuous adsorption layer as well as a continuous adsorption layer of gas molecules of the BDEAS gas. That is, the adsorption layer of the BDEAS gas includes an adsorption layer having a thickness of less than one molecular layer composed of BDEAS molecules or less than one molecular layer.
  • BDEAS Si [N (C 2 H 5 ) 2 ] 2 H 2
  • BDEAS Si [N (C 2 H 5 ) 2 ] 2 H 2
  • the adsorption layer of BDEAS gas includes a chemical adsorption layer of BDEAS molecules and a physical adsorption layer of BDEAS molecules.
  • BDEAS gas is a gas that is easily adsorbed on the wafer 200 and the like and has high decomposability and reactivity. Therefore, for example, even under a relatively low temperature condition of 150 ° C. or less, the reaction is allowed to proceed without using a catalyst gas such as pyridine gas, and a Si-containing layer containing N and C is formed on the wafer 200. Can do.
  • processing conditions such as the pressure in the processing chamber 201, the supply flow rate of each gas such as source gas and N 2 gas, the supply time, and the temperature of the wafer 200 are the same as the processing conditions of the above-described embodiment, for example.
  • the processing conditions can be within the range of
  • Step 2f (O 2 gas supply) After step 1d is completed and residual gas in the processing chamber 201 is removed, the valve 243h is opened, and O 2 gas is allowed to flow into the gas supply pipe 232h. The flow rate of the O 2 gas is adjusted by the MFC 241h and is supplied into the buffer chamber 237 from the gas supply hole 250b. At this time, a high frequency (RF) power is applied between the first rod-shaped electrode 269 and the second rod-shaped electrode 270 from the high-frequency power source 273 via the matching device 272, whereby the O 2 gas supplied into the buffer chamber 237 is obtained. Is excited by plasma, supplied as active species into the processing chamber 201 from the gas supply hole 250d, and exhausted from the exhaust pipe 231.
  • RF radio frequency
  • O 2 gas excited to a plasma state is supplied to the wafer 200 (O 2 gas supply).
  • the valve 243k is opened, and N 2 gas as an inert gas is caused to flow into the gas supply pipe 232k.
  • the flow rate of the N 2 gas is adjusted by the MFC 241k, is supplied into the processing chamber 201 together with the O 2 gas, and is exhausted from the exhaust pipe 231.
  • the valves 243j and 243l are opened, and N 2 gas is allowed to flow into the gas supply pipes 232j and 232l.
  • the N 2 gas is supplied into the processing chamber 201 through the gas supply pipes 232a and 232c and the nozzles 249a and 249c, and is exhausted from the exhaust pipe 231.
  • the O 2 gas is activated without using a catalyst gas such as pyridine gas, for example, even if the temperature in the processing chamber 201 is set to a relatively low temperature zone such as 150 ° C. or less. It becomes possible to make it.
  • a catalyst gas such as pyridine gas
  • the gas flowing into the processing chamber 201 is an O 2 gas excited into a plasma state, and includes active species such as oxygen radicals (O * ). Further, no BDEAS gas is allowed to flow into the processing chamber 201. Therefore, the O 2 gas does not cause a gas phase reaction and is supplied to the wafer 200 in an activated state, and N and C formed on the wafer 200 in Step 1f mainly by this active species.
  • An oxidation treatment is performed on the Si-containing layer. Since the energy of this active species is higher than the bond energy of Si—N bond and Si—H bond contained in the Si-containing layer containing N and C, the energy of this active species is contained in Si containing N and C.
  • the Si—N bond and Si—H bond contained in the Si-containing layer are cut off.
  • N, H, and C bonded to N separated from Si are removed from the Si-containing layer containing N and C, and discharged as N 2 , H 2 , CO 2, and the like. Further, the remaining Si bonds due to the disconnection of N and H are combined with O contained in the active species to form Si—O bonds.
  • the Si-containing layer containing N and C as the first layer is changed to the second layer containing Si and O, that is, the SiO layer.
  • processing conditions such as the pressure in the processing chamber 201, the supply flow rate of each gas such as an oxidizing gas and N 2 gas, the supply time, the temperature of the wafer 200, and the like are similar to the processing conditions of the above-described embodiment, for example.
  • the processing conditions can be within the range of
  • the high-frequency power applied between the first rod-shaped electrode 269 and the second rod-shaped electrode 270 from the high-frequency power source 273 is set to be, for example, a power in the range of 50 to 1000 W.
  • a SiO film having a predetermined composition and a predetermined film thickness can be formed on the wafer 200.
  • the above cycle is preferably repeated a plurality of times. That is, it is preferable that the thickness of the SiO layer formed per set is made smaller than the desired film thickness and the above set is repeated a plurality of times until the desired film thickness is obtained.
  • the SiOC film forming step and the predetermined number of times for each step can be performed in the same procedure and processing conditions as in the above-described embodiment. That is, the steps 1f and 2f are set as one set, the SiO film forming process in which this set is performed a predetermined number of times, and the steps 1g and 2g similar to the steps 1a and 2a in the above-described embodiment are set as one set, and this set is performed a predetermined number of times
  • the SiOC film forming step is set as one cycle, and this cycle is performed one or more times (predetermined number of times), whereby a laminated film of the SiO film and the SiOC film is formed on the wafer 200.
  • a laminated film in which one SiO film and one SiOC film are laminated.
  • FIG. 13B by performing a cycle including the SiO film forming step and the SiOC film forming step a plurality of times, a laminated film (laminate film) in which a plurality of SiO films and SiOC films are respectively laminated. ) Can be formed.
  • FIG. 13B shows an example in which a cycle including the SiO film forming step and the SiOC film forming step is repeated twice.
  • the start order of each set including each step 1f, 2f, 1g, 2g, the number of each set, etc. are arbitrarily determined in accordance with the desired film thickness ratio between the SiO film and the SiOC film. be able to.
  • the laminated film of the SiO film and the SiOC film formed under a low temperature condition may contain a large amount of impurities such as moisture and Cl, and C x H y- based impurities. Therefore, pressure adjustment, temperature adjustment, first heat treatment, second heat treatment, purge and return to atmospheric pressure are performed in the same procedure and processing conditions as in the above-described embodiment to remove impurities in the stacked film, Modify the laminated film. As a result, it is possible to obtain a laminated film having a higher etching resistance and a lower dielectric constant than the laminated film before the laminated film modification step.
  • boat unloading and wafer discharging are performed in the same procedure as in the above-described embodiment, and the film forming process of this modification is completed.
  • the inert gas, the purge gas, and the oxygen-free gas are all supplied from the same supply system, but the inert gas supply system, the purge gas supply system, and the oxygen-free gas supply system You may provide all or at least one part as a supply system of another system. However, when an oxygen-free atmosphere is generated in the processing chamber 201 exclusively by the exhaust system, it is not necessary to provide an oxygen-free gas supply system.
  • the Si-containing layer when using the catalyst gas together with the raw material gas, the Si-containing layer is formed using an oxidizing gas such as H 2 O gas together with the catalyst gas in Steps 2a, 2b, 2d, 2e, 2g, etc.
  • an oxidizing gas such as H 2 O gas together with the catalyst gas in Steps 2a, 2b, 2d, 2e, 2g, etc.
  • An example of changing to a SiOC layer or a SiO layer has been described.
  • the Si-containing layer when the catalyst gas is used together with the source gas, the Si-containing layer may be changed into a SiOC layer or a SiO layer using an oxidizing gas such as O 2 gas excited by plasma.
  • the processing conditions at this time can be set to the same processing conditions as in the above-described embodiment or modification, for example.
  • Si-based thin film such as a SiOC film or a SiO film using an oxidizing gas such as H 2 O gas
  • a Si-based thin film such as a SiCN film may be formed by nitriding a Si-containing layer containing C and Cl using a nitriding gas containing nitrogen (N) or the like instead of an oxidizing gas.
  • a Si-based thin film such as a SiON film or a SiOCN film may be formed by appropriately combining an oxidizing gas or a nitriding gas.
  • nitriding gas for example, ammonia (NH 3 ) gas, diazene (N 2 H 2 ) gas, hydrazine (N 2 H 4 ) gas, N 3 H 8 gas, a gas containing these compounds, or the like can be used.
  • the processing conditions at this time can be set to the same processing conditions as in the above-described embodiment, for example.
  • the fluorosilane-based source gas is a silane-based source gas containing a fluoro group as a halogen group, and is a source gas containing at least silicon (Si) and fluorine (F).
  • the bromosilane-based source gas is a silane-based source gas containing a bromo group as a halogen group, and is a source gas containing at least silicon (Si) and bromine (Br).
  • the processing conditions at this time can be set to the same processing conditions as in the above-described embodiment, for example.
  • the present invention uses a source gas containing Si, C and a halogen element and having a Si—C bond, an oxidizing gas, and the like to form a Si-based thin film (SiOC film, SiOCN film, SiCN film) or the like. It can be suitably applied when forming a thin film.
  • an ideal stoichiometric Si-based thin film can be formed without using plasma even in a low temperature region.
  • a Si-based thin film can be formed without using plasma, it is possible to adapt to a process that is concerned about plasma damage, such as a DDP SADP film.
  • SiO film, SiOC film, SiCN film, SiON film, SiOCN film a silicon-based thin film containing Si as a semiconductor element. It is not limited.
  • the present invention can also be applied to the case of forming a metal thin film containing a metal element such as titanium (Ti), zirconium (Zr), hafnium (Hf), tantalum (Ta), aluminum (Al), molybdenum (Mo). .
  • the present invention provides a titanium oxide film (TiO film), a zirconium oxide film (ZrO film), a hafnium oxide film (HfO film), a tantalum oxide film (TaO film), an aluminum oxide film (AlO film), a molybdenum oxide film (The present invention can also be suitably applied when forming a metal oxide film such as a MoO film.
  • the present invention includes a titanic acid carbonized film (TiOC film), a zirconic acid carbonized film (ZrOC film), a hafnium acid carbonized film (HfOC film), a tantalum acid carbonized film (TaOC film), and an aluminum oxycarbide film (AlOC film). ) And a metal oxycarbide film such as a molybdate carbide film (MoOC film).
  • TiOC film titanic acid carbonized film
  • ZrOC film zirconic acid carbonized film
  • HfOC film hafnium acid carbonized film
  • TaOC film tantalum acid carbonized film
  • AlOC film aluminum oxycarbide film
  • MoOC film molybdate carbide film
  • the present invention provides a titanium carbonitride film (TiCN film), a zirconium carbonitride film (ZrCN film), a hafnium carbonitride film (HfCN film), a tantalum carbonitride film (TaCN film), and an aluminum carbonitride film (AlCN film).
  • TiCN film titanium carbonitride film
  • ZrCN film zirconium carbonitride film
  • HfCN film hafnium carbonitride film
  • TaCN film tantalum carbonitride film
  • AlCN film aluminum carbonitride film
  • MoCN film molybdenum carbonitride film
  • the present invention provides a titanium oxynitride film (TiON film), a zirconium oxynitride film (ZrON film), a hafnium oxynitride film (HfON film), a tantalum oxynitride film (TaON film), an aluminum oxynitride film (AlON film) ), And a metal oxynitride film such as a molybdate oxynitride film (MoON film).
  • TiON film titanium oxynitride film
  • ZrON film zirconium oxynitride film
  • HfON film hafnium oxynitride film
  • TaON film tantalum oxynitride film
  • AlON film aluminum oxynitride film
  • MoON film molybdate oxynitride film
  • the present invention provides a titanium oxycarbonitride film (TiOCN film), a zirconium oxycarbonitride film (ZrOCN film), a hafnium oxycarbonitride film (HfOCN film), a tantalum oxycarbonitride film (TaOCN film),
  • TiOCN film titanium oxycarbonitride film
  • ZrOCN film zirconium oxycarbonitride film
  • HfOCN film hafnium oxycarbonitride film
  • TaOCN film tantalum oxycarbonitride film
  • the present invention can also be suitably applied when forming a metal oxycarbonitride film such as a nitride film (AlOCN film) or a molybdate oxycarbonitride film (MoOCN film).
  • a source gas containing a metal element can be used instead of the source gas containing Si in the above-described embodiment, and film formation can be performed in the same sequence as in the above-described embodiment.
  • the source gas containing Ti contains Ti, C, and a halogen element, and is a Ti—C bond.
  • a source gas containing Ti and a halogen element can be used.
  • the source gas containing Ti and a halogen element include a source gas containing Ti and a chloro group such as titanium tetrachloride (TiCl 4 ), and a source gas containing Ti and a fluoro group such as titanium tetrafluoride (TiF 4 ).
  • a source gas containing Ti and a halogen element include a source gas containing Ti and a chloro group such as titanium tetrachloride (TiCl 4 ), and a source gas containing Ti and a fluoro group such as titanium tetrafluoride (TiF 4 ).
  • the same gas as that in the above-described embodiment can be used.
  • the processing conditions at this time can be set to the same processing conditions as in the above-described embodiment, for example.
  • the source gas containing Zr contains Zr, C and a halogen element, and Zr—C
  • a source gas having a bond or a source gas containing Zr and a halogen element can be used.
  • the source gas containing Zr and a halogen element include source gases containing Zr and chloro groups such as zirconium tetrachloride (ZrCl 4 ), and source gases containing Zr and fluoro groups such as zirconium tetrafluoride (ZrF 4 ). Can be used.
  • the same gas as that in the above-described embodiment can be used.
  • the processing conditions at this time can be set to the same processing conditions as in the above-described embodiment, for example.
  • a metal-based thin film HfO film, HfOC film, HfCN film, HfON film, HfOCN film
  • Hf, Hf, C and a halogen element are included as a source gas containing Hf, and Hf—C
  • a source gas having a bond or a source gas containing Hf and a halogen element can be used.
  • the raw material gas containing Hf and halogen elements for example, the raw material gas containing Hf and chloro group such as hafnium tetrachloride (HfCl 4), a raw material gas containing Hf and a fluoro group such as hafnium tetrafluoride (HfF 4) Can be used.
  • the oxidizing gas, nitriding gas, amine-based catalyst gas, and oxygen-free gas the same gas as that in the above-described embodiment can be used.
  • the processing conditions at this time can be set to the same processing conditions as in the above-described embodiment, for example.
  • the source gas containing Ta contains Ta, C, and a halogen element, and Ta—C
  • a source gas having a bond or a source gas containing Ta and a halogen element can be used.
  • the source gas containing Ta and a halogen element include a source gas containing Ta and a chloro group such as tantalum pentachloride (TaCl 5 ), and a source gas containing Ta and a fluoro group such as tantalum pentafluoride (TaF 5 ). Can be used.
  • the same gas as that in the above-described embodiment can be used.
  • the processing conditions at this time can be set to the same processing conditions as in the above-described embodiment, for example.
  • the source gas containing Al contains Al, C and a halogen element, and Al—C
  • a source gas having a bond or a source gas containing Al and a halogen element can be used.
  • the source gas containing Al and a halogen element for example, a source gas containing Al and a chloro group such as aluminum trichloride (AlCl 3 ), or a source gas containing Al and a fluoro group such as aluminum trifluoride (AlF 3 ) is used. be able to.
  • the same gas as that in the above-described embodiment can be used.
  • the processing conditions at this time can be set to the same processing conditions as in the above-described embodiment, for example.
  • the source gas containing Mo when forming a metal-based thin film containing Mo (MoO film, MoOC film, MoON film, MoOCN film), contains Mo, C, and a halogen element, and has a Mo—C bond.
  • a source gas or a source gas containing Mo and a halogen element can be used.
  • source gas containing Mo and a halogen element include source gas containing Mo and chloro group such as molybdenum pentachloride (MoCl 5 ), and source gas containing Mo and fluoro group such as molybdenum pentafluoride (MoF 5 ). Can be used.
  • the same gas as that in the above-described embodiment can be used.
  • the processing conditions at this time can be set to the same processing conditions as in the above-described embodiment, for example.
  • the present invention can be suitably applied when forming a thin film containing a predetermined element such as a semiconductor element or a metal element.
  • the process recipes programs describing processing procedures and processing conditions used to form these various thin films are based on the details of the substrate processing (film type, composition ratio, film quality, film thickness, etc. of the thin film to be formed). Accordingly, it is preferable to prepare each separately (preparing a plurality). And when starting a substrate processing, it is preferable to select a suitable process recipe suitably from several process recipes according to the content of a substrate processing.
  • the substrate processing apparatus includes a plurality of process recipes individually prepared according to the contents of the substrate processing via an electric communication line or a recording medium (external storage device 123) on which the process recipe is recorded. It is preferable to store (install) in the storage device 121c in advance.
  • the CPU 121a included in the substrate processing apparatus When starting the substrate processing, the CPU 121a included in the substrate processing apparatus appropriately selects an appropriate process recipe from a plurality of process recipes stored in the storage device 121c according to the content of the substrate processing. Is preferred. With this configuration, thin films with various film types, composition ratios, film qualities, and film thicknesses can be formed for general use with good reproducibility using a single substrate processing apparatus. Further, it is possible to reduce an operator's operation burden (such as an input burden of a processing procedure and a processing condition), and to quickly start substrate processing while avoiding an operation error.
  • an operator's operation burden such as an input burden of a processing procedure and a processing condition
  • the above-described process recipe is not limited to the case of creating a new process.
  • the existing process recipe already installed in the substrate processing apparatus may be changed.
  • the changed process recipe may be installed in the substrate processing apparatus via an electric communication line or a recording medium recording the process recipe.
  • an existing process recipe that has already been installed in the substrate processing apparatus may be directly changed by operating the input / output device 122 provided in the existing substrate processing apparatus.
  • the example in which the formation of the SiOC film, the SiO film, the laminated film, and the like is performed at room temperature has been described.
  • the heating in the processing chamber 201 by the heater 207 is performed. It is not necessary to perform this, and the substrate processing apparatus may not be provided with a heater. Thereby, the structure of the heating system of a substrate processing apparatus can be simplified, and a substrate processing apparatus can be made cheaper and a simple structure.
  • the modification process for the SiOC film, the SiO film, the laminated film, and the like is performed in Ex-Situ in a processing chamber different from the processing chamber for performing the forming process for the SiOC film, the SiO film, the laminated film, and the like. .
  • the present invention is not limited to this, and one at a time.
  • the present invention can also be suitably applied to the case where a thin film is formed using a single-wafer type substrate processing apparatus that processes one or several substrates.
  • a thin film is formed using a substrate processing apparatus having a hot wall type processing furnace.
  • the present invention is not limited to this, and a cold wall type processing furnace is provided.
  • the present invention can also be suitably applied when forming a thin film using a substrate processing apparatus.
  • the processing conditions in these cases can be the same processing conditions as in the above-described embodiment, for example.
  • processing conditions at this time can be the same processing conditions as in the above-described embodiment, for example.
  • the SiOC film is formed on the wafer in the film-forming sequence in FIG. 7 in the above-described embodiment, and the SiOC film is reformed.
  • Various characteristics of each SiOC film were evaluated.
  • As the modification treatment of the SiOC film only the second heat treatment was performed without performing the first heat treatment.
  • BTCSM gas was used as the source gas
  • H 2 O gas was used as the oxidizing gas
  • pyridine gas was used as the catalyst gas
  • N 2 gas was used as the heat treatment gas during the SiOC film reforming process.
  • the processing conditions were the same as those in the above embodiment.
  • FIG. 16 is a graph showing the evaluation results of this example, where (a) is a graph showing the relative dielectric constant of the SiOC film before and after heat treatment, and (b) is the wet etching of the SiOC film before and after heat treatment. It is a graph which shows a rate (WER), (c) is a graph which shows the temperature dependence of the heat processing of WER of a SiOC film.
  • the horizontal axis of the graph of FIG. 16A shows the processing state of the SiOC film, and in order from the left, an example of the SiOC film that has been formed with the wafer temperature set at 60 ° C. and has not been subjected to heat treatment (60 ° C. as depo) shows an example of an SiOC film (600 ° C., 30 min N 2 annealing) which was deposited at a wafer temperature of 60 ° C. and then heat-treated for 30 minutes under an N 2 gas atmosphere at a wafer temperature of 600 ° C. .
  • the vertical axis of the graph represents the relative dielectric constant (k value) of the SiOC film.
  • FIG. 16A shows that the relative dielectric constant of the SiOC film before heat treatment according to this example is 7.76. Further, according to another evaluation performed by the present inventors, the relative dielectric constant of the SiOC film formed at a relatively high temperature was about 4.5. It can be seen that the dielectric constant of the SiOC film according to this example is higher than that before the heat treatment. On the other hand, the dielectric constant of the SiOC film after the heat treatment according to this example is 3.58, and the dielectric constant (about 4.5) of the SiOC film formed at a relatively high temperature described above, It can be seen that a relative dielectric constant significantly lower than that of a typical thermal oxide film (about 3.9) is obtained.
  • the material that increases the dielectric constant, such as moisture and impurities such as Cl, contained in the SiOC film formed under the low temperature condition has been removed from the SiOC film by the heat treatment of the SiOC film, and the SiOC film.
  • the main factor is considered to be that the membrane is porous.
  • the horizontal axis of the graph of FIG. 16B is the same as that of FIG. 16A, and shows “60 ° C. as depo” and “600 ° C. 30 min N 2 annual”, respectively, from the left.
  • the vertical axis of the graph represents WER [a. u. ] Is shown.
  • WER is an etching depth per unit time.
  • the SiOC film before the heat treatment in FIG. 16B has relatively good etching resistance from its WER.
  • the WER of the SiOC film corresponds to a value lower than the WER of the SiO film formed by the present inventors under low temperature conditions.
  • the WER of the SiOC film after the heat treatment is a value of 1/8 or less of the WER of the SiOC film before the heat treatment. This corresponds to a value lower than the WER of a normal thermal oxide film, and it can be said that a more excellent etching resistance is obtained in the SiOC film after the heat treatment.
  • the heat treatment of the SiOC film reduces impurities in the SiOC film and further improves the etching resistance.
  • the horizontal axis of the graph of FIG. 16C shows the temperature condition at the time of heat treatment of the SiOC film which was formed at a wafer temperature of 60 ° C. and then heat-treated in an N 2 gas atmosphere for 30 minutes. Examples of 200 ° C., 300 ° C., 500 ° C., 600 ° C., and 630 ° C. are shown in order.
  • the vertical axis of the graph is similar to FIG. 16B, and WER [a. u. ] Is shown.
  • the WER when the temperature during the heat treatment is 500 ° C., 600 ° C., and 630 ° C. is less than about 1/10 of the WER when the temperature during the heat treatment is 200 ° C. From this, it can be seen that by setting the temperature at the time of heat treatment to at least 500 ° C. or more, a remarkable effect of improving the etching resistance can be obtained. Moreover, although the fall degree of WER is dull at the temperature of 500 degreeC or more, the tendency for WER to fall further at 630 degreeC is shown. The WER at 630 ° C. is about 70% of the WER at 500 ° C. Therefore, it can be predicted that even higher etching resistance can be obtained by raising the temperature to 630 ° C. or higher. Thus, it can be seen that the effect of reducing the WER of the SiOC film is further increased by increasing the temperature during the heat treatment.
  • the SiOC film was formed on the wafer in the film-forming sequence in FIG. 7 in the above-described embodiment, and the SiOC film was reformed.
  • a sample (sample 1) in which both the first heat treatment and the second heat treatment are performed, and a sample in which only the second heat treatment is performed without performing the first heat treatment (sample 2) Prepared. And various characteristics of the SiOC film of each sample were evaluated.
  • BTCSM gas was used as the source gas
  • H 2 O gas was used as the oxidizing gas
  • pyridine gas was used as the catalyst gas
  • N 2 gas was used as the heat treatment gas during the SiOC film reforming process.
  • the wafer temperature (first temperature) in the first heat treatment when forming the SiOC film of Sample 1 was 450 ° C.
  • the wafer temperature (second temperature) in the second heat treatment was 600 ° C.
  • the wafer temperature (second temperature) in the second heat treatment when forming the SiOC film of Sample 2 was set to 600 ° C.
  • the other processing conditions were the same as those in the above embodiment.
  • FIG. 18 is a diagram showing the evaluation results of this example.
  • Various characteristics of the SiOC film of sample 1 and the SiOC film of sample 2 (WER, shrink rate (shrinkage rate), relative dielectric constant (k value)) are summarized in a table.
  • the WER of the SiOC film of sample 1 is 1/17 or less of the WER of the SiOC film of sample 2, and the WER of the SiOC film of sample 1 is far greater than the WER of the SiOC film of sample 2.
  • the WER of the SiOC film of sample 2 is also relatively small, and the SiOC film of sample 2 also has a relatively good etching resistance. That is, the WER of the SiOC film of sample 1 is much smaller than the small WER (WER of the SiOC film of sample 2), and the SiOC film of sample 1 has a good etching resistance (etching resistance of the SiOC film of sample 2). It can be seen that the etching resistance is even higher than that.
  • the shrinkage rate of the SiOC film of sample 1 is about 9/10 of the shrinkage rate of the SiOC film of sample 2, and the shrinkage rate of the SiOC film of sample 1 is equal to that of the SiOC film of sample 2. It can be seen that it is smaller than the shrink rate.
  • the shrinkage rate indicates the shrinkage rate of the SiOC film after the modification process with respect to the SiOC film before the modification process, that is, the rate at which the SiOC film shrinks due to the modification process. That is, it can be seen that the SiOC film of sample 1 is not shrunk by the modification process than the SiOC film of sample 2. In other words, it can be seen that the SiOC film of sample 2 is contracted by the modification process more than the SiOC film of sample 1.
  • the shrinkage ratio of the SiOC film of sample 1 is small because the SiOC film of sample 1 is subjected to the first heat treatment and the second heat treatment in stages, that is, the heat treatment with different temperatures is performed in two stages. This is considered to be because the oxidation of the SiOC film due to moisture or Cl desorbed from the SiOC film can be suppressed, and the film shrinkage rate can be suppressed.
  • the shrinkage ratio of the SiOC film of sample 2 is large because the SiOC film of sample 2 is subjected to only the second heat treatment without being subjected to the first heat treatment, that is, compared in one step. It is considered that the heat treatment is performed at a relatively high temperature, whereby the SiOC film is oxidized by moisture or Cl desorbed from the SiOC film, and the SiOC film is easily contracted.
  • the relative permittivity of the SiOC film of sample 1 is 2.68
  • the relative permittivity of the SiOC film of sample 2 is 3.58
  • the relative permittivity of the SiOC film of sample 1 is It can be seen that the relative dielectric constant of the SiOC film of sample 2 is smaller.
  • the relative dielectric constant (3.58) of the SiOC film of sample 2 is a relative dielectric constant significantly lower than the relative dielectric constant (about 3.9) of a general thermal oxide film. It can be seen that the relative dielectric constant (2.68) is a lower dielectric constant than that.
  • the relative permittivity of the SiOC film of Sample 2 was significantly lower than that of a general thermal oxide film because the second heat treatment performed on the SiOC film caused moisture contained in the SiOC film. It is considered that the main factors are that a substance that increases the dielectric constant such as impurities such as Cl is removed from the SiOC film and that the SiOC film is made porous.
  • the relative permittivity of the SiOC film of sample 1 was significantly lower than the relative permittivity of a general thermal oxide film and the relative permittivity of the SiOC film of sample 2.
  • impurities of C x H y system In addition to substances that increase the dielectric constant such as impurities such as moisture and Cl contained in the SiOC film by the heat treatment of step 2, ie, heat treatment performed stepwise at different temperatures, impurities of C x H y system It is considered that the main factors are that a substance that increases the dielectric constant, such as, has been sufficiently removed from the SiOC film and that the SiOC film has become more porous.
  • (Appendix 1) Supplying a raw material gas containing a predetermined element, carbon and a halogen element to the substrate and having a chemical bond between the predetermined element and carbon; Supplying an oxidizing gas to the substrate; Supplying a catalyst gas to the substrate; Forming a thin film containing the predetermined element, oxygen and carbon on the substrate by performing a cycle including: Removing the first impurities from the thin film by heat-treating the thin film at a first temperature higher than the temperature of the substrate in the step of forming the thin film; By heat-treating the thin film at a second temperature equal to or higher than the first temperature, a second impurity different from the first impurity is removed from the thin film after the heat treatment at the first temperature.
  • a method of manufacturing a semiconductor device having the above is provided.
  • the first impurity includes moisture (H 2 O) and chlorine (Cl), and the second impurity includes a hydrocarbon compound (C x H y- based impurity).
  • the first temperature is a temperature at which the thin film is not oxidized by the first impurity when the first impurity is removed from the thin film.
  • the first temperature is a temperature at which the first impurity and an impurity different from the first impurity contained in the thin film do not react when removing the first impurity from the thin film. It is.
  • the first temperature is a temperature at which the first impurity and the second impurity contained in the thin film do not react when the first impurity is removed from the thin film.
  • the first temperature is a temperature within a range of 300 ° C. or higher and 450 ° C. or lower.
  • the first temperature is a temperature within a range of 300 ° C. or higher and 400 ° C. or lower.
  • the first temperature is a temperature within a range of 300 ° C. or higher and 350 ° C. or lower.
  • the second temperature is a temperature within a range of 300 ° C. or higher and 900 ° C. or lower.
  • Appendix 10 A method for manufacturing a semiconductor device according to any one of appendices 1 to 9,
  • the second temperature is a temperature within a range of 350 ° C. or higher and 700 ° C. or lower.
  • the second temperature is a temperature within a range of 400 ° C. or higher and 700 ° C. or lower.
  • Appendix 12 A method of manufacturing a semiconductor device according to any one of appendices 1 to 11,
  • the second temperature is a temperature within a range of 450 ° C. or higher and 600 ° C. or lower.
  • Appendix 13 A method of manufacturing a semiconductor device according to any one of appendices 1 to 12, The second temperature is higher than the first temperature.
  • Appendix 14 A method of manufacturing a semiconductor device according to any one of appendices 1 to 13, The second temperature is the same temperature (the same temperature) as the first temperature.
  • Appendix 15 A method of manufacturing a semiconductor device according to any one of appendices 1 to 14, In the step of forming the thin film, the temperature of the substrate is set to a temperature of room temperature to 150 ° C.
  • Appendix 16 A method of manufacturing a semiconductor device according to any one of appendices 1 to 15, In the step of forming the thin film, the temperature of the substrate is set to a temperature of room temperature to 100 ° C.
  • Appendix 17 A method of manufacturing a semiconductor device according to any one of appendices 1 to 16, In the step of forming the thin film, the temperature of the substrate is set to a temperature of 50 ° C. or higher and 100 ° C. or lower.
  • the predetermined element includes silicon (Si), and the source gas has at least one selected from the group consisting of Si—C bonds, Si—C—Si bonds, and Si—C—C—Si bonds.
  • the source gas is bis (trichlorosilyl) methane ((SiCl 3 ) 2 CH 2 ) gas, 1,2-bis (trichlorosilyl) ethane ((SiCl 3 ) 2 C 2 H 4 ) gas, 1,1,2 , 2-tetrachloro-1,2-dimethyldisilane ((CH 3 ) 2 Si 2 Cl 4 ) gas, and 1,2-dichloro-1,1,2,2-tetramethyldisilane ((CH 3 ) 4 Si 2 Cl 2 ) gas. At least one selected from the group consisting of gases is included.
  • the catalyst gas includes an amine catalyst gas.
  • the catalyst gas includes triethylamine ((C 2 H 5 ) 3 N) gas, diethylamine ((C 2 H 5 ) 2 NH) gas, monoethylamine (C 2 H 5 NH 2 ) gas, trimethylamine ((CH 3 ) 3 N) gas, monomethylamine ((CH 3 ) NH 2 ) gas, pyridine (C 5 H 5 N) gas, aminopyridine (C 5 H 6 N 2 ) gas, picoline (C 6 H 7 N) gas, lutidine ( It includes at least one selected from the group consisting of C 7 H 9 N) gas, piperazine (C 4 H 10 N 2 ) gas, and piperidine (C 5 H 11 N) gas.
  • the catalyst gas includes pyridine (C 5 H 5 N) gas.
  • the oxidizing gas includes water vapor (H 2 O gas), ozone (O 3 ) gas, hydrogen peroxide (H 2 O 2 ) gas, hydrogen (H 2 ) gas, oxygen (O 2 ) gas, and hydrogen (H 2 ). It includes at least one selected from the group consisting of gas and ozone (O 3 ) gas.
  • Appendix 24 A method of manufacturing a semiconductor device according to any one of appendices 1 to 23, The oxidizing gas containing water vapor (H 2 O gas).
  • Appendix 25 A method of manufacturing a semiconductor device according to any one of appendices 1 to 24, In the step of removing the first impurity and the step of removing the second impurity, the heat treatment is performed in an oxygen-free atmosphere by supplying an oxygen-free gas to the substrate.
  • Appendix 26 A method of manufacturing a semiconductor device according to any one of appendices 1 to 25, In the step of removing the first impurity and the step of removing the second impurity, the heat treatment is performed in an inert gas atmosphere by supplying an inert gas to the substrate.
  • Appendix 27 A method of manufacturing a semiconductor device according to any one of appendices 1 to 26, The step of forming the thin film and the step of heat-treating the thin film are performed in the same processing chamber.
  • Appendix 28 A method of manufacturing a semiconductor device according to any one of appendices 1 to 27, wherein The step of forming the thin film and the step of heat-treating the thin film are performed in different processing chambers.
  • a processing chamber for accommodating the substrate;
  • a raw material gas supply system for supplying a raw material gas containing a predetermined element, carbon, and a halogen element into the processing chamber and having a chemical bond between the predetermined element and carbon;
  • An oxidizing gas supply system for supplying an oxidizing gas into the processing chamber;
  • a catalyst gas supply system for supplying a catalyst gas into the processing chamber;
  • a heater for heating the substrate in the processing chamber;
  • the raw material gas supply system, the oxidizing gas supply system, and the catalyst gas supply system so as to perform a process of removing a second impurity different from the first impurity from the thin film after the heat treatment at A control unit for controlling the pre said heater, A substrate processing apparatus is provided.
  • a substrate processing system comprising: a first substrate processing unit that forms a thin film on a substrate; and a second substrate processing unit that heat-treats the thin film
  • the first substrate processing unit includes: A first processing chamber for accommodating a substrate; A source gas supply system that supplies a source gas containing a predetermined element, carbon, and a halogen element into the first processing chamber and having a chemical bond between the predetermined element and carbon; An oxidizing gas supply system for supplying an oxidizing gas into the first processing chamber; A catalyst gas supply system for supplying a catalyst gas into the first processing chamber; A process for supplying the source gas to the substrate in the first processing chamber; a process for supplying the oxidizing gas to the substrate in the first processing chamber; and the substrate in the first processing chamber.
  • the source gas supply system the process of forming a thin film containing the predetermined element, oxygen and carbon on the substrate by performing a cycle including the process of supplying the catalyst gas a predetermined number of times.
  • An oxidizing gas supply system and a first control unit for controlling the catalyst gas supply system The second substrate processing unit includes: A second processing chamber for accommodating a substrate; A heater for heating the substrate in the second processing chamber; By heat-treating the thin film at a first temperature higher than the temperature of the substrate in the process of forming the thin film in a state where the substrate on which the thin film is formed is accommodated in the second processing chamber, The first impurity is removed from the thin film after the heat treatment at the first temperature by removing the first impurity from the first heat treatment and heat treating the thin film at a second temperature equal to or higher than the first temperature.
  • a substrate processing system having a second control unit that controls the heater so as to perform a process of removing a second impurity different from the impurity.
  • Procedure and And a computer-readable recording medium on which the program is recorded are provided.
  • the semiconductor device manufacturing method, substrate processing apparatus, substrate processing system, and recording medium of the present invention can be used in the field of manufacturing semiconductor devices.
  • Controller 200 wafer (substrate) 201 processing chamber 202 processing furnace 203 reaction tube 207 heater 209 manifold 231 exhaust pipe 232a first source gas supply pipe 232b first oxidizing gas supply pipe 232c first catalyst gas supply pipe 244 APC valve (pressure adjusting unit)

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

 基板に対して所定元素、炭素およびハロゲン元素を含み、所定元素と炭素との化学結合を有する原料ガスを供給する工程と、基板に対して酸化ガスを供給する工程と、基板に対して触媒ガスを供給する工程と、を含むサイクルを所定回数行うことにより、基板上に、所定元素、酸素および炭素を含む薄膜を形成する工程と、薄膜を形成する工程における基板の温度よりも高い第1の温度で薄膜を熱処理することにより、薄膜中から第1の不純物を除去する工程と、第1の温度以上の第2の温度で薄膜を熱処理することにより、第1の温度で熱処理した後の薄膜中から、第1の不純物とは異なる第2の不純物を除去する工程と、を有する。

Description

半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体
 本発明は、半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体に関する。
 半導体装置の製造工程の一工程として、基板に対して例えばシリコン等の所定元素を含む原料ガスや、酸化ガス等を供給し、基板上にシリコン酸化膜等の薄膜を形成する工程が行われることがある。その際、例えば触媒ガスを用いることで比較的低温での成膜が可能となり、半導体装置の受ける熱履歴等を改善することができる。
 また、基板上に薄膜を形成する際、例えば炭素等を薄膜に含有させて、ウエットエッチングに対する耐性を向上させ、また、膜の誘電率を低下させるなど、膜質の向上を図る場合がある。
 しかしながら、比較的低温の条件下では、膜中に充分な量の炭素が取り込まれ難かったり、膜中に水分等の不純物が混入してしまったりする場合がある。このため、例えば充分なエッチング耐性を備える低誘電率の薄膜を形成できないなどの課題が生じてしまう。
 本発明の目的は、優れたエッチング耐性を有する低誘電率の薄膜を形成することができる半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体を提供することにある。
 本発明の一態様によれば、
 基板に対して所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する工程と、
 前記基板に対して酸化ガスを供給する工程と、
 前記基板に対して触媒ガスを供給する工程と、
 を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する工程と、
 前記薄膜を形成する工程における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する工程と、
 前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する工程と、
 を有する半導体装置の製造方法が提供される。
 本発明の他の態様によれば、
 基板を収容する処理室と、
 前記処理室内へ所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する原料ガス供給系と、
 前記処理室内へ酸化ガスを供給する酸化ガス供給系と、
 前記処理室内へ触媒ガスを供給する触媒ガス供給系と、
 前記処理室内の基板を加熱するヒータと、
 前記処理室内の基板に対して前記原料ガスを供給する処理と、前記処理室内の前記基板に対して前記酸化ガスを供給する処理と、前記処理室内の前記基板に対して前記触媒ガスを供給する処理と、を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する処理と、前記薄膜を形成する処理における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する処理と、前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する処理と、を行うように、前記原料ガス供給系、前記酸化ガス供給系、前記触媒ガス供給系および前記ヒータを制御する制御部と、
 を有する基板処理装置が提供される。
 本発明のさらに他の態様によれば、
 基板上に薄膜を形成する第1基板処理部と、前記薄膜を熱処理する第2基板処理部と、を有する基板処理システムであって、
 前記第1基板処理部は、
 基板を収容する第1処理室と、
 前記第1処理室内へ所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する原料ガス供給系と、
 前記第1処理室内へ酸化ガスを供給する酸化ガス供給系と、
 前記第1処理室内へ触媒ガスを供給する触媒ガス供給系と、
 前記第1処理室内の基板に対して前記原料ガスを供給する処理と、前記第1処理室内の前記基板に対して前記酸化ガスを供給する処理と、前記第1処理室内の前記基板に対して前記触媒ガスを供給する処理と、を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する処理を行うように、前記原料ガス供給系、前記酸化ガス供給系および前記触媒ガス供給系を制御する第1制御部と、を有し、
 前記第2基板処理部は、
 基板を収容する第2処理室と、
 前記第2処理室内の基板を加熱するヒータと、
 前記第2処理室内に前記薄膜が形成された前記基板を収容した状態で、前記薄膜を形成する処理における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する処理と、前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する処理と、を行うように、前記ヒータを制御する第2制御部と、を有する
 基板処理システムが提供される。
 本発明のさらに他の態様によれば、
 処理室内の基板に対して所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する手順と、
 前記処理室内の前記基板に対して酸化ガスを供給する手順と、
 前記処理室内の前記基板に対して触媒ガスを供給する手順と、
 を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する手順と、
 前記薄膜を形成する手順における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する手順と、
 前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する手順と、
 をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体が提供される。
 本発明によれば、優れたエッチング耐性を有する低誘電率の薄膜を形成することができる半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体を提供することができる。
本発明の第1実施形態で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を縦断面図で示す図である。 本発明の第1実施形態で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を図1のA-A線断面図で示す図である。 本発明の第1実施形態で好適に用いられる基板処理装置のコントローラの概略構成図であり、コントローラの制御系をブロック図で示す図である。 本発明の第1実施形態の成膜シーケンスにおける成膜フローを示す図である。 本発明の第1実施形態及びその変形例の成膜シーケンスにおけるガス供給のタイミングを示す図であり、(a)は第1実施形態のシーケンス例を示す図であり、(b)は変形例のシーケンス例を示す図である。 本発明の第1実施形態の薄膜形成工程の触媒反応の説明図であって、(a)はステップ1aにおける触媒反応を示す図であり、(b)はステップ2aにおける触媒反応を示す図である。 本発明の第1実施形態の他の変形例の成膜シーケンスにおける成膜フローを示す図であって、(a)はSiOC膜形成工程の成膜フローを示す図であり、(b)はSiOC膜改質工程の成膜フローを示す図である。 本発明の第2実施形態の成膜シーケンスにおける成膜フローを示す図である。 本発明の第2実施形態及びその変形例の成膜シーケンスにおけるガス供給のタイミングを示す図であって、(a)は第2実施形態のシーケンス例を示す図であり、(b)は変形例1のシーケンス例を示す図であり、(c)は変形例2のシーケンス例を示す図である。 本発明の第3実施形態の成膜シーケンスにおける成膜フローを示す図である。 本発明の第3実施形態の成膜シーケンスにおけるガス供給のタイミングを示す図であって、(a)はスタック膜を形成するシーケンス例を示す図であり、(b)はラミネート膜を形成するシーケンス例を示す図である。 本発明の第3実施形態の変形例の成膜シーケンスにおける成膜フローを示す図である。 本発明の第3実施形態の変形例の成膜シーケンスにおけるガス供給およびRF電力供給のタイミングを示す図であって、(a)はスタック膜を形成するシーケンス例を示す図であり、(b)はラミネート膜を形成するシーケンス例を示す図である。 (a)~(f)は、原料ガスとして用いられる各種シランの化学構造式を示す図であり、それぞれ、BTCSM,BTCSE,TCDMDS,DCTMDS,HCDS,BDEASの化学構造式を示す図である。 (a)~(f)は、触媒ガスとして用いられる各種アミンの名称、化学組成式、化学構造式、および酸解離定数を示す図であり、それぞれ、環状アミン、TEA,DEA,MEA,TMA,MMAの名称、化学組成式、化学構造式、および酸解離定数を示す図である。 本発明の実施例のグラフであって、(a)は熱処理前後でのSiOC膜の比誘電率を示すグラフであり、(b)は熱処理前後でのSiOC膜のウエットエッチングレートを示すグラフであり、(c)はSiOC膜のウエットエッチングレートの熱処理の温度依存性を示すグラフである。 本発明の第1実施形態の成膜シーケンスにより形成した熱処理前のSiOC膜のTDSによるHO、Cl、Cの脱離スペクトルを示す図であり、(a)はHOの脱離スペクトルを示すグラフであり、(b)はClの脱離スペクトルを示す図であり、(c)はCの脱離スペクトルを示すグラフである。 本発明の実施例の評価結果を示す図であって、サンプル1のSiOC膜とサンプル2のSiOC膜との各種特性を比較して表にまとめたものである。
<第1実施形態>
 以下、本発明の第1実施形態について図面を参照しながら説明する。
(1)基板処理装置の全体構成
 図1に示すように、処理炉202は加熱手段(加熱機構)としてのヒータ207を有する。ヒータ207は円筒形状であり、保持板としてのヒータベース(図示せず)に支持されることにより垂直に据え付けられている。なお、ヒータ207は、後述するようにガスを熱で活性化(励起)させる活性化機構(励起部)としても機能する。
 ヒータ207の内側には、ヒータ207と同心円状に反応管203が配設されている。反応管203は、例えば石英(SiO)または炭化シリコン(SiC)等の耐熱性材料からなり、上端が閉塞し下端が開口した円筒形状に形成されている。反応管203の下方には、反応管203と同心円状に、マニホールド(インレットフランジ)209が配設されている。マニホールド209は、例えばステンレス等の金属で構成され、上端及び下端が開口した円筒形状に形成されている。マニホールド209の上端部は、反応管203の下端部に係合しており、反応管203を支持するように構成されている。マニホールド209と反応管203との間には、シール部材としてのOリング220aが設けられている。マニホールド209がヒータベースに支持されることにより、反応管203は垂直に据え付けられた状態となる。主に、反応管203とマニホールド209とにより処理容器(反応容器)が構成される。処理容器の筒中空部には処理室201が形成されており、基板としてのウエハ200を後述するボート217によって水平姿勢で垂直方向に多段に整列した状態で収容可能に構成されている。
 処理室201内には、ノズル249a~249cが、マニホールド209の側壁を貫通するように設けられている。ノズル249a~249cには、ガス供給管232a~232cが、それぞれ接続されている。ガス供給管232aには、複数本のガス供給管232d~232fが接続されている。ガス供給管232bには、複数本のガス供給管232g,232hが接続されている。また、ガス供給管232cには、ガス供給管232iが接続されている。このように、処理容器には3本のノズル249a~249cと、複数本のガス供給管232a~232iとが設けられており、処理室201内へ複数種類のガスを供給することができるように構成されている。
 ガス供給管232aの上流端には、例えば原料ガス供給源としての(SiClCH(BTCSM)ガス供給源242aが接続されている。ガス供給管232dの上流端には、例えば原料ガス供給源としての(CHSiCl(TCDMDS)ガス供給源242dが接続されている。ガス供給管232eの上流端には、例えば原料ガス供給源としてのSiCl(HCDS)ガス供給源242eが接続されている。ガス供給管232fの上流端には、例えば原料ガス供給源としてのSi[N(C(BDEAS)ガス供給源242fが接続されている。ガス供給管232bの上流端には、例えば酸化ガス供給源としてのHOガス供給源242bが接続されている。ガス供給管232gの上流端には、例えば酸化ガス供給源としてのOガス供給源242gが接続されている。ガス供給管232hの上流端には、例えば酸化ガス供給源としてのOガス供給源242hが接続されている。ガス供給管232cの上流端には、例えば触媒ガス供給源としてのCN(ピリジン)ガス供給源242cが接続されている。ガス供給管232iの上流端には、例えば触媒ガス供給源としての(CN(TEA)ガス供給源242iが接続されている。
 ガス供給管232a~232cにそれぞれ接続されるガス供給管232j~232lの上流端には、例えば不活性ガス供給源としてのNガス供給源242j~242lがそれぞれ接続されている。ガス供給管232a~232lには、各ガス供給源242a~242lが接続された上流方向から順に、流量制御器(流量制御部)であるマスフローコントローラ(MFC)241a~241l、及び開閉弁であるバルブ243a~243lがそれぞれ設けられている。ガス供給管232a~232cのバルブ243a~243cよりも下流側に、ガス供給管232j~232lの下流端がそれぞれ接続されている。ガス供給管232aのバルブ243aよりも下流側には、ガス供給管232d~232fの下流端もそれぞれ接続されている。ガス供給管232bのバルブ243bよりも下流側には、ガス供給管232g,232hの下流端もそれぞれ接続されている。ガス供給管232cのバルブ243cよりも下流側には、ガス供給管232iの下流端もそれぞれ接続されている。
 ガス供給管232a,232cの先端部には、上述のノズル249a,249cがそれぞれ接続されている。ノズル249a,249cは、図2に示すように、反応管203の内壁とウエハ200との間における円環状の空間に、反応管203の内壁の下部より上部に沿って、ウエハ200の積載方向上方に向かって立ち上がるようにそれぞれ設けられている。すなわち、ノズル249a,249cは、ウエハ200が配列されるウエハ配列領域の側方の、ウエハ配列領域を水平に取り囲む領域に、ウエハ配列領域に沿うようにそれぞれ設けられている。ノズル249a,249cはL字型のロングノズルとしてそれぞれ構成されており、それらの各水平部はマニホールド209の側壁を貫通するように設けられており、それらの各垂直部は少なくともウエハ配列領域の一端側から他端側に向かって立ち上がるように設けられている。ノズル249a,249cの側面にはガスを供給するガス供給孔250a,250cがそれぞれ設けられている。図2に示すように、ガス供給孔250a,250cは反応管203の中心を向くように開口しており、ウエハ200に向けてガスを供給することが可能となっている。これらのガス供給孔250a,250cは、反応管203の下部から上部にわたって複数設けられ、それぞれが同一の開口面積を有し、更に同じ開口ピッチで設けられている。
 ガス供給管232bの先端部には、上述のノズル249bが接続されている。ノズル249bは、ガス分散空間であるバッファ室237内に設けられている。バッファ室237は、図2に示すように、反応管203の内壁とウエハ200との間における円環状の空間に、また、反応管203内壁の下部より上部にわたる部分に、ウエハ200の積載方向に沿って設けられている。すなわち、バッファ室237は、ウエハ配列領域の側方の、ウエハ配列領域を水平に取り囲む領域に、ウエハ配列領域に沿うように設けられている。バッファ室237のウエハ200と隣接する壁の端部には、ガスを供給するガス供給孔250dが設けられている。ガス供給孔250dは反応管203の中心を向くように開口しており、ウエハ200に向けてガスを供給することが可能となっている。このガス供給孔250dは、反応管203の下部から上部にわたって複数設けられ、それぞれが同一の開口面積を有し、更に同じ開口ピッチで設けられている。
 ノズル249bは、図2に示すように、バッファ室237のガス供給孔250dが設けられた端部と反対側の端部に、反応管203の内壁の下部より上部に沿って、ウエハ200の積載方向上方に向かって立ち上がるように設けられている。すなわち、ノズル249bは、ウエハ200が配列されるウエハ配列領域の側方の、ウエハ配列領域を水平に取り囲む領域に、ウエハ配列領域に沿うように設けられている。ノズル249bは、L字型のロングノズルとして構成されており、その水平部はマニホールド209の側壁を貫通するように設けられており、その垂直部は少なくともウエハ配列領域の一端側から他端側に向かって立ち上がるように設けられている。ノズル249bの側面にはガスを供給するガス供給孔250bが設けられている。図2に示すように、ガス供給孔250bはバッファ室237の中心を向くように開口している。このガス供給孔250bは、バッファ室237のガス供給孔250dと同様に、反応管203の下部から上部にわたって複数設けられている。この複数のガス供給孔250bのそれぞれの開口面積は、バッファ室237内と処理室201内の差圧が小さい場合には、上流側(下部)から下流側(上部)まで、それぞれ同一の開口面積で同一の開口ピッチとするとよいが、差圧が大きい場合には、上流側から下流側に向かってそれぞれ開口面積を大きくするか、開口ピッチを小さくするとよい。
 本実施形態においては、ガス供給孔250bのそれぞれの開口面積や開口ピッチを、上流側から下流側にかけて上述のように調節することで、まず、ガス供給孔250bのそれぞれから、流速の差はあるものの、流量がほぼ同量であるガスを噴出させる。そしてこのガス供給孔250bのそれぞれから噴出するガスを、一旦、バッファ室237内に導入し、バッファ室237内においてガスの流速差の均一化を行うこととしている。すなわち、ガス供給孔250bのそれぞれよりバッファ室237内に噴出したガスはバッファ室237内で各ガスの粒子速度が緩和された後、ガス供給孔250dより処理室201内に噴出する。これにより、ガス供給孔250bのそれぞれよりバッファ室237内に噴出したガスは、ガス供給孔250dのそれぞれより処理室201内に噴出する際には、均一な流量と流速とを有するガスとなる。
 このように、本実施形態におけるロングノズルを用いたガス供給の方法では、反応管203の内壁と、積載された複数枚のウエハ200の端部とで定義される円環状の縦長に伸びた空間内、つまり、円筒状の空間内に配置したノズル249a~249cおよびバッファ室237を経由してガスを搬送し、ノズル249a~249cおよびバッファ室237にそれぞれ開口されたガス供給孔250a~250dからウエハ200の近傍で初めて反応管203内にガスを噴出させており、反応管203内におけるガスの主たる流れをウエハ200の表面と平行な方向、すなわち、水平方向としている。このような構成とすることで、各ウエハ200に均一にガスを供給でき、各ウエハ200の表面上に形成される膜の膜厚の均一性を向上させる効果がある。ウエハ200の表面上を流れたガス、すなわち、反応後の残ガスは、排気口、すなわち、後述する排気管231の方向に向かって流れるが、この残ガスの流れの方向は、排気口の位置によって適宜特定され、垂直方向に限ったものではない。
 ガス供給管232aからは、所定元素としてのシリコン(Si)、炭素(C)およびハロゲン元素(フッ素(F)、塩素(Cl)、臭素(Br)等)を含み、所定元素と炭素との化学結合(Si-C結合)を有する原料ガスとして、例えば、Si、アルキレン基としてのメチレン基、およびハロゲン基としてのクロロ基を含む原料ガスであるメチレン基を含むクロロシラン系原料ガスが、MFC241a、バルブ243a、ノズル249aを介して処理室201内に供給される。メチレン基を含むクロロシラン系原料ガスとは、メチレン基およびクロロ基を含むシラン系原料ガスのことであり、少なくともSiと、Cを含んだメチレン基と、ハロゲン元素としてのClとを含む原料ガスのことである。ガス供給管232aから供給されるメチレン基を含むクロロシラン系原料ガスとしては、例えば、メチレンビス(トリクロロシラン)、すなわち、ビス(トリクロロシリル)メタン((SiClCH、略称:BTCSM)ガスを用いることができる。
 図14(a)に示すように、BTCSMは、その化学構造式中(1分子中)にアルキレン基としてのメチレン基を含む。BTCSMに含まれるメチレン基は2つの結合手がそれぞれSiと結合し、Si-C-Si結合をなしている。原料ガスが有するSi-C結合は、例えばBTCSMに含まれるSi-C-Si結合の一部であり、BTCSMに含まれるメチレン基は、かかるSi-C結合を構成するCを含む。
 また、Si,Cおよびハロゲン元素を含みSi-C結合を有する原料ガスには、例えば、Si、アルキレン基としてのエチレン基、およびハロゲン基としてのクロロ基を含む原料ガスであるエチレン基を含むクロロシラン系原料ガスが含まれる。エチレン基を含むクロロシラン系原料ガスとしては、例えば、エチレンビス(トリクロロシラン)、すなわち、1,2-ビス(トリクロロシリル)エタン((SiCl、略称:BTCSE)ガス等を用いることができる。
 図14(b)に示すように、BTCSEは、その化学構造式中(1分子中)にアルキレン基としてのエチレン基を含む。BTCSEに含まれるエチレン基は2つの結合手がそれぞれSiと結合し、Si-C-C-Si結合をなしている。原料ガスが有するSi-C結合は、例えばBTCSEに含まれるSi-C-C-Si結合の一部であり、BTCSEに含まれるエチレン基は、かかるSi-C結合を構成するCを含む。
 なお、アルキレン基とは、一般式C2n+2で表される鎖状飽和炭化水素(アルカン)から水素(H)原子を2つ取り除いた官能基であり、一般式C2nで表される原子の集合体である。アルキレン基には、上記に挙げたメチレン基やエチレン基のほか、プロピレン基やブチレン基などが含まれる。このように、Si,Cおよびハロゲン元素を含みSi-C結合を有する原料ガスには、Si、アルキレン基およびハロゲン元素を含むアルキレンハロシラン系原料ガスが含まれる。アルキレンハロシラン系原料ガスは、アルキレン基を含むハロシラン系原料ガスであり、ハロシラン系原料ガスにおけるSiの結合手に多くのハロゲン元素が結合した状態を維持したまま、例えばSi-Si結合間にアルキレン基が導入された構造を持つガスともいえる。BTCSMガスおよびBTCSEガス等は、アルキレンハロシラン系原料ガスに含まれる。
 ガス供給管232dからは、所定元素としてのシリコン(Si)、炭素(C)およびハロゲン元素を含み、所定元素と炭素との化学結合(Si-C結合)を有する原料ガスとして、例えば、Si、アルキル基としてのメチル基、およびハロゲン基としてのクロロ基を含む原料ガスであるメチル基を含むクロロシラン系原料ガスが、MFC241d、バルブ243d、ノズル249aを介して処理室201内に供給される。ここで、メチル基を含むクロロシラン系原料ガスとは、メチル基およびクロロ基を含むシラン系原料ガスのことであり、少なくともSiと、Cを含んだメチル基と、ハロゲン元素としてのClとを含む原料ガスのことである。ガス供給管232dから供給されるメチル基を含むクロロシラン系原料ガスとしては、例えば、1,1,2,2-テトラクロロ-1,2-ジメチルジシラン((CHSiCl、略称:TCDMDS)ガスを用いることができる。
 図14(c)に示すように、TCDMDSは、その化学構造式中(1分子中)にアルキル基としてのメチル基を2つ含む。TCDMDSに含まれる2つのメチル基は各結合手がそれぞれSiと結合し、Si-C結合をなしている。原料ガスが有するSi-C結合は、例えばTCDMDSに含まれるSi-C結合であり、TCDMDSに含まれる2つのメチル基は、かかるSi-C結合を構成するCをそれぞれ含む。
 また、メチル基を含むクロロシラン系原料ガスには、TCDMDSガスとは異なる他の原料ガスが含まれる。メチル基を含む他のクロロシラン系原料ガスとしては、例えば、1,2-ジクロロ-1,1,2,2-テトラメチルジシラン((CHSiCl、略称:DCTMDS)ガス等を用いることができる。
 図14(d)に示すように、DCTMDSは、その化学構造式中(1分子中)にアルキル基としてのメチル基を4つ含む。DCTMDSに含まれる4つのメチル基は各結合手がそれぞれSiと結合し、Si-C結合をなしている。原料ガスが有するSi-C結合は、例えばDCTMDSに含まれるSi-C結合であり、DCTMDSに含まれる4つのメチル基は、かかるSi-C結合を構成するCをそれぞれ含む。
 なお、アルキル基とは、一般式C2n+2で表される鎖状飽和炭化水素(アルカン)からH原子を1つ取り除いた官能基であり、一般式C2n+1で表される原子の集合体である。アルキル基には、上記に挙げたメチル基のほか、エチル基、プロピル基、ブチル基などが含まれる。このように、Si,Cおよびハロゲン元素を含みSi-C結合を有する原料ガスには、Si、アルキル基およびハロゲン元素を含むアルキルハロシラン系原料ガスが含まれる。アルキルハロシラン系原料ガスは、アルキル基を含むハロシラン系原料ガスであり、ハロシラン系原料ガスの一部のハロゲン基がアルキル基に置き換わった構造を持つガスともいえる。TCDMDSガスおよびDCTMDSガス等は、アルキルハロシラン系原料ガスに含まれる。
 ガス供給管232eからは、所定元素としてのシリコン(Si)およびハロゲン元素を含む原料ガスとして、例えば、Siおよびハロゲン基としてのクロロ基を含む原料ガスであるクロロシラン系原料ガスが、MFC241e、バルブ243e、ノズル249aを介して処理室201内に供給される。ここで、クロロシラン系原料ガスとは、クロロ基を含むシラン系原料ガスのことであり、少なくともSi及びハロゲン元素としてのClを含む原料ガスのことである。すなわち、ここでいうクロロシラン系原料は、ハロゲン化物の一種とも言える。ガス供給管232eから供給されるクロロシラン系原料ガスとしては、例えば、ヘキサクロロジシラン(SiCl、略称:HCDS)ガスを用いることができる。
 図14(e)に示すように、HCDSは、その化学構造式中(1分子中)にSiおよびクロロ基を含む。また、Siおよびハロゲン元素を含む原料ガスとしては、HCDSガスの他、テトラクロロシラン、すなわち、シリコンテトラクロライド(SiCl、略称:STC)ガス、トリクロロシラン(SiHCl、略称:TCS)ガス、ジクロロシラン(SiHCl、略称:DCS)ガス、モノクロロシラン(SiHCl、略称:MCS)ガス等の無機原料ガスを用いることができる。
 ガス供給管232fからは、所定元素としてのシリコン(Si)、炭素(C)および窒素(N)を含み、所定元素と窒素との化学結合(Si-N結合)を有する原料ガスとして、例えば、Siおよびアミノ基(アミン基)を含む原料ガスであるアミノシラン系原料ガスが、MFC241f、バルブ243f、ノズル249aを介して処理室201内に供給される。ここで、アミノシラン系原料ガスとは、アミノ基を含むシラン系原料ガスのことであり、少なくともSiと、CおよびNを含んだアミノ基と、を含む原料ガスのことである。ガス供給管232fから供給されるアミノシラン系原料ガスとしては、例えば、ビス(ジエチルアミノ)シラン(Si[N(C、略称:BDEAS)ガスを用いることができる。
 図14(f)に示すように、BDEASは、その化学構造式中(1分子中)にSiおよびアミノ基を含む。また、Si,CおよびNを含みSi-N結合を有する原料ガスとしては、BDEASガスのほか、トリス(ジエチルアミノ)シラン(SiH[N(C、略称:3DEAS)ガス、テトラキス(ジエチルアミノ)シラン(Si[N(C、略称:4DEAS)ガス、トリス(ジメチルアミノ)シラン(Si[N(CHH、略称:3DMAS)ガス、テトラキス(ジメチルアミノ)シラン(Si[N(CH、略称:4DMAS)ガス等の有機原料ガスを用いることができる。
 ここで、ガス供給管232a,232d,232eから供給されるクロロシラン系原料ガスとは、気体状態のクロロシラン系原料、例えば、常温常圧下で液体状態であるクロロシラン系原料を気化することで得られるガスや、常温常圧下で気体状態であるクロロシラン系原料等のことである。また、ガス供給管232fから供給されるアミノシラン系原料ガスとは、気体状態のアミノシラン系原料、例えば、常温常圧下で液体状態であるアミノシラン系原料を気化することで得られるガスや、常温常圧下で気体状態であるアミノシラン系原料等のことである。なお、本明細書において「原料」という言葉を用いた場合は、「液体状態である液体原料」を意味する場合、「気体状態である原料ガス」を意味する場合、または、その両方を意味する場合がある。従って、本明細書において「クロロシラン系原料」という言葉を用いた場合は、「液体状態であるクロロシラン系原料」を意味する場合、「気体状態であるクロロシラン系原料ガス」を意味する場合、または、その両方を意味する場合がある。また、本明細書において「アミノシラン系原料」という言葉を用いた場合は、「液体状態であるアミノシラン系原料」を意味する場合、「気体状態であるアミノシラン系原料ガス」を意味する場合、または、その両方を意味する場合がある。なお、BTCSM、BTCSE、TCDMDS、DCTMDS、HCDS、BDEASのように常温常圧下で液体状態である液体原料を用いる場合は、液体原料を気化器やバブラ等の気化システムにより気化して、原料ガス(BTCSMガス、BTCSEガス、TCDMDSガス、DCTMDSガス、HCDSガス、BDEASガス)として供給することとなる。
 ガス供給管232bからは、酸化ガスとして、例えば、酸素(O)を含むガス(酸素含有ガス)が、MFC241b、バルブ243b、ノズル249b、バッファ室237を介して処理室201内に供給される。ガス供給管232bから供給される酸化ガスとしては、例えば、水蒸気(HOガス)を用いることができる。なお、HOガスの供給に際しては、図示しない外部燃焼装置に、酸素(O)ガスと水素(H)ガスとを供給して燃焼させてHOガスを生成し、供給する構成としてもよい。
 ガス供給管232gからは、酸化ガスとして、例えば、Oを含むガス(酸素含有ガス)が、MFC241g、バルブ243g、ノズル249b、バッファ室237を介して処理室201内に供給される。ガス供給管232gから供給される酸化ガスとしては、例えば、オゾン(O)ガスを用いることができる。
 ガス供給管232hからは、酸化ガスとして、例えば、Oを含むガス(酸素含有ガス)が、MFC241h、バルブ243h、ノズル249b、バッファ室237を介して処理室201内に供給される。ガス供給管232hから供給される酸化ガスとしては、例えば、酸素(O)ガスを用いることができる。
 ガス供給管232cからは、触媒作用によりウエハ200の表面、あるいは、HOガスが有するO-H結合の結合力を弱め、原料ガスの分解を促進し、また、HOガス等の酸化ガスによる酸化反応を促進する触媒ガスとして、例えば、炭素(C)、窒素(N)および水素(H)を含むアミン系ガスが、MFC241c、バルブ243c、ノズル249cを介して処理室201内に供給される。ここで、アミン系ガスとは、アンモニア(NH)の水素原子のうち少なくとも1つをアルキル基等の炭化水素基で置換したアミンを含むガスである。図15に示すように、触媒ガスとして用いられる各種アミンは、例えば、孤立電子対を有するNを含み、酸解離定数(以下、pKaともいう)が5~11程度である。ここで、酸解離定数(pKa)とは、酸の強さを定量的に表わす指標のひとつであり、酸から水素イオンが放出される解離反応における平衡定数Kaを負の常用対数で表わしたものである。これらのようなアミン系ガスは、炭化水素基が環状となった環状アミン系ガスや、炭化水素基が鎖状となった鎖状アミン系ガスを含む。ガス供給管232cから供給されるアミン系ガスとしては、例えば、環状アミン系ガスであるピリジン(CN)ガスを用いることができる。
 図15(a)に示すように、触媒ガスとして用いられる環状アミンは、例えばピリジン(CN、pKa=5.67)の他、アミノピリジン(C、pKa=6.89)、ピコリン(CN、pKa=6.07)、ルチジン(CN、pKa=6.96)、ピペラジン(C10、pKa=9.80)、およびピペリジン(C11N、pKa=11.12)等を含む。これらの環状アミンは、CとNとの複数種類の元素からその環状構造が構成される複素環化合物、すなわち、窒素含有複素環化合物であるともいえる。
 ガス供給管232iからは、上記と同様の触媒作用を持つ触媒ガスとして、例えば、C,NおよびHを含むアミン系ガスが、MFC241i、バルブ243i、ノズル249cを介して処理室201内に供給される。ガス供給管232iから供給されるアミン系ガスとしては、例えば、鎖状アミン系ガスであるトリエチルアミン((CN、略称:TEA)ガスを用いることができる。
 図15(b)~(f)にそれぞれ示すように、触媒ガスとして用いられる鎖状アミンは、例えばトリエチルアミン((CN、略称:TEA、pKa=10.7)の他、ジエチルアミン((CNH、略称:DEA、pKa=10.9)、モノエチルアミン((C)NH、略称:MEA、pKa=10.6)、トリメチルアミン((CHN、略称:TMA、pKa=9.8)、モノメチルアミン((CH)NH、略称:MMA、pKa=10.6)等を含む。
 これらの触媒ガスとしてのアミン系ガスは、アミン系触媒ガスともいえる。また、触媒ガスとしては、上記に挙げたアミン系ガスの他、非アミン系ガス、つまり、例えばアンモニア(NH、pKa=9.2)ガス等も用いることができる。
 ガス供給管232j~232lからは、例えば、不活性ガスとしての窒素(N)ガスが、それぞれMFC241j~241l、バルブ243j~243l、ガス供給管232a~232c、ノズル249a~249c、バッファ室237を介して処理室201内に供給される。
 不活性ガスとしてのNガスは、パージガス、及び、後述する酸素非含有の雰囲気を生成する酸素(O)を含まない酸素非含有ガスとしても作用する。また、Nガスが酸素非含有ガスとして用いられる際には、Nガスは熱処理ガスやアニールガスとしても作用する場合がある。このような不活性ガス、パージガス、及び酸素非含有ガスは、例えばNガスの他、アルゴン(Ar)ガス、ヘリウム(He)ガス、ネオン(Ne)ガス、キセノン(Xe)ガス等の希ガスを含む。
 各ガス供給管から上述のようなガスをそれぞれ流す場合、主に、ガス供給管232a,232d,232e,232f、MFC241a,241d,241e,241f、バルブ243a,243d,243e,243fにより、原料ガスを供給する原料ガス供給系が構成される。ノズル249a、BTCSMガス供給源242a、TCDMDSガス供給源242d、HCDSガス供給源242e、BDEASガス供給源242fを原料ガス供給系に含めて考えてもよい。原料ガス供給系を原料供給系と称することもできる。また、原料ガス供給系は、それぞれ異なる元素の元素源となる複数種類の原料ガスや、分子構造がそれぞれ異なる複数種類の原料ガスをそれぞれ供給する複数の供給ライン(供給系)の集合体とみることもできる。つまり、原料ガス供給系は、主にガス供給管232a、MFC241a、バルブ243aにより構成されるBTCSMガス供給ラインと、主にガス供給管232d、MFC241d、バルブ243dにより構成されるTCDMDSガス供給ラインと、主にガス供給管232e、MFC241e、バルブ243eにより構成されるHCDSガス供給ラインと、主にガス供給管232f、MFC241f、バルブ243fにより構成されるBDEASガス供給ラインと、の集合体であるといえる。個々の供給ラインに、ノズル249aや、対応する各原料ガス供給源242a,242d,242e,242fを含めて考えてもよい。
 このように、原料ガス供給系を構成する複数の供給ラインは、それぞれ異なる元素の元素源となる複数種類の原料ガスや、分子構造がそれぞれ異なる複数種類の原料ガスをそれぞれ供給するよう構成されている。また、各原料ガスは、それぞれ異なる分子構造、つまり、それぞれ異なる化学構造式を有している。各原料ガスの組成や成分が異なっていてもよい。それぞれ異なる分子構造を有する原料ガスは、化学的性質もそれぞれ異なっている。よって、後述するように、所望の成膜処理に応じて、適宜、原料ガスの種類を選択することで、1台の基板処理装置で様々な組成比、膜質の薄膜を汎用的に、かつ、再現性よく形成できるようになる。
 また、主に、ガス供給管232b,232g,232h、MFC241b,241g,241h、バルブ243b,243g,243hにより、酸化ガス供給系が構成される。ノズル249b、バッファ室237、HOガス供給源242b、Oガス供給源242gおよびOガス供給源242hを酸化ガス供給系に含めて考えてもよい。酸化ガス供給系を酸化剤供給系と称することもできる。また、酸化ガス供給系は、分子構造がそれぞれ異なる複数種類の酸化ガスをそれぞれ供給する複数の供給ライン(供給系)の集合体とみることもできる。つまり、酸化ガス供給系は、主にガス供給管232b、MFC241b、バルブ243bにより構成されるHOガス供給ラインと、主にガス供給管232g、MFC241g、バルブ243gにより構成されるOガス供給ラインと、主にガス供給管232h、MFC241h、バルブ243hにより構成されるOガス供給ラインと、の集合体であるといえる。個々の供給ラインに、ノズル249bやバッファ室237や、対応する各酸化ガス供給源242b,242g,242hを含めて考えてもよい。
 このように、酸化ガス供給系を構成する複数の供給ラインは、分子構造がそれぞれ異なる複数種類の酸化ガスをそれぞれ供給するよう構成されている。また、各酸化ガスは、それぞれ異なる分子構造、つまり、それぞれ異なる化学構造式を有している。各酸化ガスの組成や成分が異なっていてもよい。それぞれ異なる分子構造を有する酸化ガスは、化学的性質もそれぞれ異なっている。よって、例えば、所望の成膜処理に応じて、適宜、酸化ガスの種類を選択することで、1台の基板処理装置で様々な組成比、膜質の薄膜を汎用的に、かつ、再現性よく形成できるようになる。
 また、主に、ガス供給管232c,232i、MFC241c,241i、バルブ243c,243iにより、触媒ガス供給系が構成される。ノズル249c、ピリジンガス供給源242c、TEAガス供給源242iを触媒ガス供給系に含めて考えてもよい。また、触媒ガス供給系は、分子構造がそれぞれ異なる複数種類の触媒ガスをそれぞれ供給する複数の供給ライン(供給系)の集合体とみることもできる。つまり、触媒ガス供給系は、主にガス供給管232c、MFC241c、バルブ243cにより構成されるピリジンガス供給ラインと、主にガス供給管232i、MFC241i、バルブ243iにより構成されるTEAガス供給ラインと、の集合体であるといえる。個々の供給ラインに、ノズル249cや、対応する各触媒ガス供給源242c,242iを含めて考えてもよい。また、上記に挙げたピリジンガスやTEAガスは、後述するように、触媒としてのアミン系ガス、すなわち、アミン系触媒ガスともいえる。以下、各種アミン系触媒ガスを供給する触媒ガス供給系を、アミン系触媒ガス供給系ともいう。
 このように、触媒ガス供給系を構成する複数の供給ラインは、分子構造がそれぞれ異なる複数種類の触媒ガスをそれぞれ供給するよう構成されている。また、各触媒ガスは、それぞれ異なる分子構造、つまり、それぞれ異なる化学構造式を有している。各触媒ガスの組成や成分が異なっていてもよい。それぞれ異なる分子構造を有する触媒ガスは、化学的性質もそれぞれ異なっている。よって、後述するように、所望の成膜処理に応じて、適宜、触媒ガスの種類を選択することで、1台の基板処理装置で様々な組成比、膜質の薄膜を汎用的に、かつ、再現性よく形成できるようになる。
 また、主に、ガス供給管232j~232l、MFC241j~241l、バルブ243j~243lにより、不活性ガス供給系が構成される。なお、ガス供給管232a~232cにおけるガス供給管232j~232lとの接続部より下流側、ノズル249a~249c、バッファ室237、Nガス供給源242j~242lを不活性ガス供給系に含めて考えてもよい。不活性ガス供給系は、複数の供給ラインの集合体とみることもできる。つまり、不活性ガス供給系は、主にガス供給管232j、MFC241j、バルブ243jにより構成される不活性ガス供給ラインと、主にガス供給管232k、MFC241k、バルブ243kにより構成される不活性ガス供給ラインと、主にガス供給管232l、MFC241l、バルブ243lにより構成される不活性ガス供給ラインと、の集合体であるといえる。不活性ガス供給系はパージガス供給系および酸素非含有ガス供給系としても機能する。なお、酸素非含有ガス供給系は、後述する酸素非含有の雰囲気を生成する雰囲気生成部の一部を構成することとなる。
 バッファ室237内には、図2に示すように、導電体からなり、細長い構造を有する2本の棒状電極269,270が、反応管203の下部より上部にわたりウエハ200の積層方向に沿って配設されている。棒状電極269,270のそれぞれは、ノズル249dと平行に設けられている。棒状電極269,270のそれぞれは、上部より下部にわたって電極保護管275により覆われることで保護されている。棒状電極269,270のいずれか一方は、整合器272を介して高周波電源273に接続され、他方は、基準電位であるアースに接続されている。整合器272を介して高周波電源273から棒状電極269,270間に高周波(RF)電力を印加することで、棒状電極269,270間のプラズマ生成領域224にプラズマが生成される。主に、棒状電極269,270、電極保護管275によりプラズマ発生器(プラズマ発生部)としてのプラズマ源が構成される。整合器272、高周波電源273をプラズマ源に含めて考えてもよい。プラズマ源は、ガスをプラズマ状態に活性化(励起)させる活性化機構(励起部)として機能する。
 電極保護管275は、棒状電極269,270のそれぞれをバッファ室237内の雰囲気と隔離した状態でバッファ室237内に挿入できる構造となっている。ここで、電極保護管275の内部の酸素濃度が外気(大気)の酸素濃度と同程度であると、電極保護管275内にそれぞれ挿入された棒状電極269,270は、ヒータ207による熱で酸化されてしまう。そこで、電極保護管275の内部にNガスなどの不活性ガスを充填しておくか、不活性ガスパージ機構を用いて電極保護管275の内部をNガスなどの不活性ガスでパージすることで、電極保護管275の内部の酸素濃度を低減させ、棒状電極269,270の酸化を抑制することができるように構成されている。
 反応管203には、処理室201内の雰囲気を排気する排気管231が設けられている。排気管231には、処理室201内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ245および圧力調整器(圧力調整部)としてのAPC(Auto Pressure Controller)バルブ244を介して、真空排気装置としての真空ポンプ246が接続されている。APCバルブ244は、真空ポンプ246を作動させた状態で弁を開閉することで、処理室201内の真空排気および真空排気停止を行うことができ、更に、真空ポンプ246を作動させた状態で、圧力センサ245により検出された圧力情報に基づいて弁開度を調節することで、処理室201内の圧力を調整することができるように構成されているバルブである。主に、排気管231、APCバルブ244、圧力センサ245により、排気系が構成される。真空ポンプ246を排気系に含めて考えてもよい。排気管231は、反応管203に設ける場合に限らず、ノズル249a~249cと同様にマニホールド209に設けてもよい。
 また、主に、上記の排気系、および上述の酸素非含有ガス供給系により、処理室201内に酸素非含有の雰囲気を生成する雰囲気生成部が構成される。排気系は、処理室201内を真空排気することで排気系単独で、或いは、処理室201内のウエハ200に対して酸素非含有ガスを供給する酸素非含有ガス供給系と協働して、処理室201内の雰囲気を酸素非含有の雰囲気とする。
 マニホールド209の下方には、マニホールド209の下端開口を気密に閉塞可能な炉口蓋体としてのシールキャップ219が設けられている。シールキャップ219はマニホールド209の下端に垂直方向下側から当接されるように構成されている。シールキャップ219は例えばステンレス等の金属からなり、円盤状に形成されている。シールキャップ219の上面にはマニホールド209の下端と当接するシール部材としてのOリング220bが設けられている。シールキャップ219の処理室201と反対側には、後述するボート217を回転させる回転機構267が設置されている。回転機構267の回転軸255はシールキャップ219を貫通してボート217に接続されている。回転機構267は、ボート217を回転させることでウエハ200を回転させるように構成されている。シールキャップ219は、反応管203の外部に垂直に設置された昇降機構としてのボートエレベータ115によって垂直方向に昇降されるように構成されている。ボートエレベータ115は、シールキャップ219を昇降させることで、ボート217を処理室201内外に搬入および搬出することが可能なように構成されている。すなわち、ボートエレベータ115は、ボート217およびボート217に支持されるウエハ200を、処理室201内外に搬送する搬送装置(搬送機構)として構成される。
 基板支持具としてのボート217は、例えば石英や炭化シリコン等の耐熱性材料からなり、複数枚のウエハ200を水平姿勢で、かつ、互いに中心を揃えた状態で整列させて多段に支持するように構成されている。ボート217の下部には、例えば石英や炭化シリコン等の耐熱性材料からなる断熱部材218が設けられており、ヒータ207からの熱がシールキャップ219側に伝わりにくくなるよう構成されている。なお、断熱部材218は、石英や炭化シリコン等の耐熱性材料からなる複数枚の断熱板と、これら断熱板を水平姿勢で多段に支持する断熱板ホルダとにより構成してもよい。
 反応管203内には温度検出器としての温度センサ263が設置されており、温度センサ263により検出された温度情報に基づきヒータ207への通電具合を調整することで、処理室201内の温度が所望の温度分布となるように構成されている。温度センサ263は、ノズル249a~249cと同様にL字型に構成されており、反応管203の内壁に沿って設けられている。
 図3に示すように、制御部(制御手段)であるコントローラ121は、CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶装置121c、I/Oポート121dを備えたコンピュータとして構成されている。RAM121b、記憶装置121c、I/Oポート121dは、内部バス121eを介して、CPU121aとデータ交換可能なように構成されている。コントローラ121には、例えばタッチパネル等として構成された入出力装置122が接続されている。
 記憶装置121cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置121c内には、基板処理装置の動作を制御する制御プログラムや、後述する薄膜形成等の基板処理の手順や条件などが記載されたプロセスレシピ等が、読み出し可能に格納されている。プロセスレシピは、後述する薄膜形成工程等の基板処理工程における各手順をコントローラ121に実行させ、所定の結果を得ることが出来るように組み合わされたものであり、プログラムとして機能する。以下、このプロセスレシピや制御プログラム等を総称して、単に、プログラムともいう。本明細書においてプログラムという言葉を用いた場合は、プロセスレシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、その両方を含む場合がある。RAM121bは、CPU121aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。
 I/Oポート121dは、上述のMFC241a~241l、バルブ243a~243l、圧力センサ245、APCバルブ244、真空ポンプ246、温度センサ263、ヒータ207、整合器272、高周波電源273、回転機構267、ボートエレベータ115等に接続されている。
 CPU121aは、記憶装置121cから制御プログラムを読み出して実行すると共に、入出力装置122からの操作コマンドの入力等に応じて記憶装置121cからプロセスレシピを読み出すように構成されている。そして、CPU121aは、読み出したプロセスレシピの内容に沿うように、MFC241a~241lによる各種ガスの流量調整動作、バルブ243a~243lの開閉動作、APCバルブ244の開閉動作及び圧力センサ245に基づくAPCバルブ244による圧力調整動作、真空ポンプ246の起動および停止、温度センサ263に基づくヒータ207の温度調整動作、回転機構267によるボート217の回転および回転速度調節動作、ボートエレベータ115によるボート217の昇降動作、整合器272によるインピーダンス調整動作、高周波電源273の電力供給等を制御するように構成されている。
 コントローラ121は、専用のコンピュータとして構成されている場合に限らず、汎用のコンピュータとして構成されていてもよい。例えば、上述のプログラムを格納した外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)123を用意し、かかる外部記憶装置123を用いて汎用のコンピュータにプログラムをインストールすること等により、本実施形態にかかるコントローラ121を構成することができる。但し、コンピュータにプログラムを供給するための手段は、外部記憶装置123を介して供給する場合に限らない。例えば、インターネットや専用回線等の通信手段を用い、外部記憶装置123を介さずにプログラムを供給するようにしてもよい。記憶装置121cや外部記憶装置123は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に、記録媒体ともいう。本明細書において記録媒体という言葉を用いた場合は、記憶装置121c単体のみを含む場合、外部記憶装置123単体のみを含む場合、または、その両方を含む場合がある。
(2)薄膜形成工程
 次に、上述の基板処理装置の処理炉202を用いて、半導体装置(半導体デバイス)の製造工程の一工程として、基板上に薄膜を形成(成膜)するシーケンス例について説明する。以下の説明において、基板処理装置を構成する各部の動作はコントローラ121により制御される。
 本実施形態では、
 基板としてのウエハ200に対して所定元素としてのシリコン(Si)、炭素(C)およびハロゲン元素を含み所定元素と炭素との化学結合(Si-C結合)を有する原料ガスを供給する工程と、
 ウエハ200に対して酸化ガスを供給する工程と、
 ウエハ200に対して触媒ガスを供給する工程と、
 を含むサイクルを所定回数行うことにより、ウエハ200上に、シリコン(Si)、酸素(O)および炭素(C)を含む薄膜を形成する工程を行う。
 このとき、
 原料ガスを供給する工程を、触媒ガスを供給する工程を実施した状態で行い、
 酸化ガスを供給する工程を、触媒ガスを供給する工程を実施した状態で行う。
 また、本実施形態では、
 薄膜を形成する工程におけるウエハ200の温度よりも高い第1の温度で上記薄膜を熱処理することにより、上記薄膜中から第1の不純物を除去する工程と、
 第1の温度以上の第2の温度で上記薄膜を熱処理することにより、第1の温度で熱処理した後の上記薄膜中から、第1の不純物とは異なる第2の不純物を除去する工程と、を更に行う。
 これらの熱処理は、酸素非含有の雰囲気下で行われる。
 また、本実施形態では、各工程は、ノンプラズマの雰囲気下で行われる。
 本実施形態では、形成する薄膜の組成比が化学量論組成、または、化学量論組成とは異なる所定の組成比となるようにすることを目的として、形成する薄膜を構成する複数の元素を含む複数種類のガスの供給条件を制御する。例えば、形成する薄膜を構成する複数の元素のうち少なくとも一つの元素が他の元素よりも化学量論組成に対し過剰となるようにすることを目的として、供給条件を制御する。以下、形成する薄膜を構成する複数の元素の比率、すなわち、薄膜の組成比を制御しつつ成膜を行うシーケンス例について説明する。
 以下、本実施形態の成膜シーケンスを、図4、図5(a)を用いて具体的に説明する。
 ここでは、
 ウエハ200に対して原料ガスとしてBTCSMガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い、
 ウエハ200に対して酸化ガスとしてHOガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い、
 これらの工程を含むサイクルを所定回数(n回)行うことにより、ウエハ200上に、Si,OおよびCを含む薄膜としてシリコン酸炭化膜(以下、SiOC膜ともいう)を形成する工程を行い、
 ウエハ200に対して酸素非含有ガスとしてNガスを供給することにより生成された酸素非含有の雰囲気下にて、SiOC膜を形成する工程におけるウエハ200の温度よりも高い第1の温度でSiOC膜を熱処理することにより、SiOC膜中から第1の不純物を除去し、
 ウエハ200に対して酸素非含有ガスとしてNガスを供給することにより生成された酸素非含有の雰囲気下にて、第1の温度以上の第2の温度でSiOC膜を熱処理することにより、第1の温度で熱処理した後のSiOC膜中から、第1の不純物とは異なる第2の不純物を除去する例について説明する。なお、このSiOC膜を、Cを含むSiO膜や、Cがドープ(添加)されたSiO膜ということもできる。
 本明細書において「ウエハ」という言葉を用いた場合は、「ウエハそのもの」を意味する場合や、「ウエハとその表面に形成された所定の層や膜等との積層体(集合体)」を意味する場合、すなわち、表面に形成された所定の層や膜等を含めてウエハと称する場合がある。また、本明細書において「ウエハの表面」という言葉を用いた場合は、「ウエハそのものの表面(露出面)」を意味する場合や、「ウエハ上に形成された所定の層や膜等の表面、すなわち、積層体としてのウエハの最表面」を意味する場合がある。
 本明細書において「ウエハに対して所定のガスを供給する」と記載した場合は、「ウエハそのものの表面(露出面)に対して所定のガスを直接供給する」ことを意味する場合や、「ウエハ上に形成されている層や膜等に対して、すなわち、積層体としてのウエハの最表面に対して所定のガスを供給する」ことを意味する場合がある。また、本明細書において「ウエハ上に所定の層(又は膜)を形成する」と記載した場合は、「ウエハそのものの表面(露出面)上に所定の層(又は膜)を直接形成する」ことを意味する場合や、「ウエハ上に形成されている層や膜等の上、すなわち、積層体としてのウエハの最表面の上に所定の層(又は膜)を形成する」ことを意味する場合がある。
 本明細書において「基板」という言葉を用いた場合も、「ウエハ」という言葉を用いた場合と同様であり、その場合、上記説明において、「ウエハ」を「基板」に置き換えて考えればよい。
(ウエハチャージ及びボートロード)
 複数枚のウエハ200がボート217に装填(ウエハチャージ)されると、図1に示すように、複数枚のウエハ200を支持したボート217は、ボートエレベータ115によって持ち上げられて処理室201内に搬入(ボートロード)される。この状態で、シールキャップ219はOリング220bを介してマニホールド209の下端をシールした状態となる。
(圧力調整及び温度調整)
 処理室201内が所望の圧力(真空度)となるように真空ポンプ246によって真空排気される。この際、処理室201内の圧力は圧力センサ245で測定され、この測定された圧力情報に基づきAPCバルブ244がフィードバック制御される(圧力調整)。真空ポンプ246は、少なくともウエハ200に対する処理が終了するまでの間は常時作動させた状態を維持する。また、処理室201内のウエハ200が所望の温度となるようにヒータ207によって加熱される。この際、処理室201内が所望の温度分布となるように、温度センサ263が検出した温度情報に基づきヒータ207への通電具合がフィードバック制御される(温度調整)。ヒータ207による処理室201内の加熱は、少なくともウエハ200に対する処理が終了するまでの間は継続して行われる。ただし、後述するように、室温でウエハ200に対する処理を行う場合は、ヒータ207による処理室201内の加熱は行わなくてもよい。続いて、回転機構267によるボート217及びウエハ200の回転を開始する。回転機構267によるボート217及びウエハ200の回転は、少なくともウエハ200に対する処理が終了するまでの間は継続して行われる。
(SiOC膜形成工程)
 その後、次の2つのステップ、すなわち、ステップ1a,2aを順次実行する。
 [ステップ1a]
(BTCSMガス+ピリジンガス供給)
 バルブ243aを開き、ガス供給管232a内にBTCSMガスを流す。BTCSMガスは、MFC241aにより流量調整され、ガス供給孔250aから処理室201内に供給され、排気管231から排気される。このとき、ウエハ200に対してBTCSMガスが供給されることとなる(BTCSMガス供給)。このとき同時にバルブ243jを開き、ガス供給管232j内にNガス等の不活性ガスを流す。Nガスは、MFC241jにより流量調整され、BTCSMガスと一緒に処理室201内に供給され、排気管231から排気される。
 また、バルブ243cを開き、ガス供給管232c内にピリジンガスを流す。ピリジンガスは、MFC241cにより流量調整され、ガス供給孔250cから処理室201内に供給され、排気管231から排気される。このとき、ウエハ200に対してピリジンガスが供給されることとなる(ピリジンガス供給)。このとき同時にバルブ243lを開き、ガス供給管232l内にNガス等の不活性ガスを流す。Nガスは、MFC241lにより流量調整され、ピリジンガスと一緒に処理室201内に供給され、排気管231から排気される。
 また、バッファ室237内やノズル249b内へのBTCSMガスおよびピリジンガスの侵入を防止するため、バルブ243kを開き、ガス供給管232k内にNガスを流す。Nガスは、ガス供給管232b、ノズル249b、バッファ室237を介して処理室201内に供給され、排気管231から排気される。
 このとき、APCバルブ244を適正に調整して、処理室201内の圧力を、例えば1~13330Pa、好ましくは133~2666Paの範囲内の圧力とする。MFC241aで制御するBTCSMガスの供給流量は、例えば1~2000sccm、好ましくは10~1000sccmの範囲内の流量とする。MFC241cで制御するピリジンガスの供給流量は、例えば1~2000sccm、好ましくは10~1000sccmの範囲内の流量とする。MFC241j~241lで制御するNガスの供給流量は、それぞれ例えば100~10000sccmの範囲内の流量とする。BTCSMガス及びピリジンガスをウエハ200に対して供給する時間、すなわち、ガス供給時間(照射時間)は、例えば1~100秒、好ましくは5~60秒の範囲内の時間とする。
 このときヒータ207の温度は、ウエハ200の温度が、例えば室温以上150℃以下、好ましくは室温以上100℃以下、より好ましくは50℃以上100℃以下の範囲内の温度となるような温度に設定する。BTCSMガス供給時に、触媒ガスを供給しない場合には、ウエハ200の温度が250℃未満となるとウエハ200上にBTCSMが化学吸着しにくくなり、実用的な成膜レートが得られなくなることがある。本実施形態のように、触媒ガスとしてのピリジンガスを供給することで、ウエハ200の温度を250℃未満としても、これを解消することが可能となる。ピリジンガスの存在下において、ウエハ200の温度を150℃以下、さらには100℃以下とすることで、ウエハ200に加わる熱量を低減することができ、ウエハ200の受ける熱履歴の制御を良好に行うことができる。ピリジンガスの存在下では、ウエハ200の温度が室温以上の温度であれば、ウエハ200上にBTCSMを充分に吸着させることができ、充分な成膜レートが得られることとなる。よって、ウエハ200の温度は室温以上150℃以下、好ましくは室温以上100℃以下、より好ましくは50℃以上100℃以下の範囲内の温度とするのがよい。
 上述の条件下でウエハ200に対してBTCSMガスを供給することにより、ウエハ200(表面の下地膜)上に、第1の層として、例えば1原子層未満から数原子層程度の厚さのCおよびClを含むシリコン含有層(Si含有層)が形成される。CおよびClを含むSi含有層は、CおよびClを含むシリコン層(Si層)であってもよいし、BTCSMガスの吸着層であってもよいし、その両方を含んでいてもよい。
 CおよびClを含むSi層とは、Siにより構成されCおよびClを含む連続的な層の他、不連続な層や、これらが重なってできるCおよびClを含むシリコン薄膜(Si薄膜)をも含む総称である。Siにより構成されCおよびClを含む連続的な層を、CおよびClを含むSi薄膜という場合もある。CおよびClを含むSi層を構成するSiは、CやClとの結合が完全に切れていないものの他、CやClとの結合が完全に切れているものも含む。
 BTCSMガスの吸着層は、BTCSMガスのガス分子の連続的な吸着層の他、不連続な吸着層をも含む。すなわち、BTCSMガスの吸着層は、BTCSM分子で構成される1分子層もしくは1分子層未満の厚さの吸着層を含む。BTCSMガスの吸着層を構成するBTCSM((SiClCH)分子は、図14(a)に化学構造式を示すものだけでなく、SiとCとの結合が一部切れたものや、SiとClとの結合が一部切れたものも含む。すなわち、BTCSMガスの吸着層は、BTCSM分子の化学吸着層や、BTCSM分子の物理吸着層を含む。
 ここで、1原子層未満の厚さの層とは不連続に形成される原子層のことを意味しており、1原子層の厚さの層とは連続的に形成される原子層のことを意味している。また、1分子層未満の厚さの層とは不連続に形成される分子層のことを意味しており、1分子層の厚さの層とは連続的に形成される分子層のことを意味している。なお、CおよびClを含むSi含有層は、CおよびClを含むSi層とBTCSMガスの吸着層との両方を含み得るが、上述の通り、CおよびClを含むSi含有層については「1原子層」、「数原子層」等の表現を用いることとする。
 ウエハ200上に形成される第1の層としてのCおよびClを含むSi含有層の厚さが数原子層を超えると、後述するステップ2aでの酸化の作用が第1の層の全体に届かなくなる。また、ウエハ200上に形成可能な第1の層の厚さの最小値は1原子層未満である。よって、第1の層の厚さは1原子層未満から数原子層程度とするのが好ましい。第1の層の厚さを1原子層以下、すなわち、1原子層または1原子層未満とすることで、後述するステップ2aでの酸化反応の作用を相対的に高めることができ、ステップ2aでの酸化反応に要する時間を短縮することもできる。ステップ1aでの第1の層の形成に要する時間を短縮することもできる。結果として、1サイクルあたりの処理時間を短縮することができ、トータルでの処理時間を短縮することも可能となる。すなわち、成膜レートを高くすることも可能となる。また、第1の層の厚さを1原子層以下とすることで、膜厚均一性の制御性を高めることも可能となる。
 BTCSMガスが自己分解(熱分解)する条件下、すなわち、BTCSMの熱分解反応が生じる条件下では、ウエハ200上にSiが堆積することでCおよびClを含むSi層が形成される。BTCSMガスが自己分解(熱分解)しない条件下、すなわち、BTCSMの熱分解反応が生じない条件下では、ウエハ200上にBTCSMガスが吸着することでBTCSMガスの吸着層が形成される。ウエハ200上にBTCSMガスの吸着層を形成するよりも、ウエハ200上にCおよびClを含むSi層を形成する方が、成膜レートを高くすることができ、好ましい。但し、本実施形態では、ウエハ200の温度を例えば150℃以下の低温としているので、ウエハ200上にCおよびClを含むSi層が形成されるよりも、ウエハ200上にBTCSMガスの吸着層が形成される方が、優位となる可能性がある。さらに、触媒ガスを供給しない場合には、BTCSMガスの吸着層においては、ウエハ200表面等の下地に対する結合やBTCSM分子同士の結合が、化学吸着よりも弱い物理吸着の状態が優位となってしまう可能性がある。すなわち、触媒ガスを供給しない場合には、BTCSMガスの吸着層は、その殆どがBTCSMガスの物理吸着層から構成されてしまう可能性がある。
 触媒ガスとしてのピリジンガスは、ウエハ200の表面に存在するO-H結合の結合力を弱め、BTCSMガスの分解を促し、BTCSM分子の化学吸着による第1の層の形成を促進させる。すなわち、図6(a)に示すように、例えばウエハ200の表面に存在するO-H結合に、触媒ガスとしてのピリジンガスが作用してO-H間の結合力を弱める。結合力の弱まったHとBTCSMガスのClとが反応することで塩化水素(HCl)ガスが生成されて脱離し、Clを失ったBTCSM分子(ハロゲン化物)がウエハ200等の表面に化学吸着する。すなわち、ウエハ200等の表面に、BTCSMガスの化学吸着層が形成される。ピリジンガスがO-H間の結合力を弱めるのは、ピリジン分子中の孤立電子対を有するN原子が、Hを引きつける作用を持つためである。N原子等を含む所定の化合物がHを引きつける作用の大きさは、例えば上述の酸解離定数(pKa)を指標の1つとすることができる。
 上述の通り、pKaは、酸から水素イオンが放出される解離反応における平衡定数Kaを負の常用対数で表した定数であり、pKaが大きい化合物はHを引き付ける力が強い。例えば、pKaが5以上の化合物を触媒ガスとして用いることで、BTCSMガスの分解を促して第1の層の形成を促進させることができる。一方で、触媒ガスのpKaが過度に大きいと、BTCSM分子から引き抜かれたClと触媒ガスとが結合し、これにより、塩化アンモニウム(NHCl)等の塩(Salt:イオン化合物)が生じ、パーティクル源となる場合がある。これを抑制するには、触媒ガスのpKaを11程度以下、好ましくは7以下とすることが望ましい。ピリジンガスはpKaが約5.67と比較的大きく、Hを引きつける力が強い。また、pKaが7以下であるので、パーティクルも発生し難い。
 以上のように、触媒ガスとしてのピリジンガスをBTCSMガスと共に供給することで、例えば150℃以下の低温条件下であっても、BTCSMガスの分解を促進し、BTCSMガスの物理吸着層の形成ではなく化学吸着層の形成が優勢となるよう、第1の層を形成することができる。
 また、以上のように、BTCSMガス等のようなSi,Cおよびハロゲン元素を含みSi-C結合を有する原料ガスを用いることで、例えば150℃以下の比較的低温の条件下であっても、第1の層中にCを取り込むことができる。このCを含む第1の層が、その後に行われるステップ2aにおいて酸化され、例えばCを高濃度に含むシリコン酸炭化層(SiOC層)や、かかるSiOC層が積層されてなり、Cを高濃度に含むSiOC膜を形成することができる。また、SiOC層やSiOC膜中のC濃度を精度よく制御することができる。
 ここで、低温条件下で触媒ガスを用いて得られるSiO膜においては、1%濃度のフッ酸(1%HF水溶液)に対するウエットエッチングレート(以降、WERともいう)が例えば約600Å/minである。低温条件下でプラズマを用いて得られるSiO膜であっても、かかるWERは例えば約200Å/minである。酸化炉内でシリコンウエハを熱酸化して得られる熱酸化膜において、WERが例えば約60Å/minであることからみれば、低温条件下で成膜されたSiO膜のWERは3倍以上高い。このように高い数値のWERは、これらのSiO膜のエッチング耐性が例えば熱酸化膜より劣っていることを示す。エッチング耐性の向上のためには、例えばC等を含むSiO膜、すなわち、SiOC膜を形成することが望ましい。
 この場合、比較的高温、例えば600~800℃での成膜等においては、SiOC膜は、例えば以下のような方法で形成することができる。すなわち、HCDSガスやBDEASガス等を用いてSi含有層を形成する工程や、これを酸化ガスにて酸化してシリコン酸化層(SiO層)とする工程に加え、例えばプロピレン(C)ガス等の炭化水素系ガスを熱やプラズマで励起する等してウエハ200に対して供給する工程を設ける。これにより、Si含有層又はSiO層中にCが取り込まれてSiOC層が形成され、結果として、SiOC膜を形成することができる。
 しかしながら、本実施形態のように、例えば150℃以下の比較的低温で成膜を行う場合には、層中へCを取り込むことが難しく、SiOC膜を形成することが困難である。つまり、形成される薄膜において、充分な炭素濃度(C濃度)が得られず、例えばCを殆ど含まないSiO膜が形成されてしまうことがある。このため、充分にエッチング耐性を高めることが困難となることがある。
 そこで、本実施形態では、原料ガスとして、例えばBTCSMガス等のようなアルキレンハロシラン系原料ガス、すなわち、Si,Cおよびハロゲン元素を含みSi-C結合を有する原料ガスを用いることとした。これにより、ウエハ200上に初期層として第1の層を形成する段階で第1の層中にCを取り込むことができ、充分なC濃度を有するSiOC層やSiOC膜を形成することができる。また、SiOC層やSiOC膜中のC濃度を精度よく制御することができる。
(残留ガス除去)
 第1の層としてのCおよびClを含むSi含有層がウエハ200上に形成された後、バルブ243aを閉じ、BTCSMガスの供給を停止する。また、バルブ243cを閉じ、ピリジンガスの供給を停止する。このとき、排気管231のAPCバルブ244は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内に残留する未反応もしくは第1の層の形成に寄与した後のBTCSMガス及びピリジンガスを処理室201内から排除する(残留ガス除去)。また、バルブ243j~243lは開いたままとして、不活性ガスとしてのNガスの処理室201内への供給を維持する。Nガスはパージガスとして作用し、これにより、処理室201内に残留する未反応もしくは第1の層の形成に寄与した後のBTCSMガス及びピリジンガスを処理室201内から排除する効果を高めることができる。
 このとき、処理室201内に残留するガスを完全に排除しなくてもよく、処理室201内を完全にパージしなくてもよい。処理室201内に残留するガスが微量であれば、その後に行われるステップ2aにおいて悪影響が生じることはない。処理室201内に供給するNガスの流量も大流量とする必要はなく、例えば、反応管203(処理室201)の容積と同程度の量を供給することで、ステップ2aにおいて悪影響が生じない程度のパージを行うことができる。このように、処理室201内を完全にパージしないことで、パージ時間を短縮し、スループットを向上させることができる。Nガスの消費も必要最小限に抑えることが可能となる。
 Si,Cおよびハロゲン元素を含みSi-C結合を有する原料ガスとしては、BTCSMガスの他、BTCSEガス、TCDMDSガス、およびDCTMDSガス等を用いてもよい。触媒ガスとしては、ピリジンガスの他、アミノピリジンガス、ピコリンガス、ルチジンガス、ピペラジン、およびピペリジンガス等の環状アミン系ガスを用いてもよく、また、TEAガス、DEAガス、MEAガス、TMAガス、MMAガス等の鎖状アミン系ガスを用いてもよく、また、NHガス等の非アミン系ガスを用いてもよい。不活性ガスとしては、Nガスの他、Arガス、Heガス、Neガス、Xeガス等の希ガスを用いてもよい。
 [ステップ2a]
(HOガス+ピリジンガス供給)
 ステップ1aが終了し処理室201内の残留ガスを除去した後、バルブ243bを開き、ガス供給管232bにHOガスを流す。HOガスは、MFC241bにより流量調整され、ガス供給孔250bからバッファ室237内に供給されてガス供給孔250dから処理室201内に供給され、排気管231から排気される。このとき、ノンプラズマの雰囲気下で、ウエハ200に対してHOガスが供給されることとなる(HOガス供給)。このとき同時にバルブ243kを開き、ガス供給管232k内に不活性ガスとしてのNガスを流す。Nガスは、MFC241kにより流量調整され、HOガスと一緒に処理室201内に供給され、排気管231から排気される。
 また、ステップ1aにおけるピリジンガスの供給と同様にして、ウエハ200に対してピリジンガスを供給する(ピリジンガス供給)。
 また、ノズル249a内へのHOガスおよびピリジンガスの侵入を防止するため、バルブ243jを開き、ガス供給管232j内にNガスを流す。Nガスは、ガス供給管232a、ノズル249aを介して処理室201内に供給され、排気管231から排気される。
 このとき、APCバルブ244を適正に調整して、処理室201内の圧力を、例えば1~13330Pa、好ましくは133~2666Paの範囲内の圧力とする。MFC241bで制御するHOガスの供給流量は、例えば1000~10000sccm、好ましくは10~1000sccmの範囲内の流量とする。MFC241cで制御するピリジンガスの供給流量は、例えば1~2000sccm、好ましくは10~1000sccmの範囲内の流量とする。MFC241j~241lで制御するNガスの供給流量は、それぞれ例えば100~10000sccmの範囲内の流量とする。HOガス及びピリジンガスをウエハ200に対して供給する時間、すなわち、ガス供給時間(照射時間)は、例えば1~100秒、好ましくは5~60秒の範囲内の時間とする。ヒータ207の温度は、ウエハ200の温度が、ステップ1aでのウエハ200の温度と同様な温度帯、すなわち、例えば室温以上150℃以下、好ましくは室温以上100℃以下、より好ましくは50℃以上100℃以下の範囲内の温度となるように設定する。
 処理室201内に供給されたHOガスは熱で活性化され、排気管231から排気される。このときウエハ200に対して、熱で活性化されたHOガスが供給されることとなる。すなわち、処理室201内に流しているガスは熱的に活性化されたHOガスであり、処理室201内にはBTCSMガスは流していない。したがって、HOガスは気相反応を起こすことはなく、活性化された状態でウエハ200に対して供給され、ステップ1aでウエハ200上に形成された第1の層(CおよびClを含むSi含有層)の少なくとも一部と反応する。これにより、第1の層は、ノンプラズマで熱的に酸化されて、Si,OおよびCを含む第2の層、すなわち、SiOC層へと変化させられる。
 触媒ガスとしてのピリジンガスは、HOガスが有するO-H結合の結合力を弱め、HOガスの分解を促し、HOガスと第1の層との反応を促進させる。すなわち、図6(b)に示すように、HOガスの有するO-H結合に触媒としてのピリジンガスが作用し、O-H間の結合力を弱める。結合力の弱まったHと、ウエハ200上に形成された第1の層が有するClとが反応することで、HClガスが生成されて脱離し、Hを失ったHOガスのOが、Clが脱離して少なくともCの一部が残った第1の層のSiと結合する。
 また、ピリジンガスの供給を実施した状態でHOガスを供給する工程(HOガスとピリジンガスとを供給する工程)では、所望の膜組成等に応じて、供給するピリジンガスの供給量を適宜調整することができる。ピリジンガスの供給量を増加させるとピリジンガスの作用が高まってHOガスの酸化力が向上し、Si-C結合が切断されてCが脱離し易くなり、結果、SiOC層中のC濃度が低下する。ピリジンガスの供給量を低下させるとピリジンガスの作用が弱まってHOガスの酸化力が低下し、Si-C結合が維持され易くなり、結果、SiOC層中のC濃度が高まる。従って、ピリジンガスの供給量を適宜調整することにより、SiOC層中の、ひいては、SiOC層が積層されてなるSiOC膜中のC濃度や、シリコン濃度(Si濃度)や、酸素濃度(O濃度)等を相対的に変化させることができる。
 また、触媒ガスの供給を実施した状態で酸化ガスを供給する工程(酸化ガスと触媒ガスとを供給する工程)において供給する触媒ガスの供給量の調整は、上述の触媒ガスの供給を実施した状態で原料ガスを供給する工程(原料ガスと触媒ガスとを供給する工程)において供給する触媒ガスの供給量の調整とは独立別個に行うことができる。つまり、両工程における触媒ガスの供給量が同一となるようにそれぞれ調整してもよく、異なるようにそれぞれ調整してもよい。
 また、触媒ガスの供給量や流量等を異なる数値に設定したプロセスレシピ(処理手順や処理条件が記載されたプログラム)を予め複数用意しておくことで、触媒ガスの供給量の調整が容易となる。オペレータ(操作員)は、所望の膜組成等に応じて、適正なプロセスレシピを適宜選択し、成膜処理を実行すればよい。
 なお、例えば150℃以下の低温条件下で形成されたSiOC層には、水分(HO)や塩素(Cl)等の不純物(第1の不純物)や炭化水素化合物等の炭化水素(C)系の不純物(第2の不純物)が混入され易い。よって、このようなSiOC層が積層されてなるSiOC膜中にも、水分やCl等の不純物や、C系の不純物が多く含まれる場合がある。水分等の不純物は、例えば、酸化ガスとして用いたHOガスや、処理室201内にウエハ200を搬入する際に外部から持ち込まれた水分等に由来する。Cl等の不純物は、例えばBTCSM分子中のCl等に由来する。C系の不純物は、例えばBTCSM分子中のC、Hやピリジン分子中のC、Hに由来する。すなわち、ピリジンはその一部が分解される場合もあり、この場合、ピリジンは反応の速度を変化させるが化学反応の前後でそれ自身の一部が変化することから、厳密には触媒ではない。しかしながら、その場合であっても、ピリジンは、その大部分が分解されることはない。すなわち、その場合であても、ピリジンは、反応の速度を変化させ、化学反応の前後でそれ自身の大部分が変化しないことから、実質的に触媒として作用する。本明細書では、本実施形態の反応系におけるピリジンのように、その一部は分解される場合もあるが、大部分は分解されず、実質的に触媒として作用する物質を、触媒と称することとしている。
(残留ガス除去)
 その後、バルブ243bを閉じ、HOガスの供給を停止する。また、バルブ243cを閉じ、ピリジンガスの供給を停止する。このとき、排気管231のAPCバルブ244は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内に残留する未反応もしくは反応に寄与した後のHOガスやピリジンガスや反応副生成物を処理室201内から排除する(残留ガス除去)。また、バルブ243j~243lは開いたままとして、不活性ガスとしてのNガスの処理室201内への供給を維持する。Nガスはパージガスとして作用し、これにより、処理室201内に残留する未反応もしくは第2の層の形成に寄与した後のHOガスやピリジンガスや反応副生成物を処理室201内から排除する効果を高めることができる。
 このとき、処理室201内に残留するガスを完全に排除しなくてもよく、処理室201内を完全にパージしなくてもよい。処理室201内に残留するガスが微量であれば、その後に行われるステップ1aにおいて悪影響が生じることはない。処理室201内に供給するNガスの流量も大流量とする必要はなく、例えば、反応管203(処理室201)の容積と同程度の量を供給することで、ステップ1aにおいて悪影響が生じない程度のパージを行うことができる。このように、処理室201内を完全にパージしないことで、パージ時間を短縮し、スループットを向上させることができる。Nガスの消費も必要最小限に抑えることが可能となる。
 酸化ガスとしては、HOガスの他、過酸化水素(H)ガス、水素(H)ガス+酸素(O)ガス、Hガス+オゾン(O)ガス等を用いてもよい。また、水素(H)を含有しないガス、すなわち、Oガス等を単独で用いてもよい。触媒ガスとしては、ピリジンガスの他、上記に挙げた各種のアミン系ガス、又は非アミン系ガスを用いてもよい。不活性ガスとしては、Nガスの他、上記に挙げた各種の希ガスを用いてもよい。
 なお、本発明者等によれば、本実施形態のガス系および条件範囲内で総合的に判断すると、各工程を通じ、触媒ガスとしてより好ましいのはピリジンガスであると考えられる。次いで、TEAガスが好ましく、その次にピペリジンガスが好ましいと考えられる。
(所定回数実施)
 上述したステップ1a,2aを1サイクルとして、このサイクルを1回以上、つまり、所定回数(n回)行うことにより、ウエハ200上に、所定組成及び所定膜厚のSiOC膜を成膜することができる。上述のサイクルは、複数回繰り返すのが好ましい。すなわち、1サイクルあたりに形成するSiOC層の厚さを所望の膜厚よりも小さくして、上述のサイクルを所望の膜厚になるまで複数回繰り返すのが好ましい。
 このとき、各ステップにおける処理室201内の圧力やガス供給時間等の処理条件を制御することで、SiOC層における各元素成分、すなわち、Si成分、O成分およびC成分の割合、すなわち、Si濃度、O濃度およびC濃度を微調整することができ、SiOC膜の組成比をより緻密に制御することができる。
 なお、サイクルを複数回行う場合、少なくとも2サイクル目以降の各ステップにおいて、「ウエハ200に対して所定のガスを供給する」と記載した部分は、「ウエハ200上に形成されている層に対して、すなわち、積層体としてのウエハ200の最表面に対して所定のガスを供給する」ことを意味し、「ウエハ200上に所定の層を形成する」と記載した部分は、「ウエハ200上に形成されている層の上、すなわち、積層体としてのウエハ200の最表面の上に所定の層を形成する」ことを意味している。この点は、上述の通りである。なお、この点は、後述する他の実施形態においても同様である。
(SiOC膜改質工程)
 以上のように形成されたSiOC膜は、例えば150℃以下の低温条件下で形成された膜であり、上述のように、例えば水分やCl等の不純物や、C系の不純物が混入されていることがある。SiOC膜にこれらの不純物が混入していると、SiOC膜のエッチング耐性が低下し、また、誘電率が高まってしまうことがあり、膜中にCを添加した効果が損なわれてしまうことがある。
 そこで、本実施形態では、
 SiOC膜を形成する工程におけるウエハ200の温度よりも高い第1の温度でSiOC膜を熱処理することにより、SiOC膜中から第1の不純物(水分やCl等の不純物)を除去する工程(第1の熱処理工程)と、
 第1の温度以上の第2の温度でSiOC膜を熱処理することにより、第1の温度で熱処理した後のSiOC膜中から、第1の不純物とは異なる第2の不純物(C系の不純物)を除去する工程(第2の熱処理工程)と、
 を行い、SiOC膜中における複数種類の不純物を少なくとも2段階で除去する改質処理を行う。すなわち、SiOC膜を改質する処理、いわゆる、アニール処理を2段階で行う。以下、このSiOC膜改質工程のシーケンス例について説明する。
(圧力調整及び温度調整)
 処理室201内が所望の圧力(真空度)となるよう、APCバルブ244をフィードバック制御しながら、真空ポンプ246によって処理室201内を真空排気する(圧力調整)。また、処理室201内のウエハ200が所望の温度、すなわち、第1の温度となるようにヒータ207によって加熱される。この際、処理室201内が所望の温度分布となるように、温度センサ263が検出した温度情報に基づきヒータ207への通電具合がフィードバック制御される(温度調整)。この工程においても、回転機構267によるボート217及びウエハ200の回転を継続しておく。
 またこのとき、処理室201内に酸素非含有ガスとしてのNガスを供給し、処理室201内を酸素非含有の雰囲気とする。このとき、不活性ガス供給管232j~232lのうち少なくともいずれか、或いは全てを使ってNガスを供給することができる。ここでは、例えば不活性ガス供給管232j~232lの全てからNガスを供給することとする。すなわち、バルブ243j~243lを開き、不活性ガス供給管232j~232l内にNガスを流す。不活性ガス供給管232j~232l内を流れたNガスは、MFC241j~241lにより流量調整され、処理室201内に供給され、排気管231から排気される。これらのことにより、処理室201内がNガス雰囲気、すなわち、酸素非含有の雰囲気となる。酸素非含有ガスとしてのNガスは、これ以降、熱処理ガスとしても作用することとなる。
(第1の熱処理)
 処理室201内が所望の圧力を有するNガス雰囲気となり、また、ウエハ200の温度が所望の温度、すなわち、第1の温度となったら、かかる状態を所定時間保持し、ウエハ200上に形成されたSiOC膜に対して第1の熱処理を行う。
 このとき、APCバルブ244を適正に調整して、処理室201内の圧力を、例えば133~101325Pa(1~760Torr)、好ましくは10132~101325Pa(76~760Torr)の範囲内の圧力とする。MFC241j~241lで制御するNガスの供給流量は、それぞれ例えば100~10000sccmの範囲内の流量とする。ウエハ200上のSiOC膜に対する熱処理時間は、例えば1~60分、好ましくは1~30分、より好ましくは1~10分の範囲内の時間とする。
 このときヒータ207の温度は、ウエハ200の温度が、例えば上述のSiOC膜を形成する工程におけるウエハ200の温度よりも高い第1の温度となるような温度に設定する。具体的には、ウエハ200の温度が室温~150℃よりも高い温度であって、例えば、300℃以上450℃以下、好ましくは300℃以上400℃以下、より好ましくは300℃以上350℃以下の範囲内の温度となるような温度に設定する。このような温度範囲は、SiOC膜中から第1の不純物としての水分やCl等の不純物を、所望しない反応(SiOC膜の酸化等)を生じさせることなく、効率的にまた充分に脱離させて除去することを考慮のうえ決定される。
 すなわち、図17(a)、図17(b)に示すように、ウエハ200の温度が300℃を下回ると、SiOC膜中から水分(HO)やCl等の不純物、特に、水分を脱離させて除去することが難しくなり、SiOC膜の改質効果が低下してしまう。例えば、ウエハ200の温度を150℃以下とすると、SiOC膜中から水分やCl等の不純物は殆ど脱離しないことが同図から分かる。ウエハ200の温度を300℃以上とすることで、SiOC膜中から水分やCl等の不純物を充分に脱離させて除去することが可能となる。
 ただし、ウエハ200の温度が450℃を上回ると、SiOC膜中から水分やCl等が脱離する際に、水分とClとが反応することで、SiOC膜が酸化されてしまうことがある。SiOC膜が酸化されることでSiOC膜の膜収縮率が大きくなってしまう。また、水分とClとが反応する際にHClが生成され、このHClにより、SiOC膜中に含まれるSi-Cl結合やSi-H結合等が切り離されることもある。これらの結合が切り離されるとSiOC膜中に不要な吸着サイトが生成され、この吸着サイトへのSiOC膜中から脱離した物質(脱離物質)の再吸着を招いてしまう。すなわち、これらの結合が切れてダングリングボンド(未結合手)を有することとなったSiにSiOC膜中からの脱離物質が吸着することとなる。このようにして形成されたSiと脱離物質との結合は、不安定で弱く、この脱離物質は不純物としてSiOC膜中に残存することとなる。脱離物質としては、水分やClやC系の不純物やこれらが分解した物質等が挙げられる。
 また、この温度帯、すなわち450℃を上回る温度帯では、図17(c)に示すように、SiOC膜中からC系の不純物も脱離することとなるが、この脱離したC系の不純物がSiOC膜中を通過する際に、Clと反応することで、SiOC膜中における吸着サイトへのCの再吸着を引き起こしてしまう。すなわち、C系の不純物とClとの反応により、C系の不純物に由来するCがSiOC膜を構成するいずれかの元素(原子)、例えばSiのダングリングボンドに吸着することとなる。このようにして形成されたCとSiとの結合は、不安定で弱く、それゆえC系の不純物に由来するCは、SiOC膜を構成する成分とはならず、不純物としてSiOC膜中に残存することとなる。なお、SiOC膜中の吸着サイトにCが再吸着する際は、CがC単独でこの吸着サイトに再吸着する場合もあるし、CがCの形でこの吸着サイトに再吸着する場合もある。
 これらのことから、この温度帯、すなわち450℃を上回る温度帯では、これらの所望しない反応により、SiOC膜の膜収縮率が大きくなり、また、SiOC膜中から不純物を充分に脱離させて除去することができなくなる。そしてこれらの結果として、SiOC膜のk値を充分に下げることができなくなる。
 ウエハ200の温度を300℃以上450℃以下とすることで、これらの所望しない反応を抑制しつつ、SiOC膜中から水分やCl等の不純物を充分に脱離させて除去することが可能となる。すなわち、SiOC膜中から水分やCl等が脱離する際における、水分とClとの反応によるSiOC膜の酸化を抑制し、SiOC膜の膜収縮率の増大を抑制することが可能となる。また、水分とClとの反応により生成されるHClによるSiOC膜中のSi-Cl結合やSi-H結合等の切り離しを抑制し、不要な吸着サイトの生成、この吸着サイトへの脱離物質の再吸着を抑制することが可能となる。また、SiOC膜中からC系の不純物が脱離する際における、C系の不純物とClとの反応によるCの再吸着を抑制することが可能となる。なお、図17(c)に示すように、特に、ウエハ200の温度が400℃程度であるときにC系の不純物の脱離量がピークとなる。よって、ウエハ200の温度を400℃以下、好ましくは350℃以下とすることで、C系の不純物の脱離を抑制することができるようになる。すなわち、C系の不純物の脱離量を少なくすることができるようになる。これにより、SiOC膜から脱離するC系の不純物の絶対量を低減することができ、C系の不純物とClとの反応によるCの再吸着を更に抑制することができることとなる。
 すなわち、ウエハ200の温度をこのような温度帯、すなわち、300℃以上450℃以下の温度帯とすることで、SiOC膜の膜収縮率を小さくすることができ、SiOC膜中から脱離したCやCを含む脱離物質のSiOC膜中の吸着サイトへの再吸着を抑制することができ、SiOC膜中から不純物、特に、水分やCl等の不純物を充分に脱離させて除去することが可能となる。
 なお、上述のように、ウエハ200の温度をこのような温度帯、すなわち、300℃以上450℃以下の温度帯とすることで、SiOC膜中から水分やCl等の不純物が脱離して除去されるだけでなく、C系の不純物の少なくとも一部も脱離して除去されることとなる。また、その際、このような温度帯であれば、SiOC膜中から脱離したC系の不純物とClとの反応によるSiOC膜中の吸着サイトへのCの再吸着を抑制することができる。つまり、このような温度帯とすることで、SiOC膜中から一度脱離させたC系の不純物におけるCがSiOC膜中の吸着サイトへ再吸着することを抑制することが可能となる。
 以上のことから、ウエハ200の温度は300℃以上450℃以下、好ましくは300℃以上400℃以下、より好ましくは300℃以上350℃以下の範囲内の温度とするのがよい。
 上述の条件下でSiOC膜に対して第1の熱処理を施すことにより、上述の所望しない反応を抑制しつつ、SiOC膜中の水分やCl等の不純物をSiOC膜中から充分に脱離させて除去することができる。また、上述の所望しない反応を抑制しつつ、SiOC膜中のC系の不純物の少なくとも一部をSiOC膜中から脱離させて除去することができる。SiOC膜中におけるこれらの不純物がSiOC膜中から除去されることでSiOC膜が改質されて、第1の熱処理を行う前のSiOC膜よりも、SiOC膜のエッチング耐性が高まり、また、誘電率が低下して、SiOC膜の膜質(膜特性)を向上させることができる。
 ただし、第1の熱処理工程が終了した段階、すなわち、SiOC膜中から水分やCl等の不純物を充分に除去させた段階では、SiOC膜中にC系の不純物が残留している場合がある。つまり、このような温度帯では、SiOC膜中から水分やCl等の不純物やC系の不純物が脱離して除去されるが、C系の不純物よりも水分やCl等の不純物の方が先に除去され、水分やCl等の不純物の大部分が除去されてしまった段階では、SiOC膜中にC系の不純物が未だ残留している場合がある。そして、この段階では、SiOC膜中に残留しているC系の不純物が原因で、SiOC膜のk値を充分に下げることができない場合がある。そこで、後述する第2の熱処理工程において、第1の温度以上の第2の温度でSiOC膜を熱処理することにより、第1の温度で熱処理した後のSiOC膜中から、SiOC膜中に残留しているC系の不純物を除去することとなる。そしてこれらの結果として、SiOC膜のk値を充分に下げることができることとなる。
(第2の熱処理)
 第1の熱処理終了後、すなわち、SiOC膜中から水分やCl等の不純物を充分に脱離させて除去させた後、ウエハ200の温度を第1の温度から第2の温度へ変更する。第2の温度は第1の温度以上の温度とする。すなわち、第2の温度は第1の温度よりも高い温度とするか第1の温度と同等な温度とする。処理室201内の雰囲気は、第1の熱処理工程と同様の所望の圧力を有するNガス雰囲気に維持する。
 ウエハ200の温度が所望の温度、すなわち、第2の温度となったら、この状態を所定時間保持し、第1の熱処理が行われたSiOC膜に対して第2の熱処理を行う。すなわち、第1の温度で第1の熱処理を行った後のSiOC膜に対して第2の温度で第2の熱処理を行う。
 このとき、APCバルブ244を適正に調整して、処理室201内の圧力を、例えば133~101325Pa(1~760Torr)、好ましくは10132~101325Pa(76~760Torr)の範囲内の圧力とする。MFC241j~241lで制御するNガスの供給流量は、それぞれ例えば100~10000sccmの範囲内の流量とする。ウエハ200上のSiOC膜に対する熱処理時間は、例えば1~120分、好ましくは1~60分、より好ましくは1~30分の範囲内の時間とする。
 このときヒータ207の温度は、ウエハ200の温度が、例えば上述の第1の熱処理工程における第1の温度以上の第2の温度となるような温度に設定する。具体的には、ウエハ200の温度が、例えば、300℃以上900℃以下、好ましくは350℃以上700℃以下、より好ましくは400℃以上700℃以下、さらに好ましくは450℃以上600℃以下の範囲内の温度となるような温度に設定する。このような温度範囲は、SiOC膜中から第2の不純物としてのC系の不純物を、効率的にまた充分に脱離させて除去することや、ウエハ200が受ける熱負荷や熱履歴等を考慮のうえ決定される。
 すなわち、図17(c)に示すように、ウエハ200の温度が300℃を下回ると、SiOC膜中からC等のC系の不純物を脱離させて除去することが難しくなり、SiOC膜の改質効果が低下してしまう。例えば、ウエハ200の温度を200℃以下とすると、SiOC膜中からC系の不純物は殆ど脱離しないことが同図から分かる。ウエハ200の温度を300℃以上とすることで、SiOC膜中からC系の不純物を充分に脱離させて除去することが可能となる。ただし、ウエハ200の温度を300℃とした場合は、SiOC膜中からC系の不純物を充分に脱離させるまでに時間がかかることがある。ウエハ200の温度を350℃以上とすることで、SiOC膜中からC系の不純物を充分に脱離させるまでの時間を短縮することが可能となる。なお、図17(c)に示すように、特に、ウエハ200の温度が400℃程度であるときにC系の不純物の脱離量がピークとなる。よって、ウエハ200の温度を400℃以上とすることで、C系の不純物の脱離を促進させることが可能となる。すなわち、SiOC膜中からC系の不純物を効率的に脱離させることができるようになる。また、SiOC膜中からC系の不純物を充分に脱離させるまでの時間を更に短縮することも可能となる。
 なお、第2の熱処理工程を行う段階では、SiOC膜中における水分やCl等の不純物は既に除去されており、上述のような所望しない反応は生じない。すなわち、水分とClとの反応によるSiOC膜の酸化、それによるSiOC膜の膜収縮率の増大、水分とClとの反応によるHClの生成、HClによるSiOC膜中のSi-Cl結合やSi-H結合等の切り離し、それによる不要な吸着サイトの生成、この吸着サイトへの脱離物質の再吸着、C系の不純物とClとの反応による吸着サイトへのCの再吸着等の所望しない反応は生じることはない。これは、第2の温度は、所望しない反応が生じ得る温度帯(450℃を上回る温度帯)を含むものの、第2の熱処理工程を行う段階では、所望しない反応を生じさせる物質(水分やCl等)が発生しないからである。なお、ウエハ200の温度を450℃以上とすることでも、SiOC膜中からのC系の不純物の脱離を促進させることができ好ましい。ウエハ200の温度を450℃以上とすることで、ウエハ200の温度を300~350℃とする場合よりも、SiOC膜中からのC系の不純物の脱離を促進させることができる。
 なお、ウエハ200の温度が900℃を超えると熱負荷が大きくなりすぎ、ウエハ200上に形成される半導体デバイスの電気特性等に影響を及ぼしかねない。ウエハ200の温度を少なくとも900℃以下とすることで、この熱負荷による電気特性等への影響を抑制することが可能となる。なお、熱処理対象のSiOC膜が形成されたウエハ200がメモリデバイス向けであるような場合には、900℃程度の熱にまで耐えることができる。また、かかるウエハ200がロジックデバイス向けであっても、700℃程度の熱にまで耐えることができる。ウエハ200の温度を更に600℃以下とすれば、より確実にデバイス構造等の熱損傷を回避することが容易となる。
 以上のことから、ウエハ200の温度は300℃以上900℃以下、好ましくは350℃以上700℃以下、より好ましくは400℃以上700℃以下、さらに好ましくは450℃以上600℃以下の範囲内の温度とするのがよい。なお、第2の温度は第1の温度以上の温度とすればよい。すなわち、第2の温度は第1の温度よりも高い温度としてもよいし、第1の温度と同等な温度としてもよい。例えば、第1の温度を300~400℃とし、第2の温度を450~600℃としてもよい。この場合、上述の所望しない反応をより確実に防止することが可能となる。また例えば、第1の温度および第2の温度を同一の温度、例えば400~450℃の範囲内の温度としてもよい。第1の温度および第2の温度を400~450℃の範囲内の温度とすれば、上述の所望しない反応を抑制しつつ、同一温度で、すなわち、ウエハ200の温度を変更することなく、第1の熱処理と第2の熱処理とを連続的に行うことが可能となる。
 上述の条件下でSiOC膜に対して第2の熱処理を施すことにより、上述の所望しない反応を抑制しつつ、SiOC膜中のC系の不純物をSiOC膜中から充分に脱離させて除去することができる。SiOC膜中におけるこの不純物がSiOC膜中から除去されることでSiOC膜が更に改質されて、第1の熱処理を行った後であって第2の熱処理を行う前のSiOC膜よりも、更にSiOC膜のエッチング耐性が高まり、また、更に誘電率が低下して、SiOC膜の膜質(膜特性)を更に向上させることができる。本実施形態の手法によれば、SiOC膜の誘電率(k値)を2.7程度まで低下させることができることを確認した。
 このように、本実施形態では、まず、所望しない反応が生じない第1の温度で、SiOC膜を熱処理することにより、所望しない反応を生じさせることなく、SiOC膜中から第1の不純物である水分やCl等の不純物を除去する。そして、SiOC膜中から第1の不純物である水分やCl等の不純物を除去した後に、所望しない反応が生じ得る温度帯を含む第2の温度で、所望しない反応を生じさせる物質が存在(発生)しない雰囲気下において、SiOC膜を熱処理することにより、所望しない反応を生じさせることなく、第1の温度で熱処理した後のSiOC膜中から第2の不純物であるC系の不純物を除去するようにしている。
 すなわち、本実施形態では、まず、所望しない反応が生じない温度帯(第1の温度)で、SiOC膜を熱処理することにより、所望しない反応を生じさせることなく、SiOC膜中から所望しない反応を生じさせる物質である水分やCl等の不純物(第1の不純物)を除去する。そして、SiOC膜中から所望しない反応を生じさせる物質である水分やCl等の不純物(第1の不純物)を除去した後に、所望しない反応が生じ得る温度帯を含む温度帯(第2の温度)で、所望しない反応を生じさせる物質である水分やCl等の不純物(第1の不純物)が存在(発生)しない雰囲気下において、SiOC膜を熱処理することにより、所望しない反応を生じさせることなく、所望しない反応が生じない温度帯(第1の温度)で熱処理した後のSiOC膜中からC系の不純物(第2の不純物)を除去するようにしている。
 本実施形態におけるこのような熱処理を、2段階熱処理(多段階熱処理)と称することもできる。また、2段階アニール(多段階アニール)や、2段階改質処理(多段階改質処理)や、2段階不純物除去処理(多段階不純物除去処理)等と称することもできる。
 なお、第1の熱処理工程および第2の熱処理工程では、処理室201内を酸素非含有ガスとしてのNガスにより酸素非含有の雰囲気としているので、上述のような成膜温度よりも高い温度で熱処理を行っても、SiOC膜中のO濃度が所望の濃度を超えて高まってしまうこと、つまり、SiOC膜の酸化が過度に進行してしまうことを抑制することができる。また、処理室201内を酸素非含有の雰囲気としているので、酸化の進行等に伴ってSiOC膜中のC濃度が所望の濃度未満に低下してしまうこと、つまり、SiOC膜中からCが脱離してしまうことを抑制することができる。このとき、Nガス等の酸素非含有ガスは、熱処理ガスとして作用しているともいえる。また、Nガス等は、SiOC膜中から脱離した不純物を運ぶキャリアとなっているともいえる。つまり、酸素非含有ガスは、これら不純物のSiOC膜中や処理室201内からの排出を促し、これにより、SiOC膜の改質を促進させるアニールガスとして作用しているともいえる。
 なお、処理室201内を酸素非含有の雰囲気とするには、例えばNガス等の酸素非含有ガスをウエハ200に対して供給することなく、酸素非含有の雰囲気を生成する雰囲気生成部としての排気系により処理室201内を真空排気してもよい。これにより、酸素(O)成分を含めた殆どの成分が処理室201内の雰囲気から排気、除去される。但し、上述のように、処理室201内を排気しつつ、更にNガス等の酸素非含有ガスをウエハ200に対して供給することで、例えば処理室201内に残留するO成分の排気を更に促進させ、処理室201内を酸素非含有の雰囲気とすることがよりいっそう容易となる。また、処理室201を構成する処理容器の内壁や、外部から持ち込まれたウエハ200から、O成分を含んだアウトガスが発生したとしても、Nガスによる希釈効果で処理室201内の酸素非含有の雰囲気が保たれ易い。このように、酸素非含有の雰囲気とは、処理対象のSiOC膜に影響を与えない程度に、処理室201内の雰囲気中のO濃度が低下した状態を含む。
 なお、SiOC膜中の不純物を除去するSiOC膜の改質処理(アニール処理)は、主に、ウエハ200の温度が所望の温度で安定的に維持される熱処理の期間中に行われる。但し、上述のウエハ200の温度を調整する工程(成膜温度から第1の温度へ変更する工程、第1の温度から第2の温度へ変更する工程等)にてウエハ200を昇温させるとき、或いは、後述する処理室201内をパージする工程にてウエハ200を降温させるときであっても、SiOC膜中の不純物の除去が進行し得る温度にウエハ200の温度が保たれている間は、SiOC膜の改質処理は進行し得る。よって、SiOC膜を改質する工程とは、主に、SiOC膜を熱処理する工程のことを指すが、ウエハ200の温度を調整する工程および処理室201内をパージする工程のうち少なくとも一部の期間を、SiOC膜を改質する工程に含めて考えてもよい。換言すれば、SiOC膜を改質する工程とは、ウエハ200の温度が、改質処理に必要な温度に到達してから、上記所望の温度に所定時間維持され、改質処理に必要な温度未満に到達する直前までの期間を指す。
 酸素非含有ガスとしては、Nガスの他、Arガス、Heガス、Neガス、Xeガス等の希ガスを用いてもよい。
(パージ及び大気圧復帰)
 SiOC膜中の水分やCl等の不純物やC系の不純物がSiOC膜中から除去され、SiOC膜を改質する処理がなされたら、バルブ243j~243lを開いたままとして、不活性ガス供給管232j~232lのそれぞれから不活性ガスとしてのNガスを処理室201内に供給し、排気管231から排気する。Nガスはパージガスとして作用し、これにより、処理室201内が不活性ガスでパージされ、処理室201内に残留するガスやSiOC膜から脱離した不純物等の物質を含むガス等が処理室201内から除去される(パージ)。その後、処理室201内の雰囲気が不活性ガスに置換され(不活性ガス置換)、処理室201内の圧力が常圧に復帰される(大気圧復帰)。
 また、ウエハ200の温度が例えば200℃未満、好ましくは室温程度の温度となるようウエハ200を降温させる。すなわち、ヒータ207への通電具合を調整し、或いは、ヒータ207への通電を停止して、ウエハ200の温度を低下させる。かかるウエハ200の降温を、上記のパージ及び大気圧復帰と平行して行うことで、Nガス等のパージガスの冷却効果によって、より短時間でウエハ200の温度を所定温度にまで低下させることができる。
(ボートアンロード及びウエハディスチャージ)
 その後、ボートエレベータ115によりシールキャップ219が下降されて、マニホールド209の下端が開口されるとともに、処理済のウエハ200がボート217に支持された状態でマニホールド209の下端から反応管203の外部に搬出(ボートアンロード)される。その後、処理済のウエハ200はボート217より取出される(ウエハディスチャージ)。
(3)本実施形態にかかる効果
 本実施形態によれば、以下に示す1つまたは複数の効果を奏する。
(a)本実施形態の成膜シーケンスによれば、SiOC膜形成工程のステップ1aで、ウエハ200に対してピリジンガスの供給を実施した状態でBTCSMガスを供給する。このように、所定元素(Si),Cおよびハロゲン元素(Cl)を含み、所定元素(Si)とCとの化学結合(Si-C結合)を有する原料ガス、特に、1分子中にC,Clおよび少なくとも2つのSiを含みSi-C結合を有する原料ガスを用いることで、高濃度にCが含有された膜、すなわち、高いC濃度を有するSiOC膜を形成することが可能となる。また、SiOC膜中のC濃度を精度よく制御することができる。よって、例えばエッチング耐性の高いSiOC膜を得ることができる。
(b)また、本実施形態の成膜シーケンスによれば、SiOC膜改質工程で、SiOC膜を形成する工程におけるウエハ200の温度よりも高い第1の温度でSiOC膜を熱処理することにより、SiOC膜中から第1の不純物(水分やCl等の不純物)を除去する工程(第1の熱処理工程)と、第1の温度以上の第2の温度でSiOC膜を熱処理することにより、第1の温度で熱処理した後のSiOC膜中から、第1の不純物とは異なる第2の不純物(C系の不純物)を除去する工程(第2の熱処理工程)と、を行う。これにより、例えば150℃以下の低温条件下で形成されたSiOC膜に、水分やCl等の不純物やC系の不純物が混入している場合であっても、かかる不純物をSiOC膜中から除去し、SiOC膜を改質することができる。よって、SiOC膜改質工程を行う前のアズデポ(as
depo)状態のSiOC膜よりも、SiOC膜のエッチング耐性を向上させ、また、SiOC膜の誘電率を低下させることができる。つまり、SiOC膜の膜質を向上させることができる。
(c)また、本実施形態の成膜シーケンスによれば、SiOC膜形成工程およびSiOC膜改質工程の一連の処理を行うことで、ポーラス状の膜を形成することが可能となる。すなわち、SiOC膜をポーラス化することができる。SiOC膜形成工程で形成されたSiOC膜中には、少なくともSi-C結合とSi-O結合とが存在する。SiとCとの結合距離は、SiとOとの結合距離よりも大きい。よって、SiO膜に比べると、SiOC膜は、膜中へのSi-C結合の導入により原子間距離が大きくなり膜密度が疎となる。また、SiOC膜中には、Si-C-Si結合が存在する場合もあり、この場合、さらに膜密度が疎となる。これらの膜密度が疎となる部分には微小な孔(ポア)、すなわち、微小な空間が生じているともいえる。つまり、SiOC膜形成工程で形成されたSiOC膜は、アズデポ状態で、ポーラス状の膜となる。また、SiOC膜改質工程において、SiOC膜中から水分やCl等の不純物やC系の不純物が脱離する際、これらの不純物の抜けた部分には微小な孔(ポア)、すなわち、微小な空間が生じる。つまり、SiOC膜改質工程により改質されたSiOC膜は、アズデポ状態のSiOC膜よりも、更にポーラス化が進んだポーラス状の膜となる。そして上述の処理条件にて、第1の熱処理工程および第2の熱処理工程を行うことで、SiOC膜のポーラス状態を維持することが可能となる。なお、上述の所望しない反応が生じると、SiOC膜の膜収縮率が大きくなり、SiOC膜のポーラス状態を維持することが難しくなる。よって、SiOC膜のポーラス状態を維持するためにも、上述の処理条件にて、第1の熱処理工程および第2の熱処理工程を行うのが好ましい。SiOC膜をポーラス状態の膜とすることで、SiOC膜改質工程を行う前のアズデポ状態のSiOC膜よりも、SiOC膜の誘電率を低下させることができる。つまり、SiOC膜の膜質を向上させることができる。
(d)また、本実施形態の成膜シーケンスによれば、SiO膜の誘電率(k値)よりも低い誘電率(k値)を有するSiOC膜を形成することができる。すなわち、本実施形態の成膜シーケンスによれば、SiOC膜形成工程によりポーラス状のSiOC膜を形成し、SiOC膜改質工程によりSiOC膜を更にポーラス化すると共に、そのポーラス状態を維持する。また、SiOC膜改質工程により、SiOC膜中から水分やCl等の不純物やC系の不純物を除去する。水分(HO)等の不純物は、永久双極子モーメントを持つため、電場に従って向きを変え、誘電率を高くする物質であり、これらの不純物の除去は誘電率低下に大きく貢献する。これらのことにより、すなわち、SiOC膜のポーラス化と、誘電率を高くする物質の除去と、により、SiO膜の誘電率よりも低い誘電率を有するSiOC膜を得ることができる。本実施形態の成膜シーケンスによれば、SiOC膜の誘電率を3.0以下、具体的には、2.68まで低下させることができることを確認した。
 ところで、トランジスタや、次世代メモリとして開発されているReRAMやMRAMには、低温成膜、低WER(高エッチング耐性)、低誘電率等を満たす薄膜として、例えばシリコン窒化膜(SiN膜)にCを添加したシリコン炭窒化膜(SiCN膜)や、かかる膜中に更にOを添加したシリコン酸炭窒化膜(SiOCN膜)等の薄膜を用いることが考えられる。一方で、これらの薄膜のエッチング耐性を更に向上させ、誘電率を更に低下させようとすると、膜中のC濃度やO濃度を増加させ、N濃度を低下させる必要がある。しかしながら、例えば各種ガスを交互に供給して成膜する上述のような方法で、かつ低温領域にて、N濃度を例えば不純物レベルに抑え、高濃度のCを膜中に含有させることは困難である。
 本実施形態では、SiOC膜の成膜時、例えば150℃以下の低温で処理を行う。このような低温の条件下であっても、Si,CおよびClを含みSi-C結合を有する原料ガスを用いることで、薄膜中のC濃度を高めたり精度よく制御したりすることができる。
(4)本実施形態の変形例
 次に、本実施形態の変形例について、図5(b)および図7を用いて説明する。
(変形例)
 上述の触媒ガスの供給を実施した状態で原料ガスを供給する工程では、供給する原料ガスの種類を選択することにより、SiOC層中の、ひいては、SiOC層が積層されてなるSiOC膜中のC濃度を制御することができる。
 すなわち、触媒ガスの供給を実施した状態で原料ガスを供給する工程では、原料ガスとして、分子構造がそれぞれ異なる複数種類の原料ガスの中から特定の原料ガスを選択して供給することにより、SiOC層やSiOC膜中のC濃度を制御することができる。
 複数種類の原料ガスの中から特定の原料ガスを選択して供給するには、分子構造がそれぞれ異なる複数種類の原料ガスをそれぞれ供給する複数の供給ラインの中から特定の供給ラインを選択することで、特定の原料ガスを供給することができる。上述のように、本実施形態の成膜シーケンスの例では、BTCSMガス供給ライン、TCDMDSガス供給ライン等の中からBTCSMガス供給ラインを選択することで、特定の原料ガスとしてBTCSMガスを供給している。また、図5(b)に示すように、本実施形態の変形例の成膜シーケンス例では、BTCSMガス供給ライン、TCDMDSガス供給ライン等の中からTCDMDSガス供給ラインを選択することで、特定の原料ガスとしてTCDMDSガスを供給している。このように、BTCSMガスの代わりにTCDMDSガスを用いることで、上述の実施形態で得られるSiOC膜のC濃度とは異なるC濃度のSiOC膜が得られる。
 ここで、ウエハ200に対するTCDMDSガスの供給手順について以下に説明する。その他、HOガスやピリジンガス等の供給手順および処理条件は、上述の実施形態と同様であるので説明を省略する。
 バルブ243dを開き、ガス供給管232d内にTCDMDSガスを流す。TCDMDSガスは、MFC241dにより流量調整され、ガス供給孔250aから処理室201内に供給され、排気管231から排気される。このとき、ウエハ200に対してTCDMDSガスが供給されることとなる(TCDMDSガス供給)。このとき同時にバルブ243jを開き、ガス供給管232j内にNガス等の不活性ガスを流す。Nガスは、MFC241jにより流量調整され、TCDMDSガスと一緒に処理室201内に供給され、排気管231から排気される。
 ピリジンガスは、TCDMDSガスと共にウエハ200に対して供給されることで、ウエハ200の表面に存在するO-H結合の結合力を弱め、TCDMDSガスの分解を促し、TCDMDS分子の化学吸着によるCおよびClを含むSi含有層の形成を促進させる。このように、ピリジンガスは、TCDMDSガスに対しても、上述のBTCSMガスの場合と同様の触媒作用を示す。
 なお、このときの処理室201内の圧力、原料ガス、触媒ガス、Nガス等の各ガスの供給流量、供給時間、ウエハ200の温度等の処理条件については、例えば上述の実施形態の処理条件と同様の範囲内の処理条件とすることができる。また、TCDMDSガスやピリジンガスを供給する際は、上述の実施形態と同様、不使用となっているノズル249b内やバッファ室237内へのガスの侵入を防止するNガス供給を行う。
 選択される原料ガスの種類により、SiOC膜中のC濃度の制御が可能となる1要因としては、例えば各原料ガスの分子構造中におけるCの配置の違いが考えられる。すなわち、1分子中にSi-C-Si結合やSi-C-C-Si結合を有するアルキレンハロシラン系原料ガスであるBTCSMガスやBTCSEガス等は、CがSiに挟み込まれた分子構造をとる。このため、余ったSiの結合手に多くのClが結合した状態が維持されている。例えばBTCSMガスやBTCSEガスはいずれも、Siの4つの結合手のうち3つの結合手にClが結合している。分子中に多数含まれるClは、BTCSMガスやBTCSEガス等の反応性を向上させると考えられる。これにより、BTCSMガスやBTCSEガス等を使用することで、例えばSiOC膜の成膜レートが向上する。また、含有されるClによりBTCSMガスやBTCSEガス等の反応性、および成膜レートが向上することで、BTCSMガスやBTCSEガス等を用いた成膜処理の使用可能な条件範囲(プロセスウインドウ)も拡張される。このように、広範なプロセスウインドウ内から、例えば所望のC濃度が得られる成膜条件を選択することができるので、結果的に、SiOC膜中のC濃度を高めることが容易となる。また、SiOC膜中のC濃度の制御性も向上させることができる。なお、BTCSMガス中に含まれるCの数は、例えばTCDMDSガス等と比較して少ないが、この点は、SiOC膜中のC濃度の向上に不利には働かないと考えられる。本発明者等によれば、BTCSMガスを用いた方が、TCDMDSガスを用いた場合よりもC濃度の向上が比較的図られ易いことを確認している。
 また、メチル基等のアルキル基がSiに結合したアルキルハロシラン系原料ガスであるTCDMDSガスやDCTMDSガス等は、クロロシラン系原料ガスの一部のクロロ基がメチル基に置き換わった分子構造をとる。このようにガス分子中のClの数が減る分、これらTCDMDSガスやDCTMDSガス等においては、反応が比較的ゆっくりと進行し、より緻密なSiOC膜が得られ易い。このため、例えばC濃度を適正に抑えたSiOC膜であっても、高いエッチング耐性を維持し易い。なお、TCDMDSガスとDCTMDSガスとの比較では、分子中にメチル基、つまりCを多数含むDCTMDSガスの方が、膜中へのCの取り込み量に有利に働くことを確認している。
 以上のことから、上記工程を行う際、BTCSMガスやBTCSEガス等を選択して供給することで、例えばより高濃度のCを含むSiOC層を形成することができる。或いは、TCDMDSガスやDCTMDSガス等を選択して供給することで、エッチング耐性を維持しつつC濃度を適正に抑えたSiOC層を形成することができる。すなわち、複数種類の原料ガスの中から特定の原料ガスを選択して供給することで、SiOC層中、つまり、SiOC膜中のC濃度を精度よく制御することができる。
 同様に、触媒ガスの供給を実施した状態で酸化ガスを供給する工程においても、所望の膜組成等に応じて、供給する触媒ガスの種類を適宜選択することができる。上述のように、それぞれ異なる分子構造を有する触媒ガスは、例えば触媒作用の強さも異なると考えられる。触媒ガスの種類の選択によりSiOC膜の膜組成等の制御が可能となる1要因としては、かかる触媒作用の強さの違いが可能性として考えられる。例えば触媒作用の指標となるpKa値の大きい触媒ガスを選択することで、酸化ガスの酸化力が向上してSi-C結合が切断され、C濃度が低下する傾向が強くなることがある。また、例えばpKaの小さい触媒ガスを選択することで、酸化ガスの酸化力が低下してSi-C結合が維持され、C濃度が高まる傾向が強くなることがある。また、SiOC膜の膜組成等の制御が可能となる他の要因としては、各種触媒ガスや生成される塩等の触媒反応に関わる各種物質の蒸気圧の違い、または、これらpKa値や蒸気圧の違い等が合わさった複合要因等が考えられる。このように、分子構造がそれぞれ異なる複数種類の触媒ガスの中から特定の触媒ガスを選択して供給することにより、例えばSiOC層やSiOC膜中のC濃度を制御することができる。このとき、分子構造がそれぞれ異なる複数種類の触媒ガスをそれぞれ供給する複数の供給ラインの中から特定の供給ラインを選択することで、特定の触媒ガスを供給することができる。上述のように、本実施形態の成膜シーケンスの例では、ピリジンガス供給ライン、TEAガス供給ライン等の中からピリジンガス供給ラインを選択することで、特定の触媒ガスとしてピリジンガスを供給している。
 なお、触媒ガスの供給を実施した状態で酸化ガスを供給する工程で供給する触媒ガスの種類は、上述の触媒ガスの供給を実施した状態で原料ガスを供給する工程で供給する触媒ガスの種類と同一としてもよいし、異ならせてもよい。
 なお、上記原料ガスや触媒ガスの種類を選択する場合において、SiOC膜中のC濃度を制御することで、Si濃度およびO濃度をも相対的に変化させてもよい。つまり、SiOC膜の組成を全体的に変化させてもよく、また、SiOC膜の組成を全体的に制御することを目的として上記原料ガスの種類を選択してもよい。
 また、上述のステップ1a,2aのサイクルを複数回行う際、その途中で、原料ガスや触媒ガスの種類を変更してもよい。また、ステップ1a,2aのサイクルを複数回行う際、その途中で、上述の触媒ガスの供給量を変更してもよい。
 すなわち、原料ガスの種類を変更する場合は、SiOC膜を形成する工程において、ステップ1a,2aのサイクルを複数回行い、このサイクルを複数回行う際、その途中で、触媒ガスの供給を実施した状態で原料ガスを供給する工程で供給する原料ガスの種類を変更する。
 これにより、SiOC膜中のC濃度を膜厚方向で変化させることができる。なお、所望の膜組成等に応じて、原料ガスの種類の変更を1回のみ行ってもよく複数回行ってもよい。また、用いる原料ガスは2種類であっても3種類以上であってもよい。用いる原料ガスの組み合わせは、Si,Cおよびハロゲン元素を含みSi-C結合を有する原料ガスの中から任意に選択することができる。原料ガスの使用順序は任意である。
 また、触媒ガスの種類を変更する場合は、SiOC膜を形成する工程において、ステップ1a,2aのサイクルを複数回行い、このサイクルを複数回行う際、その途中で、触媒ガスの供給を実施した状態で酸化ガスを供給する工程で供給する触媒ガスの種類を変更する。
 これにより、SiOC膜中のC濃度を膜厚方向で変化させることができる。なお、所望の膜組成等に応じて、触媒ガスの種類の変更を、1回のみ行ってもよく複数回行ってもよい。また、用いる触媒ガスは2種類であっても3種類以上であってもよい。用いる触媒ガスの組み合わせや順序は任意である。
 また、触媒ガスの供給量を変更する場合は、SiOC膜を形成する工程において、ステップ1a,2aのサイクルを複数回行い、このサイクルを複数回行う際、その途中で、触媒ガスの供給を実施した状態で酸化ガスを供給する工程で供給する触媒ガスの供給量を変更する。
 これにより、SiOC膜中のC濃度を膜厚方向で変化させることができる。なお、所望の膜組成等に応じて、触媒ガスの供給量を小流量から大流量へと変更してもよく、大流量から小流量へと変更してもよい。また、所望の膜組成等に応じて、これらの触媒ガスの供給量の変更を、1回のみ行ってもよく複数回行ってもよい。このとき、触媒ガスの供給量を、小流量から大流量へ、又は大流量から小流量へと段階的に上昇または下降させてもよく、或いは、適宜任意の組み合わせで上下に変化させてもよい。
 以上のように、SiOC膜中のC濃度を膜厚方向で変化させることにより、SiOC膜のC濃度やその他のSi濃度やO濃度を膜厚方向で適宜制御して、所望の組成を有するSiOC膜がよりいっそう得られ易くなる。よって、例えば膜厚方向でエッチング耐性の異なるSiOC膜を得ることができる。
 上述のように、本実施形態および変形例の基板処理装置は、複数の原料ガス供給ラインを備え、分子構造がそれぞれ異なる複数種類の原料ガスの中から特定の原料ガスを選択して供給可能に構成されている。また、本実施形態および変形例の基板処理装置は、複数の触媒ガス供給ラインを備え、分子構造がそれぞれ異なる複数種類の触媒ガスの中から特定の触媒ガスを選択して供給可能に構成されている。これらにより、所望の膜組成等に応じて、複数種類のガスの中から特定の原料ガスや触媒ガスを選択して供給することが容易となる。よって、1台の基板処理装置で様々な組成比、膜質のSiOC膜を汎用的に、かつ、再現性よく形成できるようになる。また、ガス種の追加や入替等に際しての装置運用の自由度を確保することができる。
 また、本実施形態および変形例の基板処理装置では、上述の各種薄膜の成膜に用いられるプロセスレシピ(処理手順や処理条件が記載されたプログラム)を、原料ガスや触媒ガスの種類ごと、つまり、異なるガス系ごとに複数のプロセスレシピを予め複数用意しておくことができる。また、本実施形態および変形例の基板処理装置では、触媒ガスの供給量や流量等を異なる数値に設定した複数のプロセスレシピを予め複数用意しておいてもよい。すなわち、本実施形態および変形例の基板処理装置では、異なる処理条件ごとに複数のプロセスレシピを複数用意しておくことができる。これらにより、所望の膜組成等に応じて、複数種類のガスの中から特定の原料ガスや触媒ガスを選択して供給し、また、触媒ガスの供給量等を調整することが容易となる。オペレータは、複数のプロセスレシピの中から所望の膜組成等に応じて、適正なプロセスレシピを適宜選択し、成膜処理を実行すればよい。よって、1台の基板処理装置で様々な組成比、膜質のSiOC膜を汎用的に、かつ、再現性よく形成できるようになる。また、オペレータの操作負担(処理手順や処理条件の入力負担等)を低減でき、操作ミスを回避しつつ、基板処理を迅速に開始できるようになる。
(他の変形例)
 上述の実施形態では、SiOC膜形成工程とSiOC膜改質工程とを、処理にかかるウエハ200を同一の処理室201内に収容した状態で行っていた。本変形例においては、SiOC膜形成工程とSiOC膜改質工程とを、処理にかかるウエハ200をそれぞれ異なる処理室内に収容して行う。
 すなわち、図7に示すように、例えばSiOC膜形成工程を、上述の実施形態と同様、本実施形態の基板処理装置(以下、第1基板処理部ともいう)が備える処理室201(以下、第1処理室ともいう)内で行う。第1基板処理部を構成する各部の動作は第1制御部により制御される。そして、上述のステップ1a,2aと同様のステップ1b,2bを含むサイクルを所定回数実施した後、処理室201内のパージおよび大気圧復帰、ボートアンロード、ウエハディスチャージを順次実行する。
 続いて、ボート217より取り出されたウエハ200上に形成されたSiOC膜を熱処理する工程、すなわち、SiOC膜改質工程を、処理室201とは異なる処理室内で行う。かかる処理室としては、例えば上述の実施形態と同様の基板処理装置であって、SiOC膜形成工程を行った装置とは別の基板処理装置(以下、第2基板処理部ともいう)が備える処理室(以下、第2処理室ともいう)を用いることができる。第2基板処理部を構成する各部の動作は第2制御部により制御される。第2基板処理部においては、第1基板処理部において上述の実施形態のSiOC膜形成工程を行うときと同様に、ウエハチャージ、ボートロードを順次実行する。また、上述の実施形態のSiOC膜改質工程を行うときと同様に、圧力調整、温度調整を行う。その後は、上述の実施形態と同様に、第1の熱処理、第2の熱処理、パージ、大気圧復帰、ボートアンロードおよびウエハディスチャージを順次実行する。
 上記の場合において、主に、SiOC膜を形成する第1基板処理部とSiOC膜を熱処理する第2基板処理部とにより基板処理システムが構成されることとなる。
 以上のように、SiOC膜形成工程とSiOC膜改質工程とは、同一の処理室201内にて(In-Situで)行うこともでき、それぞれ異なる処理室(第1処理室および第2処理室)内にて(Ex-Situで)行うこともできる。In-Situで両工程を行えば、途中、ウエハ200が大気曝露されることなく、ウエハ200を真空下に置いたまま、一貫して処理を行うことができる。よって、よりいっそう安定した成膜処理を行うことができる。Ex-Situで両工程を行えば、それぞれの処理室内の温度を例えば各工程での処理温度又はそれに近い温度に予め設定しておくことができ、温度調整に要する時間を短縮することができる。よって、よりいっそう生産効率を高めることができる。
 SiOC膜を熱処理する処理室は、上述の実施形態の基板処理装置とは異なる装置、例えばアニール処理専用機(熱処理炉)のような装置が備える処理室であってもよい。
 また、基板処理システムは、第1基板処理部と第2基板処理部とが、上記のようにそれぞれ独立した装置(スタンドアローン型装置)群として構成されていてもよく、第1基板処理部と第2基板処理部とが、同一のプラットフォームに搭載された1つの装置(クラスタ型装置)として構成されていてもよい。これらのような基板処理システムにおいても、例えば図4、図5(a)のシーケンスにおける処理条件と同様の範囲内の処理条件にて薄膜を形成することができる。
 以上に説明したような各種変形例によっても、上述の実施形態と同様の効果を奏すること ができる。
<第2実施形態>
 次に、本発明の第2実施形態について説明する。
(1)薄膜形成工程
 上述の実施形態では、触媒ガスの供給を実施した状態で酸化ガスを供給する工程において、酸化ガスとして酸素(O)を含むガス(酸素含有ガス)を任意に選択して供給していた。また、触媒ガスとして、孤立電子対を有するNを含み、pKaが5~11程度のガスを任意に選択して供給していた。本実施形態においては、酸化ガスとしてOガスを用い、触媒ガスとして例えばTEAガス等のアミン系触媒ガスを用いる。本実施形態においても、上述の実施形態と同様、図1、図2に示す基板処理装置を用いる。以下の説明において、基板処理装置を構成する各部の動作はコントローラ121により制御される。
 本実施形態では、
 基板としてのウエハ200に対して所定元素としてのシリコン(Si)、炭素(C)およびハロゲン元素を含み所定元素と炭素との化学結合(Si-C結合)を有する原料ガスを供給する工程と、
 ウエハ200に対して酸化ガスを供給する工程と、
 ウエハ200に対して触媒ガスを供給する工程と、
 を含むサイクルを所定回数行うことにより、ウエハ200上に、シリコン(Si)、酸素(O)および炭素(C)を含む薄膜を形成する工程を行う。
 このとき、
 原料ガスを供給する工程を、触媒ガスを供給する工程を不実施とした状態で行い、
 酸化ガスを供給する工程を、触媒ガスを供給する工程を実施した状態で行う。
 また、本実施形態では、
 薄膜を形成する工程におけるウエハ200の温度よりも高い第1の温度で上記薄膜を熱処理することにより、上記薄膜中から第1の不純物を除去する工程と、
 第1の温度以上の第2の温度で上記薄膜を熱処理することにより、第1の温度で熱処理した後の上記薄膜中から、第1の不純物とは異なる第2の不純物を除去する工程と、を更に行う。
 これらの熱処理は、酸素非含有の雰囲気下にて行う。
 また、本実施形態では、各工程は、ノンプラズマの雰囲気下で行われる。
 以下、本実施形態の成膜シーケンスを、図8、図9(a)を用いて具体的に説明する。
 ここでは、
 ウエハ200に対して原料ガスとしてBTCSMガスを供給する工程と、
 ウエハ200に対して酸化ガスとしてOガスを供給する工程と、
 ウエハ200に対してアミン系触媒ガスとしてTEAガスを供給する工程と、
 を含むサイクルを所定回数(n回)行うことにより、ウエハ200上に、Si,OおよびCを含む薄膜としてシリコン酸炭化膜(SiOC膜)を形成する工程を行い、
 ウエハ200に対して酸素非含有ガスとしてNガスを供給することにより生成された酸素非含有の雰囲気下にて、SiOC膜を形成する工程におけるウエハ200の温度よりも高い第1の温度でSiOC膜を熱処理することにより、SiOC膜中から第1の不純物を除去し、
 ウエハ200に対して酸素非含有ガスとしてNガスを供給することにより生成された酸素非含有の雰囲気下にて、第1の温度以上の第2の温度でSiOC膜を熱処理することにより、第1の温度で熱処理した後のSiOC膜中から、第1の不純物とは異なる第2の不純物を除去する例について説明する。
 このとき、
 BTCSMガスを供給する工程では、ウエハ200に対するBTCSMガスの供給を、TEAガス等の触媒ガスのウエハ200に対する供給を停止した状態で行い、
 Oガスを供給する工程では、ウエハ200に対するOガスの供給を、ウエハ200に対するアミン系触媒ガスとしてのTEAガスの供給を実施した状態で行う。
 本実施形態のシーケンス例のように、酸化ガスとしてOガスを用い、触媒ガスとしてTEAガス等のアミン系触媒ガスを用いることで、Oガスの酸化力を著しく高めることができる。よって、例えば原料ガスを供給する工程においてTEAガス等の触媒ガスを供給しなくとも、Oガスを供給する工程において充分な反応性が得られ、SiOC膜を形成することができる。
(SiOC膜形成工程)
 ウエハチャージ、ボートロード、圧力調整および温度調整後、次の2つのステップ1c,2cを順次実行する。
 [ステップ1c]
(BTCSMガス供給)
 上述の実施形態と同様の手順にて、ウエハ200に対してBTCSMガスを供給する。このとき、例えばバルブ243iを閉じた状態とし、ウエハ200に対するBTCSMガスの供給を、TEAガスの供給を停止した状態で行う。更には、バルブ243c,243iを閉じた状態とし、ウエハ200に対するBTCSMガスの供給を、TEAガスをはじめとするアミン系触媒ガスの供給を停止した状態、つまり、アミン系触媒ガスを非供給とした状態で行う。すなわち、ウエハ200に対するBTCSMガスの供給を行うときは、アミン系触媒ガスをはじめとする触媒ガスの供給を行わないこととする。
 また、バッファ室237内、ノズル249b,249c内へのBTCSMガスの侵入を防止するため、バルブ243k,243lを開き、ガス供給管232k,232l内にNガスを流す。Nガスは、ガス供給管232b,232c、ノズル249b,249c、およびバッファ室237を介して処理室201内に供給され、排気管231から排気される。
 このとき、APCバルブ244を適正に調整して、処理室201内の圧力を、例えば1~13330Pa、好ましくは133~2666Paの範囲内の圧力とする。MFC241aで制御するBTCSMガスの供給流量は、例えば1~2000sccmの範囲内の流量とする。MFC241j~241lで制御するNガスの供給流量は、それぞれ例えば100~10000sccmの範囲内の流量とする。BTCSMガスをウエハ200に対して供給する時間、すなわち、ガス供給時間(照射時間)は、例えば1~100秒、好ましくは5~60秒の範囲内の時間とする。
 このときヒータ207の温度は、ウエハ200の温度が、例えば室温以上150℃以下、好ましくは室温以上100℃以下、より好ましくは50℃以上100℃以下の範囲内の温度となるような温度に設定する。上述のように、BTCSMガス供給時に触媒ガスを供給しない場合には、ウエハ200の温度が250℃未満となるとウエハ200上にBTCSMが化学吸着しにくくなり、実用的な成膜レートが得られなくなることがある。本実施形態では、後述するように、次に行うステップ2aにおいてOガスとTEAガスとを組み合わせて用いることで、ウエハ200の温度を250℃未満としても、これを解消することが可能となる。次に行うステップ2aを前提としたうえで、ウエハ200の温度を150℃以下、さらには100℃以下とすることで、ウエハ200に加わる熱量を低減することができ、ウエハ200の受ける熱履歴の制御を良好に行うことができる。このとき、室温以上の温度であれば充分な成膜レートが得られる。よって、ウエハ200の温度は室温以上150℃以下、好ましくは室温以上100℃以下、より好ましくは50℃以上100℃以下の範囲内の温度とするのがよい。
 上述の条件下でウエハ200に対してBTCSMガスを供給することにより、ウエハ200(表面の下地膜)上に、第1の層として、例えば1原子層未満から数原子層程度の厚さのCおよびClを含むSi含有層が形成される。上述のように、例えば150℃以下の低温条件下では、CおよびClを含むSi含有層として、熱分解が不充分な物理吸着によるBTCSMガスの吸着層、すなわち、BTCSMガスの物理吸着層が主に形成されていると考えられる。
 このように、主に熱分解が不充分なBTCSM分子の吸着層によりCおよびClを含むSi含有層が構成されていると、このSi含有層がウエハ200上などに定着し難いほか、この後に行う酸化処理により、強固な結合を有するSiOC層となり難い。つまり、BTCSMガスの供給時に触媒ガスを供給しない場合には、たとえその後の酸化処理にて触媒ガスを供給したとしても、CおよびClを含むSi含有層の酸化反応が進行し難くなることがある。よって、成膜レートが低下してしまったり、そもそも成膜されなかったりするおそれがある。
 このため、このような低温条件下では、上述の実施形態のように、例えば原料ガスを供給する工程と、酸化ガスを供給する工程との両方で、例えばアンモニア(NH)ガスやTEAガス等の孤立電子対を有するNを含む触媒ガスを供給する。これにより、原料ガス供給時に、原料ガスの熱分解反応を促進させることができる。すなわち、このような触媒ガスは、ウエハの表面に存在するO-H結合の結合力を弱め、原料ガスの分解を促し、原料ガス分子の化学吸着によるCおよびClを含むSi含有層の形成を促進させる。
 一方、本実施形態では、次に行うステップ2cにおいてのみ、触媒ガスを使用する。このとき、所定の酸化ガス、つまり、Oガスと、所定の触媒ガス、つまり、TEAガス等のアミン系触媒ガスと、を組み合わせることで、酸化ガスの酸化力を著しく高めることができる。これにより、例えばステップ1cのようにTEAガス等の触媒ガスを使用しない場合において、BTCSMガスの熱分解が不充分であったとしても、CおよびClを含むSi含有層の酸化反応をより確実に進行させ、下地や隣り合う分子や原子等との強固な結合を有するSiOC層を形成することができる。
 またこれにより、少なくとも原料ガス供給工程において、反応機構が複雑な触媒ガスを用いた反応系を経る必要がなくなる。BTCSMガス供給時に触媒ガスを供給した場合に触媒反応により生じる塩がパーティクル源となってしまうことも回避することができる。よって、成膜プロセスの構築が容易となる。また、例えばBTCSMガス供給工程において触媒ガスを用いないことで、触媒ガスの使用量が低減され、製造コストを削減することができる。
(残留ガス除去)
 その後、上述の実施形態と同様の手順にて、BTCSMガスの供給を停止し、処理室201内からの残留ガスの除去を行う。
 [ステップ2c]
(Oガス+TEAガス供給)
 ステップ1cが終了し処理室201内の残留ガスを除去した後、バルブ243gを開き、ガス供給管232g内にOガスを流す。OガスはMFC241gにより流量調整され、ガス供給孔250bからバッファ室237内に供給されてガス供給孔250dから処理室201内に供給され、排気管231から排気される。このとき、ノンプラズマの雰囲気下で、ウエハ200に対してOガスが供給されることとなる(Oガス供給)。このとき同時にバルブ243kを開き、ガス供給管232k内に不活性ガスとしてのNガスを流す。Nガスは、MFC241kにより流量調整され、Oガスと一緒に処理室201内に供給され、排気管231から排気される。
 また、バルブ243iを開き、ガス供給管232i内にTEAガスを流す。TEAガスは、MFC241iにより流量調整され、ガス供給孔250cから処理室201内に供給され、排気管231から排気される。このとき、ウエハ200に対してTEAガスが供給されることとなる(TEAガス供給)。このとき同時にバルブ243lを開き、ガス供給管232l内にNガス等の不活性ガスを流す。Nガスは、MFC241lにより流量調整され、TEAガスと一緒に処理室201内に供給され、排気管231から排気される。
 このとき、ノズル249a内へのOガスおよびTEAガスの侵入を防止するため、バルブ243jを開き、ガス供給管232j内にNガスを流す。Nガスは、ガス供給管232a、ノズル249aを介して処理室201内に供給され、排気管231から排気される。
 このとき、APCバルブ244を適正に調整して、処理室201内の圧力を、例えば1~13330Pa、好ましくは133~2666Paの範囲内の圧力とする。MFC241gで制御するOガスの供給流量は、例えば1000~10000sccmの範囲内の流量とする。また、MFC241iで制御するTEAガスの供給流量は、例えばOガスの供給流量(sccm)/TEAガスの供給流量(sccm)の比にして0.01~100、より好ましくは0.05~10の範囲内となる流量とする。MFC241j~241lで制御するNガスの供給流量は、それぞれ例えば100~10000sccmの範囲内の流量とする。Oガス及びTEAガスをウエハ200に対して供給する時間、すなわち、ガス供給時間(照射時間)は、例えば1~100秒、好ましくは5~60秒の範囲内の時間とする。ヒータ207の温度は、ウエハ200の温度が、ステップ1cでのBTCSMガスの供給時と同様な温度帯、すなわち、例えば室温以上150℃以下、好ましくは室温以上100℃以下、より好ましくは50℃以上100℃以下の範囲内の温度となるように設定する。
 処理室201内に供給されたOガスは熱で活性化され、排気管231から排気される。このときウエハ200に対して、熱で活性化されたOガスが供給されることとなる。すなわち、処理室201内に流しているガスは熱的に活性化されたOガスであり、処理室201内にはBTCSMガスは流していない。したがって、Oガスは気相反応を起こすことはなく、活性化された状態でウエハ200に対して供給され、ステップ1cでウエハ200上に形成された第1の層(CおよびClを含むSi含有層)の少なくとも一部と反応する。これにより、第1の層は、ノンプラズマで熱的に酸化されて、Si、OおよびCを含む第2の層、すなわち、SiOC層へと変化させられる。
 ここで、アミン系触媒ガスとしてのTEAガスは、Oガスの分解を促し、Oガスの酸化力を向上させて、OガスとCおよびClを含むSi含有層との反応を促進させる。本発明者等は、OガスとTEAガスとを組み合わせることにより、通常の触媒反応で予測される範囲を超えてOガスの酸化力が向上することを見いだした。上述のように、BTCSMガスの供給時に触媒ガスを供給せず、BTCSMガスの熱分解が不充分であると、その後の酸化ガスの供給工程において触媒ガスを供給しても、充分な反応性が得られ難い。しかしながら、本発明者等が鋭意研究を行った結果、特に、OガスとTEAガスとを一緒に供給することで、例えばステップ1cにおいて、CおよびClを含むSi含有層として熱分解が不充分な物理吸着によるBTCSMガスの吸着層、すなわち、BTCSMガスの物理吸着層が主に形成されていたとしても、OガスとCおよびClを含むSi含有層との酸化反応を適正に進行させることができることを見いだした。このように、TEAガスの作用によりOガスの酸化力を著しく高めることができ、BTCSMガスの物理吸着層に対してであってもかかる層の酸化処理をより確実に行って、下地や隣り合う分子や原子等との強固な結合を有するSiOC層を形成することができることを究明した。
(残留ガス除去)
 その後、バルブ243gを閉じ、Oガスの供給を停止する。また、バルブ243iを閉じ、TEAガスの供給を停止する。そして、上述の実施形態と同様の手順にて、処理室201内からの残留ガスの除去を行う。
(所定回数実施)
 上述したステップ1c,2cを1サイクルとして、このサイクルを1回以上、つまり、所定回数(n回)行うことにより、ウエハ200上に、所定組成及び所定膜厚のSiOC膜を成膜することができる。上述のサイクルは、複数回繰り返すのが好ましい。すなわち、1サイクルあたりに形成するSiOC層の厚さを所望の膜厚よりも小さくして、上述のサイクルを所望の膜厚になるまで複数回繰り返すのが好ましい。
(SiOC膜改質工程)
 本実施形態においても、低温条件下で形成されたSiOC膜中には、水分やCl等の不純物や、C系の不純物が多く含まれる場合がある。よって、上述の実施形態と同様の手順および処理条件にて、圧力調整、温度調整、第1の熱処理、第2の熱処理、パージ及び大気圧復帰を行って、SiOC膜中の不純物を除去し、SiOC膜を改質する。これにより、SiOC膜改質工程を行う前のSiOC膜よりも、高エッチング耐性で、低誘電率のSiOC膜が得られる。
 なお、本実施形態において、低温条件下で形成されたSiOC膜中に含まれる水分等の不純物は、例えば、処理室201内にウエハ200を搬入する際に外部から持ち込まれた水分等に由来する。Cl等の不純物は、例えばBTCSM分子中のCl等に由来する。C系の不純物は、例えばBTCSM分子中のC、HやTEA分子中のC、Hに由来する。すなわち、TEAはピリジンと同様、その一部が分解される場合もあるが、大部分は分解されず、実質的に触媒として作用する。本明細書では、本実施形態の反応系におけるTEAのように、その一部は分解される場合もあるが、大部分は分解されず、実質的に触媒として作用する物質を触媒と称することとしている。
 その後、上述の実施形態と同様の手順にて、ボートアンロード及びウエハディスチャージを行って、本実施形態の成膜処理を終了する。
(2)本実施形態にかかる効果
 本実施形態によれば、上述の実施形態と同様の効果を奏する他、以下に示す1つまたは複数の効果を奏する。
(a)本実施形態の成膜シーケンスによれば、ステップ1cで、ウエハ200に対するBTCSMガスの供給を、ウエハ200に対する触媒ガスの供給を停止した状態で行う。これにより、成膜プロセスを簡素化することができる。また、BTCSMガス供給時に触媒ガスを供給した場合に生じる塩が発生せず、パーティクルを抑制することができる。また、触媒ガスの使用量を抑え、製造コストを低減することができる。
(b)また、本実施形態の成膜シーケンスによれば、ステップ2cで、ウエハ200に対するOガスの供給を、ウエハ200に対するTEAガスの供給を実施した状態で行う。これにより、Oガスの酸化力を顕著に高めることができる。すなわち、本実施形態の成膜シーケンスによれば、Oガスとアミン系触媒ガスとを組み合わせることで、通常の触媒反応で予測可能な範囲を超えてOガスの酸化力を高めることができる。よって、ステップ1cにおけるBTCSMガスの供給時に触媒ガスを供給しなくとも、CおよびClを含むSi含有層に対して充分な反応性が得られる。よって、充分な成膜レートを維持しつつ、CおよびClを含むSi含有層を酸化してSiOC層を形成することができる。
 なお、本発明者等は、Oガスと組み合わせるアミン系触媒ガスとして、TEAガスが優れており、次いでピリジンガス、次にピペリジンガスが適していると考えている。これは、所定条件下で、各ガスを比較したところ、TEAガスを用いた例において、SiOC膜等の薄膜を形成可能な温度範囲が広がったこと等に基づく。
 また、本実施形態によれば、上述の実施形態と同様の効果を奏するが、これらの効果は、本実施形態よりも上述の実施形態において、より顕著に現れることが判明した。すなわち、これらの効果、中でも特にSiOC膜の誘電率低下の効果は、OガスとTEAガスとを用いる本実施形態よりも、HOガスとピリジンガスとを用いる上述の実施形態において、より顕著に現れることが判明した。これは、酸化ガスとしてHOガスを用いることで、酸化ガスとしてOガスを用いる場合よりも、SiOC膜のポーラス化の度合いが増加したことに起因すると考えられる。すなわち、酸化ガスとしてHOガスを用いることで、酸化ガスとしてOガスを用いる場合よりも、水分を多く含むSiOC膜が形成され、このように水分を多く含むSiOC膜に対して第1の熱処理および第2の熱処理を施すことで、SiOC膜中に微小な孔(ポア)、すなわち、微小な空間がより多く生じ、ポーラス化がより進んだSiOC膜が得られたことが原因であると考えられる。
(3)本実施形態の変形例
 次に、本実施形態の変形例について、図9(b)、図9(c)を用いて説明する。
 本変形例では、原料ガスとして上述とは異なるクロロシラン系原料ガス、或いはアミノシラン系原料ガスを用い、SiOC膜とは異なる膜種の薄膜であるシリコン酸化膜(SiO膜、以降、SiO膜ともいう)を形成する例について説明する。
 図9(b)に示すように、変形例1の成膜シーケンスにおいては、
 ウエハ200に対して所定元素としてのシリコン(Si)とハロゲン元素とを含む原料ガスとしてHCDSガスを供給する工程と、
 ウエハ200に対してOガスを供給する工程と、
 ウエハ200に対してTEAガスを供給する工程と、
 を含むサイクルを所定回数行うことにより、ウエハ200上に薄膜としてのSiO膜を形成する工程を行い、
 ウエハ200に対して酸素非含有ガスとしてNガスを供給することにより生成された酸素非含有の雰囲気下にて、SiO膜を形成する工程におけるウエハ200の温度よりも高い第1の温度でSiO膜を熱処理することにより、SiO膜中から第1の不純物を除去し、
 ウエハ200に対して酸素非含有ガスとしてNガスを供給することにより生成された酸素非含有の雰囲気下にて、第1の温度以上の第2の温度でSiO膜を熱処理することにより、第1の温度で熱処理した後のSiO膜中から、第1の不純物とは異なる第2の不純物を除去する。
 このとき、
 HCDSガスを供給する工程では、ウエハ200に対するHCDSガスの供給を、ウエハ200に対するTEAガス等の触媒ガスの供給を停止した状態で行い、
 Oガスを供給する工程では、ウエハ200に対するOガスの供給を、ウエハ200に対するTEAガスの供給を実施した状態で行う。
 ここで、ウエハ200に対するHCDSガスの供給手順について以下に説明する。その他、Oガス、TEAガス等の供給手順および処理条件は、上述の実施形態と同様であるので説明を省略する。
 バルブ243eを開き、ガス供給管232e内にHCDSガスを流す。HCDSガスは、MFC241eにより流量調整され、ガス供給孔250aから処理室201内に供給され、排気管231から排気される。このとき、ウエハ200に対してHCDSガスが供給されることとなる(HCDSガス供給)。このとき同時にバルブ243jを開き、ガス供給管232j内にNガス等の不活性ガスを流す。Nガスは、MFC241jにより流量調整され、HCDSガスと一緒に処理室201内に供給され、排気管231から排気される。
 このように、ウエハ200に対してHCDSガスを供給することにより、ウエハ200(表面の下地膜)上に、第1の層として、例えば1原子層未満から数原子層程度の厚さのClを含むSi含有層が形成される。Clを含むSi含有層は、Clを含むSi層であってもよいし、HCDSガスの吸着層であってもよいし、その両方を含んでいてもよい。
 ここで、Clを含むSi層とは、Siにより構成されClを含む連続的な層の他、不連続な層や、これらが重なってできるClを含むSi薄膜をも含む総称である。なお、Siにより構成されClを含む連続的な層を、Clを含むSi薄膜という場合もある。なお、Clを含むSi層を構成するSiは、Clとの結合が完全に切れていないものの他、Clとの結合が完全に切れているものも含む。
 またここで、HCDSガスの吸着層は、HCDSガスのガス分子の連続的な吸着層の他、不連続な吸着層をも含む。すなわち、HCDSガスの吸着層は、HCDS分子で構成される1分子層もしくは1分子層未満の厚さの吸着層を含む。なお、HCDSガスの吸着層を構成するHCDS(SiCl)分子は、図14(e)に化学構造式を示すものだけでなく、SiとClとの結合が一部切れたものも含む。
 ここでは、Clを含むSi含有層として熱分解が不充分な物理吸着によるHCDSガスの吸着層、すなわち、HCDSガスの物理吸着層が主に形成されていると考えられる。その場合であっても、この後、Oガスを供給する工程にて、ウエハ200に対してOガスとTEAガスとを供給することで、熱で活性化され、TEAガスにより酸化力の高まったOガスが、第1の層(Clを含むSi含有層)の少なくとも一部と反応する。これにより、第1の層は、ノンプラズマで熱的に酸化されて、SiおよびOを含む第2の層、すなわち、シリコン酸化層(SiO層)へと変化させられる。
 なお、このときの処理室201内の圧力、原料ガス、Oガス、TEAガス、Nガス等の各ガスの供給流量、供給時間、ウエハ200の温度等の処理条件については、例えば上述の実施形態の処理条件と同様の範囲内の処理条件とすることができる。また、各ガスを供給する際は、上述の実施形態と同様、そのとき不使用となっているノズル249a,249b,249c等へのガスの侵入を防止するNガス供給を適宜行う。
 また、図9(c)に示すように、変形例2の成膜シーケンスにおいては、所定元素としてのシリコン(Si)、炭素(C)および窒素(N)を含み所定元素と窒素との化学結合(Si-N結合)を有する原料ガスとしてBDEASガスを用いることにより、ウエハ200上に薄膜としてのSiO膜を形成し、Nガスの雰囲気下にて、SiO膜に対して改質処理(第1の熱処理、第2の熱処理)を行う。ウエハ200に対するBDEASガスの供給手順については後述する。
 以上のように、OガスとTEAガス等のアミン系触媒ガスとを組み合わせた酸化処理を行うことを前提に、HCDSガス等の他のクロロシラン系原料ガスや、BDEASガス等のアミノシラン系原料ガス等を用い、SiOC膜とは異なる薄膜であるSiO膜等を形成してもよい。
 なお、BDEASガスは、そもそもウエハ200等に吸着し易く分解性や反応性の高いガスである。このため、低温条件下においても触媒ガスを用いることなく単独で使用される一方で、その後の酸化処理にはプラズマ状態に励起したOガス等の酸化ガスが用いられることがある。図9(c)の成膜シーケンスにおいては、このようなプラズマを用いて酸化処理を行った場合と同様の効果が得られる。このことから、Oガスとアミン系触媒ガスとを組み合わせて用いることで、Oガスの酸化力が、プラズマを用いた場合と同程度に高まっている可能性のあることが推察される。
 このように、低温条件下で形成されたSiO膜中には、水分等の不純物が含まれる可能性がある。原料ガスとしてHCDSガスを用いた場合には、SiO膜中にCl等の不純物が含まれる可能性もある。原料ガスとしてBDEASガスを用いた場合には、SiO膜中にC、H、N等の不純物が含まれる可能性もある。上述の実施形態と同様の手順および処理条件にて、SiO膜に対する第1の熱処理および第2の熱処理を行って、SiO膜中の不純物を除去し、SiO膜を改質することにより、SiO膜改質工程を行う前のSiO膜よりも、高エッチング耐性で、低誘電率のSiO膜が得られる。
 以上に説明したような各種変形例によっても、上述の実施形態と同様の効果を奏すること ができる。
<第3実施形態>
 次に、本発明の第3実施形態について説明する。
(1)積層膜形成工程
 上述の実施形態では、ステップ1a,2a又はステップ1c,2cを含むサイクルを所定回数行ってSiOC膜を形成する例について説明した。本実施形態では、上述のSiOC膜形成工程に加え、ウエハ200に対して触媒ガスの供給を実施した状態で上述とは異なるクロロシラン系原料ガスを供給するステップと、ウエハ200に対して触媒ガスの供給を実施した状態で酸化ガスを供給するステップと、を所定回数行う。これにより、SiOC膜と、SiOC膜とは異なる膜種のSiO膜との積層膜を形成する。
 以下、本実施形態の成膜シーケンスを、図10、図11を用いて説明する。本実施形態においても、上述の実施形態と同様、図1、図2に示す基板処理装置を用いる。なお、以下の説明において、基板処理装置を構成する各部の動作はコントローラ121により制御される。
 本実施形態の成膜シーケンスでは、
 ウエハ200に対して所定元素としてのシリコン(Si)およびハロゲン元素を含む原料ガスとしてHCDSガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い(ステップ1d)、ウエハ200に対して酸化ガスとしてHOガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い(ステップ2d)、これらの工程を含むセットを所定回数行うことにより、SiおよびOを含む第1の薄膜としてのSiO膜を形成する工程と、
 ウエハ200に対して所定元素としてのシリコン(Si)、炭素(C)およびハロゲン元素を含み所定元素と炭素との化学結合(Si-C結合)を有する原料ガスとしてBTCSMガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い(ステップ1e)、ウエハ200に対して酸化ガスとしてHOガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い(ステップ2e)、これらの工程を含むセットを所定回数行うことにより、Si,OおよびCを含む第2の薄膜としてのSiOC膜を形成する工程と、
 を含むサイクルを所定回数行うことにより、ウエハ200上に、SiO膜とSiOC膜との積層膜を形成する工程を行い、
 ウエハ200に対して酸素非含有ガスとしてNガスを供給することにより生成された酸素非含有の雰囲気下にて、積層膜を形成する工程におけるウエハ200の温度よりも高い第1の温度で積層膜を熱処理することにより、積層膜中から第1の不純物を除去し、
 ウエハ200に対して酸素非含有ガスとしてNガスを供給することにより生成された酸素非含有の雰囲気下にて、第1の温度以上の第2の温度で積層膜を熱処理することにより、第1の温度で熱処理した後の積層膜中から、第1の不純物とは異なる第2の不純物を除去する例について説明する。
(SiO膜形成工程)
 ウエハチャージ、ボートロード、圧力調整および温度調整後、次の2つのステップ1d,2dを順次実行する。
 [ステップ1d]
(HCDSガス+ピリジンガス供給)
 上述の図9(b)の変形例と同様の手順にて、ウエハ200に対してHCDSガスを供給する(HCDSガス供給)。また、上述の実施形態と同様の手順にて、ウエハ200に対してピリジンガスを供給する(ピリジンガス供給)。
 このように、ピリジンガスが、HCDSガスと共にウエハ200に対して供給されることで、ウエハ200の表面に存在するO-H結合の結合力を弱め、HCDSガスの分解を促し、HCDS分子の化学吸着によるClを含むSi含有層(第1の層)の形成を促進させる。このように、ピリジンガスは、HCDSガスに対しても、上述のBTCSMガス等の場合と同様の触媒作用を示す。
 なお、このときの処理室201内の圧力、原料ガス、触媒ガス、Nガス等の各ガスの供給流量、供給時間、ウエハ200の温度等の処理条件については、例えば上述の実施形態の処理条件と同様の範囲内の処理条件とすることができる。また、HCDSガスやピリジンガスを供給する際は、上述の実施形態と同様、不使用となっているノズル249b等へのガスの侵入を防止するNガス供給を行う。
(残留ガス除去)
 第1の層としてのClを含むSi含有層がウエハ200上に形成された後、上述の実施形態と同様の手順にて、HCDSガスとピリジンガスとの供給を停止し、処理室201内からの残留ガスの除去を行う。
 [ステップ2d]
(HOガス+ピリジンガス供給)
 ステップ1dが終了し処理室201内の残留ガスを除去した後、上述の実施形態と同様の供給手順にて、ウエハ200に対してHOガスとピリジンガスとを供給する。ウエハ200に対して供給されたHOガスは熱で活性化され、ピリジンガスによりその分解が促進されて、ステップ1dでウエハ200上に形成された第1の層(Clを含むSi含有層)の少なくとも一部と反応する。これにより第1の層は、ノンプラズマで熱的に酸化されて、SiおよびOを含む第2の層、すなわち、シリコン酸化層(SiO層)へと変化させられる。
 なお、このときの処理室201内の圧力、酸化ガス、触媒ガス、Nガス等の各ガスの供給流量、供給時間、ウエハ200の温度等の処理条件については、例えば上述の実施形態の処理条件と同様の範囲内の処理条件とすることができる。また、HOガスやピリジンガスを供給する際は、上述の実施形態と同様、不使用となっているノズル249a等へのガスの侵入を防止するNガス供給を行う。
(残留ガス除去)
 その後、上述の実施形態と同様の手順にて、HOガスとピリジンガスとの供給を停止し、処理室201内からの残留ガスの除去を行う。
(所定回数実施)
 上述したステップ1d,2dを1セットとして、このセットを1回以上(所定回数)行うことにより、ウエハ200上に、所定組成及び所定膜厚のSiO膜を成膜することができる。なお、上述のサイクルは、複数回繰り返すのが好ましい。すなわち、1セットあたりに形成するSiO層の厚さを所望の膜厚よりも小さくして、上述のセットを所望の膜厚になるまで複数回繰り返すのが好ましい。
(SiOC膜形成工程)
 SiOC膜形成工程では、上述の実施形態のステップ1a,2aと同様の手順および処理条件で、ステップ1e,2eを順次実行する。かかるステップ1e,2eを1セットとして、このセットを1回以上(所定回数)行うことにより、ウエハ200上に、所定組成及び所定膜厚のSiOC膜を成膜することができる。
(所定回数実施)
 上述したステップ1d,2dを1セットとして、このセットを所定回数行うSiO膜形成工程と、ステップ1e,2eを1セットとして、このセットを所定回数行うSiOC膜形成工程と、を1サイクルとして、このサイクルを1回以上(所定回数)行うことにより、ウエハ200上に、SiO膜とSiOC膜との積層膜が形成される。このとき、SiO膜形成工程とSiOC膜形成工程とは、どちらから開始してもよい。
 図11(a)に示すように、SiO膜形成工程とSiOC膜形成工程とを含むサイクルを1回行うことで、SiO膜とSiOC膜とがそれぞれ1層ずつ積層されてなる積層膜(スタック膜)を形成することができる。
 また、図11(b)に示すように、SiO膜形成工程とSiOC膜形成工程とを含むサイクルを複数回行うことで、SiO膜とSiOC膜とがそれぞれ複数積層されてなる積層膜(ラミネート膜)を形成することができる。図11(b)は、SiO膜形成工程とSiOC膜形成工程とを含むサイクルを2回繰り返す例を示している。
 上記いずれの場合であっても、SiO膜とSiOC膜との所望の膜厚比率等に応じて、ステップ1d,2dを含むセットと、ステップ1e,2eを含むセットとが、1サイクル中に同じ回数含まれるようにしてもよく、それぞれ異なる回数含まれるようにしてもよい。それぞれのセットを同じ回数ずつ交互に行うことで、それぞれの膜厚の比率が略等しいSiO膜とSiOC膜とを含む積層膜とすることができる。また、それぞれのセットを異なる回数行うことで、それぞれの膜厚の比率が異なるSiO膜とSiOC膜とを含む積層膜とすることができる。
(積層膜改質工程)
 本実施形態においても、低温条件下で形成されたSiO膜とSiOC膜との積層膜中には、水分やCl等の不純物や、C系の不純物が多く含まれる場合がある。よって、上述の実施形態と同様の手順および処理条件にて、圧力調整、温度調整、第1の熱処理、第2の熱処理、パージ及び大気圧復帰を行って、積層膜中の不純物を除去し、積層膜を改質する。これにより、積層膜改質工程を行う前の積層膜よりも、高エッチング耐性で、低誘電率の積層膜が得られることとなる。
 その後、上述の実施形態と同様の手順にて、ボートアンロード及びウエハディスチャージを行って、本実施形態の成膜処理を終了する。
 本実施形態によっても、上述の実施形態と同様の効果を奏すること ができる。
(2)本実施形態の変形例
 本実施形態では、触媒ガスと共にHCDSガスおよびHOガスを用いてSiO膜を成膜し、そのSiO膜とSiOC膜との積層膜を形成する例について説明した。本実施形態の変形例では、上記とは異なる原料ガス及びプラズマ状態に励起した酸化ガスを用いることで、触媒ガスを用いることなくSiO膜を成膜し、そのSiO膜とSiOC膜との積層膜を形成する例について、図12、図13を用いて説明する。
 本変形例の成膜シーケンスでは、
 ウエハ200に対して所定元素としてのシリコン(Si)、炭素(C)および窒素(N)を含み所定元素と窒素との化学結合(Si-N結合)を有する原料ガスとしてBDEASガスを供給する工程と(ステップ1f)、ウエハ200に対してプラズマ状態に励起した酸化ガスとしてプラズマ状態に励起したOガスを供給する工程と(ステップ2f)、を含むセットを所定回数行うことにより、SiおよびOを含む第1の薄膜としてのSiO膜を形成する工程と、
 ウエハ200に対して所定元素としてのシリコン(Si)、炭素(C)およびハロゲン元素を含み所定元素と炭素との化学結合(Si-C結合)を有する原料ガスとしてBTCSMガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い(ステップ1g)、ウエハ200に対して酸化ガスとしてHOガスを供給する工程を、ウエハ200に対して触媒ガスとしてピリジンガスを供給する工程を実施した状態で行い(ステップ2g)、これらの工程を含むセットを所定回数行うことにより、Si,OおよびCを含む第2の薄膜としてのSiOC膜を形成する工程と、
 を含むサイクルを所定回数行うことにより、ウエハ200上に、SiO膜とSiOC膜との積層膜を形成する工程を行い、
 ウエハ200に対して酸素非含有ガスとしてNガスを供給することにより生成された酸素非含有の雰囲気下にて、積層膜を形成する工程におけるウエハ200の温度よりも高い第1の温度で積層膜を熱処理することにより、積層膜中から第1の不純物を除去し、
 ウエハ200に対して酸素非含有ガスとしてNガスを供給することにより生成された酸素非含有の雰囲気下にて、第1の温度以上の第2の温度で積層膜を熱処理することにより、第1の温度で熱処理した後の積層膜中から、第1の不純物とは異なる第2の不純物を除去する例について説明する。
(SiO膜形成工程)
 ウエハチャージ、ボートロード、圧力調整および温度調整後、次の2つのステップ1f,2fを順次実行する。
 [ステップ1f]
(BDEASガス供給)
 バルブ243fを開き、ガス供給管232f内にBDEASガスを流す。BDEASガスは、MFC241fにより流量調整され、ガス供給孔250aから処理室201内に供給され、排気管231から排気される。このとき、ウエハ200に対してBDEASガスが供給されることとなる(BDEASガス供給)。このとき同時にバルブ243jを開き、ガス供給管232j内にNガス等の不活性ガスを流す。Nガスは、MFC241jにより流量調整され、BDEASガスと一緒に処理室201内に供給され、排気管231から排気される。
 また、バッファ室237内、ノズル249b,249c内へのBDEASガスの侵入を防止するため、バルブ243k,243lを開き、ガス供給管232k,232l内にNガスを流す。Nガスは、ガス供給管232k,232l、ノズル249b,249c、バッファ室237を介して処理室201内に供給され、排気管231から排気される。
 このように、ウエハ200に対してBDEASガスを供給することにより、ウエハ200(表面の下地膜)上に、第1の層として、例えば1原子層未満から数原子層程度の厚さのNおよびCを含むSi含有層が形成される。NおよびCを含むSi含有層は、NおよびCを含むSi層であってもよいし、BDEASガスの吸着層であってもよいし、その両方を含んでいてもよい。
 ここで、NおよびCを含むSi層とは、Siにより構成されNおよびCを含む連続的な層の他、不連続な層や、これらが重なってできるNおよびCを含むSi薄膜をも含む総称である。なお、Siにより構成されNおよびCを含む連続的な層を、NおよびCを含むSi薄膜という場合もある。なお、NおよびCを含むSi層を構成するSiは、Nとの結合が完全に切れていないものの他、Nとの結合が完全に切れているものも含む。
 ここで、BDEASガスの吸着層は、BDEASガスのガス分子の連続的な吸着層の他、不連続な吸着層をも含む。すなわち、BDEASガスの吸着層は、BDEAS分子で構成される1分子層もしくは1分子層未満の厚さの吸着層を含む。なお、BDEASガスの吸着層を構成するBDEAS(Si[N(C)分子は、図14(f)に化学構造式を示すものだけでなく、SiとN、SiとH、NとCとの結合が一部切れたものも含む。すなわち、BDEASガスの吸着層は、BDEAS分子の化学吸着層や、BDEAS分子の物理吸着層を含む。
 BDEASガスは、ウエハ200等に吸着し易く分解性や反応性の高いガスである。よって、例えば150℃以下の比較的低温の条件下であっても、例えばピリジンガス等の触媒ガスを用いずに反応を進行させ、ウエハ200上にNおよびCを含むSi含有層を形成することができる。
 なお、このときの処理室201内の圧力、原料ガス、Nガス等の各ガスの供給流量、供給時間、ウエハ200の温度等の処理条件については、例えば上述の実施形態の処理条件と同様の範囲内の処理条件とすることができる。
(残留ガス除去)
 第1の層としてのNおよびCを含むSi含有層がウエハ200上に形成された後、バルブ243fを閉じ、BDEASガスの供給を停止する。また、上述の実施形態と同様の手順にて、処理室201内からの残留ガスの除去を行う。
 [ステップ2f]
(Oガス供給)
 ステップ1dが終了し処理室201内の残留ガスを除去した後、バルブ243hを開き、ガス供給管232h内にOガスを流す。Oガスは、MFC241hにより流量調整され、ガス供給孔250bからバッファ室237内に供給される。このとき、第1の棒状電極269及び第2の棒状電極270間に高周波電源273から整合器272を介して高周波(RF)電力を印加することで、バッファ室237内に供給されたOガスはプラズマ励起され、活性種としてガス供給孔250dから処理室201内に供給され、排気管231から排気される。このときウエハ200に対して、プラズマ状態に励起されたOガスが供給されることとなる(Oガス供給)。このとき同時にバルブ243kを開き、ガス供給管232k内に不活性ガスとしてのNガスを流す。Nガスは、MFC241kにより流量調整され、Oガスと一緒に処理室201内に供給され、排気管231から排気される。
 なお、このとき、ノズル249a,249c内へのOガスの侵入を防止するため、バルブ243j,243lを開き、ガス供給管232j,232l内にNガスを流す。Nガスは、ガス供給管232a,232c、ノズル249a,249cを介して処理室201内に供給され、排気管231から排気される。
 このように、プラズマを用いることで、処理室201内の温度を例えば150℃以下のような比較的低い温度帯としても、例えばピリジンガス等の触媒ガスを用いずに、Oガスを活性化させることが可能となる。
 このとき、処理室201内に流しているガスはプラズマ状態に励起されたOガスであり、例えば酸素ラジカル(O)等の活性種を含んでいる。また、処理室201内にはBDEASガスは流していない。したがって、Oガスは気相反応を起こすことはなく、活性化された状態でウエハ200に対して供給され、主にこの活性種により、ステップ1fでウエハ200上に形成されたNおよびCを含むSi含有層に対して酸化処理が行われる。この活性種の持つエネルギーは、NおよびCを含むSi含有層中に含まれるSi-N結合、Si-H結合の結合エネルギーよりも高いため、この活性種のエネルギーをNおよびCを含むSi含有層に与えることで、このSi含有層中に含まれるSi-N結合、Si-H結合は切り離される。Siとの結合を切り離されたN、H、及びNに結合するCは、NおよびCを含むSi含有層中から除去され、N、H、CO等として排出される。また、N、Hとの結合が切られることで余ったSiの結合手は、活性種に含まれるOと結びつきSi-O結合が形成される。このようにして、第1の層としてのNおよびCを含むSi含有層は、SiおよびOを含む第2の層、すなわち、SiO層へと変化させられる。
 なお、このときの処理室201内の圧力、酸化ガス、Nガス等の各ガスの供給流量、供給時間、ウエハ200の温度等の処理条件については、例えば上述の実施形態の処理条件と同様の範囲内の処理条件とすることができる。高周波電源273から第1の棒状電極269及び第2の棒状電極270間に印加する高周波電力は、例えば50~1000Wの範囲内の電力となるように設定する。
(残留ガス除去)
 第2の層としてのSiO層がウエハ200上に形成された後、バルブ243hを閉じ、Oガスの供給を停止する。また、上述の実施形態と同様の手順にて、処理室201内からの残留ガスの除去を行う。
(所定回数実施)
 上述したステップ1f,2fを1セットとして、このセットを1回以上(所定回数)行うことにより、ウエハ200上に、所定組成及び所定膜厚のSiO膜を成膜することができる。なお、上述のサイクルは、複数回繰り返すのが好ましい。すなわち、1セットあたりに形成するSiO層の厚さを所望の膜厚よりも小さくして、上述のセットを所望の膜厚になるまで複数回繰り返すのが好ましい。
(SiOC膜形成工程及び所定回数実施)
 SiOC膜形成工程、および各工程についての所定回数の実施は、上述の実施形態と同様の手順および処理条件で行うことができる。すなわち、ステップ1f,2fを1セットとして、このセットを所定回数行うSiO膜形成工程と、上述の実施形態のステップ1a,2aと同様のステップ1g,2gを1セットとして、このセットを所定回数行うSiOC膜形成工程と、を1サイクルとして、このサイクルを1回以上(所定回数)行うことにより、ウエハ200上に、SiO膜とSiOC膜との積層膜が形成される。
 図13(a)に示すように、SiO膜形成工程とSiOC膜形成工程とを含むサイクルを1回行うことで、SiO膜とSiOC膜とがそれぞれ1層ずつ積層されてなる積層膜(スタック膜)を形成することができる。
 また、図13(b)に示すように、SiO膜形成工程とSiOC膜形成工程とを含むサイクルを複数回行うことで、SiO膜とSiOC膜とがそれぞれ複数積層されてなる積層膜(ラミネート膜)を形成することができる。図13(b)は、SiO膜形成工程とSiOC膜形成工程とを含むサイクルを2回繰り返す例を示している。
 本変形例においても、SiO膜とSiOC膜との所望の膜厚比率等に応じて、各ステップ1f,2f,1g,2gを含むそれぞれのセットの開始順、各セット数等を任意に決定することができる。
(積層膜改質工程)
 本変形例においても、低温条件下で形成されたSiO膜とSiOC膜との積層膜中には、水分やCl等の不純物や、C系の不純物が多く含まれる場合がある。よって、上述の実施形態と同様の手順および処理条件にて、圧力調整、温度調整、第1の熱処理、第2の熱処理、パージ及び大気圧復帰を行って、積層膜中の不純物を除去し、積層膜を改質する。これにより、積層膜改質工程を行う前の積層膜よりも、高エッチング耐性で、低誘電率の積層膜が得られることとなる。
 その後、上述の実施形態と同様の手順にて、ボートアンロード及びウエハディスチャージを行って、本変形例の成膜処理を終了する。
 以上に説明したような変形例によっても、上述の実施形態と同様の効果を奏すること ができる。
<他の実施形態>
 以上、本発明の実施の形態を具体的に説明したが、本発明は上述の実施形態や変形例に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
 例えば、上述の実施形態等では、不活性ガス、パージガスおよび酸素非含有ガスを全て同一の供給系から供給するようにしたが、不活性ガス供給系、パージガス供給系および酸素非含有ガス供給系の全て、或いは少なくとも一部を別系統の供給系として設けてもよい。但し、専ら排気系のみにより処理室201内に酸素非含有の雰囲気を生成する場合には、酸素非含有ガス供給系を設ける必要はない。
 また、上述の実施形態等では、原料ガスと共に触媒ガスを用いる際において、ステップ2a,2b,2d,2e,2g等において、触媒ガスと共にHOガス等の酸化ガスを用いてSi含有層をSiOC層やSiO層へと変化させる例について説明した。しかし、原料ガスと共に触媒ガスを用いる際において、プラズマで励起したOガス等の酸化ガスを用いてSi含有層をSiOC層やSiO層へと変化させてもよい。このときの処理条件は、例えば上述の実施形態や変形例と同様な処理条件とすることができる。
 また、上述の実施形態等では、HOガス等の酸化ガスを用いてSiOC膜やSiO膜等のシリコ系薄膜(Si系薄膜)を形成する例について説明したが、本発明は、これに限定されるものではない。例えば酸化ガスの代わりに窒素(N)等を含む窒化ガスを用いてCおよびClを含むSi含有層を窒化させSiCN膜等のSi系薄膜を形成してもよい。あるいは、酸化ガスや窒化ガス等を適宜組み合わせて、SiON膜やSiOCN膜等のSi系薄膜を形成してもよい。窒化ガスとしては、例えばアンモニア(NH)ガス、ジアゼン(N)ガス、ヒドラジン(N)ガス、Nガス、これらの化合物を含むガス等を用いることができる。このときの処理条件は、例えば上述の実施形態と同様な処理条件とすることができる。
 また、上述の実施形態等では、SiOC膜やSiO膜の成膜に用いる原料ガスとして、クロロシラン系原料ガスを用いる例について説明したが、クロロシラン系以外のハロシラン系原料ガス、例えば、フルオロシラン系原料ガスやブロモシラン系原料ガス等を用いてもよい。ここで、フルオロシラン系原料ガスとは、ハロゲン基としてのフルオロ基を含むシラン系原料ガスのことであり、少なくともシリコン(Si)及びフッ素(F)を含む原料ガスのことである。また、ブロモシラン系原料ガスとは、ハロゲン基としてのブロモ基を含むシラン系原料ガスのことであり、少なくともシリコン(Si)及び臭素(Br)を含む原料ガスのことである。このときの処理条件は、例えば上述の実施形態と同様な処理条件とすることができる。
 トランジスタの微細化と共に、ゲート電極の周辺構造として用いられるサイドウオールスペーサ(SWS)等を構成する酸化膜等の薄膜に対し、低温成膜、低誘電率、低WER等の要求が高まっている。また、次世代メモリとして開発されているReRAMやMRAMには、これらのメモリ素子を囲む薄膜をエッチストッパ等の保護膜として工程が進められる。かかる工程の後に成膜される薄膜等にも、例えばReRAMでは350℃以下、MRAMに至っては250℃以下の低温成膜が求められている。このような要求に対し、本発明は、Si,Cおよびハロゲン元素を含みSi-C結合を有する原料ガスと酸化ガス等とを用いてSi系薄膜(SiOC膜、SiOCN膜、SiCN膜)等の薄膜を形成する場合に好適に適用することができる。
 上述の各実施形態や各変形例の手法により形成したSi系薄膜を、SWSとして使用することにより、リーク電流が少なく、加工性に優れたデバイス形成技術を提供することが可能となる。
 また、上述の各実施形態や各変形例の手法により形成したSi系薄膜を、エッチストッパとして使用することにより、加工性に優れたデバイス形成技術を提供することが可能となる。
 上述の各実施形態や各変形例によれば、触媒ガスを使用する場合は、低温領域においてもプラズマを用いず、理想的量論比のSi系薄膜を形成することができる。また、プラズマを用いずSi系薄膜を形成できることから、例えばDPTのSADP膜等、プラズマダメージを懸念する工程への適応も可能となる。
 また、上述の実施形態等では、半導体元素であるSiを含むシリコン系薄膜(SiO膜、SiOC膜、SiCN膜、SiON膜、SiOCN膜)を形成する例について説明したが、本発明は、これに限定されるものではない。例えばチタン(Ti)、ジルコニウム(Zr)、ハフニウム(Hf)、タンタル(Ta)、アルミニウム(Al)、モリブデン(Mo)等の金属元素を含む金属系薄膜を形成する場合にも適用することができる。
 例えば、本発明は、チタン酸化膜(TiO膜)、ジルコニウム酸化膜(ZrO膜)、ハフニウム酸化膜(HfO膜)、タンタル酸化膜(TaO膜)、アルミニウム酸化膜(AlO膜)、モリブデン酸化膜(MoO膜)等の金属酸化膜を形成する場合にも好適に適用することができる。
 また例えば、本発明は、チタン酸炭化膜(TiOC膜)、ジルコニウム酸炭化膜(ZrOC膜)、ハフニウム酸炭化膜(HfOC膜)、タンタル酸炭化膜(TaOC膜)、アルミニウム酸炭化膜(AlOC膜)、モリブデン酸炭化膜(MoOC膜)等の金属酸炭化膜を形成する場合にも好適に適用することができる。
 また例えば、本発明は、チタン炭窒化膜(TiCN膜)、ジルコニウム炭窒化膜(ZrCN膜)、ハフニウム炭窒化膜(HfCN膜)、タンタル炭窒化膜(TaCN膜)、アルミニウム炭窒化膜(AlCN膜)、モリブデン炭窒化膜(MoCN膜)等の金属炭窒化膜を形成する場合にも好適に適用することができる。
 また例えば、本発明は、チタン酸窒化膜(TiON膜)、ジルコニウム酸窒化膜(ZrON膜)、ハフニウム酸窒化膜(HfON膜)、タンタル酸窒化膜(TaON膜)、アルミニウム酸窒化膜(AlON膜)、モリブデン酸窒化膜(MoON膜)等の金属酸窒化膜を形成する場合にも好適に適用することができる。
 また例えば、本発明は、チタン酸炭窒化膜(TiOCN膜)、ジルコニウム酸炭窒化膜(ZrOCN膜)、ハフニウム酸炭窒化膜(HfOCN膜)、タンタル酸炭窒化膜(TaOCN膜)、アルミニウム酸炭窒化膜(AlOCN膜)、モリブデン酸炭窒化膜(MoOCN膜)等の金属酸炭窒化膜を形成する場合にも好適に適用することができる。
 この場合、原料ガスとして、上述の実施形態におけるSiを含む原料ガスの代わりに、金属元素を含む原料ガスを用い、上述の実施形態と同様なシーケンスにより成膜を行うことができる。
 例えば、Tiを含む金属系薄膜(TiO膜、TiOC膜、TiCN膜、TiON膜、TiOCN膜)を形成する場合は、Tiを含む原料ガスとして、Ti、Cおよびハロゲン元素を含み、Ti-C結合を有する原料ガスや、Tiおよびハロゲン元素を含む原料ガスを用いることができる。Tiおよびハロゲン元素を含む原料ガスとしては、例えばチタニウムテトラクロライド(TiCl)等のTiおよびクロロ基を含む原料ガスや、チタニウムテトラフルオライド(TiF)等のTiおよびフルオロ基を含む原料ガスを用いることができる。酸化ガスや窒化ガスやアミン系触媒ガスや酸素非含有ガスとしては、上述の実施形態と同様なガスを用いることができる。このときの処理条件は、例えば上述の実施形態と同様な処理条件とすることができる。
 また例えば、Zrを含む金属系薄膜(ZrO膜、ZrOC膜、ZrCN膜、ZrON膜、ZrOCN膜)を形成する場合は、Zrを含む原料ガスとして、Zr、Cおよびハロゲン元素を含み、Zr-C結合を有する原料ガスや、Zrおよびハロゲン元素を含む原料ガスを用いることができる。Zrおよびハロゲン元素を含む原料ガスとしては、例えばジルコニウムテトラクロライド(ZrCl)等のZrおよびクロロ基を含む原料ガスや、ジルコニウムテトラフルオライド(ZrF)等のZrおよびフルオロ基を含む原料ガスを用いることができる。酸化ガスや窒化ガスやアミン系触媒ガスや酸素非含有ガスとしては、上述の実施形態と同様なガスを用いることができる。このときの処理条件は、例えば上述の実施形態と同様な処理条件とすることができる。
 また例えば、Hfを含む金属系薄膜(HfO膜、HfOC膜、HfCN膜、HfON膜、HfOCN膜)を形成する場合は、Hfを含む原料ガスとして、Hf、Cおよびハロゲン元素を含み、Hf-C結合を有する原料ガスや、Hfおよびハロゲン元素を含む原料ガスを用いることができる。Hfおよびハロゲン元素を含む原料ガスとしては、例えばハフニウムテトラクロライド(HfCl)等のHfおよびクロロ基を含む原料ガスや、ハフニウムテトラフルオライド(HfF)等のHfおよびフルオロ基を含む原料ガスを用いることができる。酸化ガスや窒化ガスやアミン系触媒ガスや酸素非含有ガスとしては、上述の実施形態と同様なガスを用いることができる。このときの処理条件は、例えば上述の実施形態と同様な処理条件とすることができる。
 また例えば、Taを含む金属系薄膜(TaO膜、TaOC膜、TaCN膜、TaON膜、TaOCN膜)を形成する場合は、Taを含む原料ガスとして、Ta、Cおよびハロゲン元素を含み、Ta-C結合を有する原料ガスや、Taおよびハロゲン元素を含む原料ガスを用いることができる。Taおよびハロゲン元素を含む原料ガスとしては、例えばタンタルペンタクロライド(TaCl)等のTaおよびクロロ基を含む原料ガスや、タンタルペンタフルオライド(TaF)等のTaおよびフルオロ基を含む原料ガスを用いることができる。酸化ガスや窒化ガスやアミン系触媒ガスや酸素非含有ガスとしては、上述の実施形態と同様なガスを用いることができる。このときの処理条件は、例えば上述の実施形態と同様な処理条件とすることができる。
 また例えば、Alを含む金属系薄膜(AlO膜、AlOC膜、AlCN膜、AlON膜、AlOCN膜)を形成する場合は、Alを含む原料ガスとして、Al、Cおよびハロゲン元素を含み、Al-C結合を有する原料ガスや、Alおよびハロゲン元素を含む原料ガスを用いることができる。Alおよびハロゲン元素を含む原料ガスとしては、例えばアルミニウムトリクロライド(AlCl)等のAlおよびクロロ基を含む原料ガスや、アルミニウムトリフルオライド(AlF)等のAlおよびフルオロ基を含む原料ガスを用いることができる。酸化ガスや窒化ガスやアミン系触媒ガスや酸素非含有ガスとしては、上述の実施形態と同様なガスを用いることができる。このときの処理条件は、例えば上述の実施形態と同様な処理条件とすることができる。
 また例えば、Moを含む金属系薄膜(MoO膜、MoOC膜、MoON膜、MoOCN膜)を形成する場合は、Moを含む原料ガスとして、Mo、Cおよびハロゲン元素を含み、Mo-C結合を有する原料ガスや、Moおよびハロゲン元素を含む原料ガスを用いることができる。Moおよびハロゲン元素を含む原料ガスとしては、例えばモリブデンペンタクロライド(MoCl)等のMoおよびクロロ基を含む原料ガスや、モリブデンペンタフルオライド(MoF)等のMoおよびフルオロ基を含む原料ガスを用いることができる。酸化ガスや窒化ガスやアミン系触媒ガスや酸素非含有ガスとしては、上述の実施形態と同様なガスを用いることができる。このときの処理条件は、例えば上述の実施形態と同様な処理条件とすることができる。
 すなわち、本発明は、半導体元素や金属元素等の所定元素を含む薄膜を形成する場合に好適に適用することができる。
 なお、これらの各種薄膜の成膜に用いられるプロセスレシピ(処理手順や処理条件が記載されたプログラム)は、基板処理の内容(形成する薄膜の膜種、組成比、膜質、膜厚等)に応じて、それぞれ個別に用意する(複数用意する)ことが好ましい。そして、基板処理を開始する際、基板処理の内容に応じて、複数のプロセスレシピの中から、適正なプロセスレシピを適宜選択することが好ましい。具体的には、基板処理の内容に応じて個別に用意された複数のプロセスレシピを、電気通信回線や当該プロセスレシピを記録した記録媒体(外部記憶装置123)を介して、基板処理装置が備える記憶装置121c内に予め格納(インストール)しておくことが好ましい。そして、基板処理を開始する際、基板処理装置が備えるCPU121aが、記憶装置121c内に格納された複数のプロセスレシピの中から、基板処理の内容に応じて、適正なプロセスレシピを適宜選択することが好ましい。このように構成することで、1台の基板処理装置で様々な膜種、組成比、膜質、膜厚の薄膜を汎用的に、かつ、再現性よく形成できるようになる。また、オペレータの操作負担(処理手順や処理条件の入力負担等)を低減でき、操作ミスを回避しつつ、基板処理を迅速に開始できるようになる。
 上述のプロセスレシピは、新たに作成する場合に限らず、例えば、基板処理装置に既にインストールされていた既存のプロセスレシピを変更することで用意してもよい。プロセスレシピを変更する場合は、変更後のプロセスレシピを、電気通信回線や当該プロセスレシピを記録した記録媒体を介して、基板処理装置にインストールしてもよい。また、既存の基板処理装置が備える入出力装置122を操作し、基板処理装置に既にインストールされていた既存のプロセスレシピを直接変更するようにしてもよい。
 また、上述の実施形態等の成膜シーケンスにおいては、SiOC膜、SiO膜、積層膜等の形成を室温にて行う例についても説明したが、この場合、ヒータ207による処理室201内の加熱を行う必要はなく、基板処理装置にヒータを設けなくともよい。これにより、基板処理装置の加熱系の構成を簡素化することができ、基板処理装置をより安価で単純な構造とすることができる。この場合、SiOC膜、SiO膜、積層膜等の改質工程は、SiOC膜、SiO膜、積層膜等の形成工程を行う処理室とは異なる処理室で、Ex-Situにて行うこととなる。
 また、上述の実施形態等では、一度に複数枚の基板を処理するバッチ式の基板処理装置を用いて薄膜を成膜する例について説明したが、本発明はこれに限定されず、一度に1枚または数枚の基板を処理する枚葉式の基板処理装置を用いて薄膜を成膜する場合にも、好適に適用できる。また、上述の実施形態では、ホットウォール型の処理炉を有する基板処理装置を用いて薄膜を成膜する例について説明したが、本発明はこれに限定されず、コールドウォール型の処理炉を有する基板処理装置を用いて薄膜を成膜する場合にも、好適に適用できる。これらの場合の処理条件は、例えば上述の実施形態と同様な処理条件とすることができる。
 また、上述の各実施形態および各変形例は、適宜組み合わせて用いることができる。また、このときの処理条件は、例えば上述の実施形態と同様な処理条件とすることができる。
(第1実施例)
 本発明の実施例として、上述の実施形態における基板処理装置を用い、上述の実施形態における図7の成膜シーケンスにて、ウエハ上にSiOC膜を形成し、SiOC膜の改質処理を行って、それぞれのSiOC膜の各種特性を評価した。SiOC膜の改質処理としては、第1の熱処理を行わず、第2の熱処理のみ行った。このとき、原料ガスとしてはBTCSMガスを用い、酸化ガスとしてはHOガスを用い、触媒ガスとしてはピリジンガスを用い、SiOC膜改質処理時の熱処理ガスとしてはNガスを用いた。処理条件は上述の実施形態と同様な処理条件とした。
 図16は、本実施例の評価結果を示すグラフであって、(a)は熱処理前後でのSiOC膜の比誘電率を示すグラフであり、(b)は熱処理前後でのSiOC膜のウエットエッチングレート(WER)を示すグラフであり、(c)はSiOC膜のWERの熱処理の温度依存性を示すグラフである。
 図16(a)のグラフの横軸はSiOC膜の処理状態を示しており、左から順に、ウエハの温度を60℃として成膜されたまま熱処理を受けていないSiOC膜の例(60℃ as depo)、ウエハの温度を60℃として成膜された後Nガスの雰囲気下でウエハの温度を600℃として30分間熱処理されたSiOC膜の例(600℃ 30min N anneal)を示している。また、グラフの縦軸は、SiOC膜の比誘電率(k value)を示している。SiOC膜の比誘電率とは、真空の誘電率εに対するSiOC膜の誘電率εの比ε=ε/εのことである。
 図16(a)によれば、本実施例にかかる熱処理前のSiOC膜の比誘電率は7.76であることがわかる。また、本発明者等が行った他の評価によれば、比較的高温で成膜されたSiOC膜の比誘電率は4.5程度であった。本実施例にかかるSiOC膜の比誘電率は、熱処理前においてはこれよりも高いことがわかる。これに対し、本実施例にかかる熱処理後のSiOC膜の比誘電率は3.58であり、上述の比較的高温で成膜されたSiOC膜の比誘電率(4.5程度)や、一般的な熱酸化膜の比誘電率(3.9程度)を大幅に下回る比誘電率が得られていることがわかる。これは、SiOC膜の熱処理により、低温条件下で形成されたSiOC膜中に含まれていた水分やCl等の不純物等の誘電率を高くする物質がSiOC膜中から除去されたことと、SiOC膜がポーラス化されたことが主な要因と考えられる。
 図16(b)のグラフの横軸は、図16(a)と同様であり、左から順に、それぞれ、「60℃ as depo」及び「600℃ 30min N anneal」を示している。また、グラフの縦軸は、SiOC膜の1%濃度のフッ化水素含有液(1%HF水溶液)によるWER[a.u.]を示している。ここで、WERは、単位時間当たりのエッチング深さである。
 図16(b)における熱処理前のSiOC膜は、そのWERから比較的良好なエッチング耐性を備えることが確認できている。かかるSiOC膜のWERは、他の評価において本発明者等が低温条件下で成膜したSiO膜のWERよりも低い値に相当することが確認されている。また、図16(b)によれば、熱処理後のSiOC膜のWERは、熱処理前のSiOC膜のWERの1/8以下の値であることがわかる。これは、通常の熱酸化膜のWERよりも低い値に相当し、熱処理後のSiOC膜においてはいっそう優れたエッチング耐性が得られているといえる。このように、SiOC膜の熱処理により、SiOC膜中の不純物が低減され、エッチング耐性がよりいっそう向上することがわかる。
 図16(c)のグラフの横軸は、ウエハの温度を60℃として成膜された後Nガスの雰囲気下で30分間熱処理されたSiOC膜の熱処理時の温度条件を示しており、左から順に、200℃,300℃,500℃,600℃,630℃の例を示している。また、グラフの縦軸は、図16(b)と同様、SiOC膜の1%HF水溶液によるWER[a.u.]を示している。
 図16(c)において、熱処理時の温度が200℃の場合、そのWERから改質処理による所定の効果が得られることが確認されている。また、図16(c)によれば、熱処理時の温度が300℃の場合、熱処理時の温度が200℃のときのWERの約半分のWERとなり、更に良好な結果が得られることがわかる。また、熱処理時の温度が500℃のとき、WERの低下がより顕著となり、これよりも高い温度、つまり、600℃,630℃の結果と比べて遜色のない結果が得られることがわかる。熱処理時の温度が500℃,600℃,630℃のときのWERは、いずれも熱処理時の温度が200℃のときのWERの約10分の1以下となることがわかる。このことから、熱処理時の温度を少なくとも500℃以上とすることで、エッチング耐性を向上させる一定以上の顕著な効果が得られることわかる。また、500℃以上の温度ではWERの低下度合いが鈍るものの、630℃においてはWERが更に低下する傾向をみせている。630℃におけるWERは500℃におけるWERの7割程度である。よって、630℃や更にそれ以上に温度を高めることで、よりいっそう優れたエッチング耐性が得られることが予測できる。このように、熱処理時の温度を高めることで、SiOC膜のWERを低下させる効果がいっそう高まることがわかる。
(第2実施例)
 本発明の実施例として、上述の実施形態における基板処理装置を用い、上述の実施形態における図7の成膜シーケンスにて、ウエハ上にSiOC膜を形成し、SiOC膜の改質処理を行った。SiOC膜の改質処理として、第1の熱処理および第2の熱処理の両方を行ったサンプル(サンプル1)と、第1の熱処理を行わず、第2の熱処理のみ行ったサンプル(サンプル2)とを準備した。そして、各サンプルのSiOC膜の各種特性を評価した。原料ガスとしてはBTCSMガスを用い、酸化ガスとしてはHOガスを用い、触媒ガスとしてはピリジンガスを用い、SiOC膜改質処理時の熱処理ガスとしてはNガスを用いた。サンプル1のSiOC膜を形成する際の第1の熱処理におけるウエハの温度(第1の温度)を450℃とし、第2の熱処理におけるウエハの温度(第2の温度)を600℃とした。サンプル2のSiOC膜を形成する際の第2の熱処理におけるウエハの温度(第2の温度)を600℃とした。それ以外の処理条件は上述の実施形態と同様な処理条件とした。
 図18は、本実施例の評価結果を示す図であって、サンプル1のSiOC膜とサンプル2のSiOC膜との各種特性(WER、シュリンク率(収縮率)、比誘電率(k value))を比較して表にまとめたものである。
 図18によれば、サンプル1のSiOC膜のWERは、サンプル2のSiOC膜のWERの1/17以下であり、サンプル1のSiOC膜のWERは、サンプル2のSiOC膜のWERよりも遥かに小さいことがわかる。なお、サンプル2のSiOC膜のWERも比較的小さく、サンプル2のSiOC膜も比較的良好なエッチング耐性を有することがわかる。すなわち、サンプル1のSiOC膜のWERは、その小さいWER(サンプル2のSiOC膜のWER)よりも更に小さく、サンプル1のSiOC膜は、その良好なエッチング耐性(サンプル2のSiOC膜のエッチング耐性)をさらに上回るエッチング耐性を有することがわかる。これは、サンプル2のSiOC膜の場合、第2の熱処理により、SiOC膜中に含まれていた水分やCl等の不純物がSiOC膜中から除去されたのに対し、サンプル1のSiOC膜の場合、第1の熱処理および第2の熱処理が段階的に行われたことにより、SiOC膜中に含まれていた水分やCl等の不純物の他、C系の不純物がSiOC膜中から充分に除去された結果であると考えられる。
 また、図18によれば、サンプル1のSiOC膜のシュリンク率は、サンプル2のSiOC膜のシュリンク率の9/10程度であり、サンプル1のSiOC膜のシュリンク率は、サンプル2のSiOC膜のシュリンク率よりも小さいことがわかる。なお、シュリンク率とは、改質処理前のSiOC膜に対する改質処理後のSiOC膜の収縮率、すなわち、改質処理によりSiOC膜が収縮する割合を示している。つまり、サンプル1のSiOC膜は、サンプル2のSiOC膜よりも、改質処理により収縮していないことが分かる。逆にいうと、サンプル2のSiOC膜は、サンプル1のSiOC膜よりも、改質処理により収縮していることが分かる。
 サンプル1のSiOC膜のシュリンク率が小さいのは、サンプル1のSiOC膜は、第1の熱処理および第2の熱処理が段階的に施されることで、つまり、2段階で温度の異なる熱処理が行われることで、SiOC膜中から脱離した水分やCl等によるSiOC膜の酸化を抑制でき、膜収縮率を抑制できたからと考えられる。また、サンプル2のSiOC膜のシュリンク率が大きいのは、サンプル2のSiOC膜は、第1の熱処理が施されることなく第2の熱処理のみが施されることで、つまり、1段階で比較的高い温度で熱処理が行われることで、SiOC膜中から脱離した水分やCl等によりSiOC膜が酸化され、SiOC膜が収縮し易くなったからと考えられる。
 また、図18によれば、サンプル1のSiOC膜の比誘電率は2.68であり、サンプル2のSiOC膜の比誘電率は3.58であり、サンプル1のSiOC膜の比誘電率は、サンプル2のSiOC膜の比誘電率よりも小さいことがわかる。なお、サンプル2のSiOC膜の比誘電率(3.58)は、一般的な熱酸化膜の比誘電率(3.9程度)を大幅に下回る比誘電率であるが、サンプル1のSiOC膜の比誘電率(2.68)は、それをもさらに下回る比誘電率であることがわかる。
 サンプル2のSiOC膜の比誘電率が一般的な熱酸化膜の比誘電率を大幅に下回ることとなったのは、SiOC膜に対する第2の熱処理により、SiOC膜中に含まれていた水分やCl等の不純物等の誘電率を高くする物質がSiOC膜中から除去されたことと、SiOC膜がポーラス化されたことが主な要因と考えられる。サンプル1のSiOC膜の比誘電率が一般的な熱酸化膜の比誘電率やサンプル2のSiOC膜の比誘電率を大幅に下回ることとなったのは、SiOC膜に対する第1の熱処理および第2の熱処理、すなわち、温度を変えて段階的に行われる熱処理により、SiOC膜中に含まれていた水分やCl等の不純物等の誘電率を高くする物質の他、C系の不純物等の誘電率を高くする物質がSiOC膜中から充分に除去されたことと、SiOC膜のポーラス化が更に進んだことが主な要因と考えられる。
<本発明の好ましい態様>
 以下、本発明の好ましい態様について付記する。
 (付記1)
 本発明の一態様によれば、
 基板に対して所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する工程と、
 前記基板に対して酸化ガスを供給する工程と、
 前記基板に対して触媒ガスを供給する工程と、
 を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する工程と、
 前記薄膜を形成する工程における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する工程と、
 前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する工程と、
 を有する半導体装置の製造方法が提供される。
 (付記2)
 付記1に記載の半導体装置の製造方法であって、
 前記第1の不純物は水分(HO)および塩素(Cl)を含み、前記第2の不純物は炭化水素化合物(C系の不純物)を含む。
 (付記3)
 付記1または2に記載の半導体装置の製造方法であって、
 前記第1の温度は、前記薄膜中から前記第1の不純物を除去する際に、前記第1の不純物により前記薄膜が酸化されない温度である。
 (付記4)
 付記1乃至3のいずれかに記載の半導体装置の製造方法であって、
 前記第1の温度は、前記薄膜中から前記第1の不純物を除去する際に、前記第1の不純物と、前記薄膜中に含まれる前記第1の不純物とは異なる不純物とが、反応しない温度である。
 (付記5)
 付記1乃至4のいずれかに記載の半導体装置の製造方法であって、
 前記第1の温度は、前記薄膜中から前記第1の不純物を除去する際に、前記第1の不純物と、前記薄膜中に含まれる前記第2の不純物とが、反応しない温度である。
 (付記6)
 付記1乃至5のいずれかに記載の半導体装置の製造方法であって、
 前記第1の温度は、300℃以上450℃以下の範囲内の温度である。
 (付記7)
 付記1乃至6のいずれかに記載の半導体装置の製造方法であって、
 前記第1の温度は、300℃以上400℃以下の範囲内の温度である。
 (付記8)
 付記1乃至7のいずれかに記載の半導体装置の製造方法であって、
 前記第1の温度は、300℃以上350℃以下の範囲内の温度である。
 (付記9)
 付記1乃至8のいずれかに記載の半導体装置の製造方法であって、
 前記第2の温度は、300℃以上900℃以下の範囲内の温度である。
 (付記10)
 付記1乃至9のいずれかに記載の半導体装置の製造方法であって、
 前記第2の温度は、350℃以上700℃以下の範囲内の温度である。
 (付記11)
 付記1乃至10のいずれかに記載の半導体装置の製造方法であって、
 前記第2の温度は、400℃以上700℃以下の範囲内の温度である。
 (付記12)
 付記1乃至11のいずれかに記載の半導体装置の製造方法であって、
 前記第2の温度は、450℃以上600℃以下の範囲内の温度である。
 (付記13)
 付記1乃至12のいずれかに記載の半導体装置の製造方法であって、
 前記第2の温度は、前記第1の温度よりも高い温度である。
 (付記14)
 付記1乃至13のいずれかに記載の半導体装置の製造方法であって、
 前記第2の温度は、前記第1の温度と同等の温度(同一の温度)である。
 (付記15)
 付記1乃至14のいずれかに記載の半導体装置の製造方法であって、
 前記薄膜を形成する工程では、前記基板の温度を室温以上150℃以下の温度とする。
 (付記16)
 付記1乃至15のいずれかに記載の半導体装置の製造方法であって、
 前記薄膜を形成する工程では、前記基板の温度を室温以上100℃以下の温度とする。
 (付記17)
 付記1乃至16のいずれかに記載の半導体装置の製造方法であって、
 前記薄膜を形成する工程では、前記基板の温度を50℃以上100℃以下の温度とする。
 (付記18)
 付記1乃至17のいずれかに記載の半導体装置の製造方法であって、
 前記所定元素はシリコン(Si)を含み、前記原料ガスは、Si-C結合、Si-C-Si結合およびSi-C-C-Si結合からなる群より選択される少なくとも1つを有する。
 (付記19)
 付記1乃至18のいずれかに記載の半導体装置の製造方法であって、
 前記原料ガスは、ビス(トリクロロシリル)メタン((SiClCH)ガス、1,2-ビス(トリクロロシリル)エタン((SiCl)ガス、1,1,2,2-テトラクロロ-1,2-ジメチルジシラン((CHSiCl)ガス、および1,2-ジクロロ-1,1,2,2-テトラメチルジシラン((CHSiCl)ガスからなる群より選択される少なくとも1つを含む。
 (付記20)
 付記1乃至19のいずれかに記載の半導体装置の製造方法であって、
 前記触媒ガスは、アミン系触媒ガスを含む。
 (付記21)
 付記1乃至20のいずれかに記載の半導体装置の製造方法であって、
 前記触媒ガスは、トリエチルアミン((CN)ガス、ジエチルアミン((CNH)ガス、モノエチルアミン(CNH)ガス、トリメチルアミン((CHN)ガス、モノメチルアミン((CH)NH)ガス、ピリジン(CN)ガス、アミノピリジン(C)ガス、ピコリン(CN)ガス、ルチジン(CN)ガス、ピペラジン(C10)ガス、およびピペリジン(C11N)ガスからなる群より選択される少なくとも1つを含む。
 (付記22)
 付記1乃至21のいずれかに記載の半導体装置の製造方法であって、
 前記触媒ガスは、ピリジン(CN)ガスを含む。
 (付記23)
 付記1乃至22のいずれかに記載の半導体装置の製造方法であって、
 前記酸化ガスは、水蒸気(HOガス)、オゾン(O)ガス、過酸化水素(H)ガス、水素(H)ガスおよび酸素(O)ガス、水素(H)ガスおよびオゾン(O)ガスからなる群より選択される少なくとも1つを含む。
 (付記24)
 付記1乃至23のいずれかに記載の半導体装置の製造方法であって、
 前記酸化ガスは、水蒸気(HOガス)を含む。
 (付記25)
 付記1乃至24のいずれかに記載の半導体装置の製造方法であって、
 前記第1の不純物を除去する工程および前記第2の不純物を除去する工程では、前記基板に対して酸素非含有ガスを供給することにより、酸素非含有の雰囲気下で、前記熱処理を行う。
 (付記26)
 付記1乃至25のいずれかに記載の半導体装置の製造方法であって、
 前記第1の不純物を除去する工程および前記第2の不純物を除去する工程では、前記基板に対して不活性ガスを供給することにより、不活性ガス雰囲気下で、前記熱処理を行う。
 (付記27)
 付記1乃至26のいずれかに記載の半導体装置の製造方法であって、
 前記薄膜を形成する工程および前記薄膜を熱処理する工程は、同一の処理室内で行われる。
 (付記28)
 付記1乃至27のいずれかに記載の半導体装置の製造方法であって、
 前記薄膜を形成する工程および前記薄膜を熱処理する工程は、それぞれ異なる処理室内で行われる。
 (付記29)
 本発明の他の態様によれば、
 基板に対して所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する工程と、
 前記基板に対して酸化ガスを供給する工程と、
 前記基板に対して触媒ガスを供給する工程と、
 を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する工程と、
 前記薄膜を形成する工程における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する工程と、
 前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する工程と、
 を有する基板処理方法が提供される。
 (付記30)
 本発明のさらに他の態様によれば、
 基板を収容する処理室と、
 前記処理室内へ所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する原料ガス供給系と、
 前記処理室内へ酸化ガスを供給する酸化ガス供給系と、
 前記処理室内へ触媒ガスを供給する触媒ガス供給系と、
 前記処理室内の基板を加熱するヒータと、
 前記処理室内の基板に対して前記原料ガスを供給する処理と、前記処理室内の前記基板に対して前記酸化ガスを供給する処理と、前記処理室内の前記基板に対して前記触媒ガスを供給する処理と、を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する処理と、前記薄膜を形成する処理における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する処理と、前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する処理と、を行うように、前記原料ガス供給系、前記酸化ガス供給系、前記触媒ガス供給系および前記ヒータを制御する制御部と、
 を有する基板処理装置が提供される。
 (付記31)
 本発明のさらに他の態様によれば、
 基板上に薄膜を形成する第1基板処理部と、前記薄膜を熱処理する第2基板処理部と、を有する基板処理システムであって、
 前記第1基板処理部は、
 基板を収容する第1処理室と、
 前記第1処理室内へ所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する原料ガス供給系と、
 前記第1処理室内へ酸化ガスを供給する酸化ガス供給系と、
 前記第1処理室内へ触媒ガスを供給する触媒ガス供給系と、
 前記第1処理室内の基板に対して前記原料ガスを供給する処理と、前記第1処理室内の前記基板に対して前記酸化ガスを供給する処理と、前記第1処理室内の前記基板に対して前記触媒ガスを供給する処理と、を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する処理を行うように、前記原料ガス供給系、前記酸化ガス供給系および前記触媒ガス供給系を制御する第1制御部と、を有し、
 前記第2基板処理部は、
 基板を収容する第2処理室と、
 前記第2処理室内の基板を加熱するヒータと、
 前記第2処理室内に前記薄膜が形成された前記基板を収容した状態で、前記薄膜を形成する処理における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する処理と、前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する処理と、を行うように、前記ヒータを制御する第2制御部と、を有する
 基板処理システムが提供される。
 (付記32)
 本発明のさらに他の態様によれば、
 処理室内の基板に対して所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する手順と、
 前記処理室内の前記基板に対して酸化ガスを供給する手順と、
 前記処理室内の前記基板に対して触媒ガスを供給する手順と、
 を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する手順と、
 前記薄膜を形成する手順における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する手順と、
 前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する手順と、
 をコンピュータに実行させるプログラム、および、該プログラムを記録したコンピュータ読み取り可能な記録媒体が提供される。
 本発明の半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体は、半導体装置の製造分野等で利用することができる。
121  コントローラ(制御部)
200  ウエハ(基板)
201  処理室
202  処理炉
203  反応管
207  ヒータ
209  マニホールド
231  排気管
232a 第1原料ガス供給管
232b 第1酸化ガス供給管
232c 第1触媒ガス供給管
244  APCバルブ(圧力調整部) 

Claims (16)

  1. 基板に対して所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する工程と、
     前記基板に対して酸化ガスを供給する工程と、
     前記基板に対して触媒ガスを供給する工程と、
     を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する工程と、
     前記薄膜を形成する工程における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する工程と、
     前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する工程と、
     を有する半導体装置の製造方法。
  2. 前記第1の不純物は水分および塩素を含み、前記第2の不純物は炭化水素化合物を含む請求項1に記載の半導体装置の製造方法。
  3. 前記第1の温度は、前記薄膜中から前記第1の不純物を除去する際に、前記第1の不純物により前記薄膜が酸化されない温度である請求項2に記載の半導体装置の製造方法。
  4. 前記第1の温度は、前記薄膜中から前記第1の不純物を除去する際に、前記第1の不純物と、前記薄膜中に含まれる前記第1の不純物とは異なる不純物とが、反応しない温度である請求項3に記載の半導体装置の製造方法。
  5. 前記第1の温度は、前記薄膜中から前記第1の不純物を除去する際に、前記第1の不純物と、前記薄膜中に含まれる前記第2の不純物とが、反応しない温度である請求項3に記載の半導体装置の製造方法。
  6. 前記第1の温度は、300℃以上450℃以下の範囲内の温度である請求項3に記載の半導体装置の製造方法。
  7. 前記第2の温度は、300℃以上900℃以下の範囲内の温度である請求項6に記載の半導体装置の製造方法。
  8. 前記薄膜を形成する工程では、前記基板の温度を室温以上150℃以下の温度とする請求項7に記載の半導体装置の製造方法。
  9. 前記所定元素はシリコンを含み、前記原料ガスは、Si-C結合、Si-C-Si結合およびSi-C-C-Si結合からなる群より選択される少なくとも1つを有する請求項1に記載の半導体装置の製造方法。
  10. 前記原料ガスは、ビス(トリクロロシリル)メタン((SiClCH)ガス、1,2-ビス(トリクロロシリル)エタン((SiCl)ガス、1,1,2,2-テトラクロロ-1,2-ジメチルジシラン((CHSiCl)ガス、および1,2-ジクロロ-1,1,2,2-テトラメチルジシラン((CHSiCl)ガスからなる群より選択される少なくとも1つを含む請求項1に記載の半導体装置の製造方法。
  11. 前記触媒ガスは、トリエチルアミン((CN)ガス、ジエチルアミン((CNH)ガス、モノエチルアミン(CNH)ガス、トリメチルアミン((CHN)ガス、モノメチルアミン((CH)NH)ガス、ピリジン(CN)ガス、アミノピリジン(C)ガス、ピコリン(CN)ガス、ルチジン(CN)ガス、ピペラジン(C10)ガス、およびピペリジン(C11N)ガスからなる群より選択される少なくとも1つを含む請求項1に記載の半導体装置の製造方法。
  12. 前記酸化ガスは、水蒸気(HOガス)、オゾン(O)ガス、過酸化水素(H)ガス、水素(H)ガスおよび酸素(O)ガス、水素(H)ガスおよびオゾン(O)ガスからなる群より選択される少なくとも1つを含む請求項1に記載の半導体装置の製造方法。
  13. 前記第1の不純物を除去する工程および前記第2の不純物を除去する工程では、前記基板に対して酸素非含有ガスを供給することにより、酸素非含有の雰囲気下で、前記熱処理を行う請求項1に記載の半導体装置の製造方法。
  14. 基板を収容する処理室と、
     前記処理室内へ所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する原料ガス供給系と、
     前記処理室内へ酸化ガスを供給する酸化ガス供給系と、
     前記処理室内へ触媒ガスを供給する触媒ガス供給系と、
     前記処理室内の基板を加熱するヒータと、
     前記処理室内の基板に対して前記原料ガスを供給する処理と、前記処理室内の前記基板に対して前記酸化ガスを供給する処理と、前記処理室内の前記基板に対して前記触媒ガスを供給する処理と、を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する処理と、前記薄膜を形成する処理における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する処理と、前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する処理と、を行うように、前記原料ガス供給系、前記酸化ガス供給系、前記触媒ガス供給系および前記ヒータを制御する制御部と、
     を有する基板処理装置。
  15. 基板上に薄膜を形成する第1基板処理部と、前記薄膜を熱処理する第2基板処理部と、を有する基板処理システムであって、
     前記第1基板処理部は、
     基板を収容する第1処理室と、
     前記第1処理室内へ所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する原料ガス供給系と、
     前記第1処理室内へ酸化ガスを供給する酸化ガス供給系と、
     前記第1処理室内へ触媒ガスを供給する触媒ガス供給系と、
     前記第1処理室内の基板に対して前記原料ガスを供給する処理と、前記第1処理室内の前記基板に対して前記酸化ガスを供給する処理と、前記第1処理室内の前記基板に対して前記触媒ガスを供給する処理と、を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する処理を行うように、前記原料ガス供給系、前記酸化ガス供給系および前記触媒ガス供給系を制御する第1制御部と、を有し、
     前記第2基板処理部は、
     基板を収容する第2処理室と、
     前記第2処理室内の基板を加熱するヒータと、
     前記第2処理室内に前記薄膜が形成された前記基板を収容した状態で、前記薄膜を形成する処理における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する処理と、前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する処理と、を行うように、前記ヒータを制御する第2制御部と、を有する
     基板処理システム。
  16. 処理室内の基板に対して所定元素、炭素およびハロゲン元素を含み、前記所定元素と炭素との化学結合を有する原料ガスを供給する手順と、
     前記処理室内の前記基板に対して酸化ガスを供給する手順と、
     前記処理室内の前記基板に対して触媒ガスを供給する手順と、
     を含むサイクルを所定回数行うことにより、前記基板上に、前記所定元素、酸素および炭素を含む薄膜を形成する手順と、
     前記薄膜を形成する手順における前記基板の温度よりも高い第1の温度で前記薄膜を熱処理することにより、前記薄膜中から第1の不純物を除去する手順と、
     前記第1の温度以上の第2の温度で前記薄膜を熱処理することにより、前記第1の温度で熱処理した後の前記薄膜中から、前記第1の不純物とは異なる第2の不純物を除去する手順と、
     をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体。 
PCT/JP2013/076571 2013-09-30 2013-09-30 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体 WO2015045163A1 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020167005514A KR101846850B1 (ko) 2013-09-30 2013-09-30 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체
JP2015538807A JP6068661B2 (ja) 2013-09-30 2013-09-30 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム
PCT/JP2013/076571 WO2015045163A1 (ja) 2013-09-30 2013-09-30 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体
CN201380079276.7A CN105493248B (zh) 2013-09-30 2013-09-30 半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质
US15/084,847 US9673043B2 (en) 2013-09-30 2016-03-30 Method of manufacturing semiconductor device, substrate processing apparatus, substrate processing system and recording medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2013/076571 WO2015045163A1 (ja) 2013-09-30 2013-09-30 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/084,847 Continuation US9673043B2 (en) 2013-09-30 2016-03-30 Method of manufacturing semiconductor device, substrate processing apparatus, substrate processing system and recording medium

Publications (1)

Publication Number Publication Date
WO2015045163A1 true WO2015045163A1 (ja) 2015-04-02

Family

ID=52742360

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2013/076571 WO2015045163A1 (ja) 2013-09-30 2013-09-30 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体

Country Status (5)

Country Link
US (1) US9673043B2 (ja)
JP (1) JP6068661B2 (ja)
KR (1) KR101846850B1 (ja)
CN (1) CN105493248B (ja)
WO (1) WO2015045163A1 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015165523A (ja) * 2013-03-19 2015-09-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システムおよびプログラム
JP2016103650A (ja) * 2013-03-19 2016-06-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10062562B2 (en) 2015-01-30 2018-08-28 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus and recording medium
JP2019054285A (ja) * 2018-12-19 2019-04-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2019527483A (ja) * 2016-07-27 2019-09-26 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法
KR20200106846A (ko) 2019-03-05 2020-09-15 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
CN112567071A (zh) * 2018-08-06 2021-03-26 朗姆研究公司 用于增大ald工艺的沉积速率的方法
TWI738028B (zh) * 2018-07-17 2021-09-01 荷蘭商Asml荷蘭公司 粒子束檢測設備
KR20230040262A (ko) 2021-09-14 2023-03-22 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 시스템 및 프로그램
WO2023119726A1 (ja) * 2021-12-24 2023-06-29 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6456893B2 (ja) * 2016-09-26 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
KR102312827B1 (ko) * 2017-08-08 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 저-k 막들의 증착을 위한 방법들 및 장치
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN108559975B (zh) * 2018-02-06 2020-08-25 江苏微导纳米科技股份有限公司 空间催化式化学气相沉积设备
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102541454B1 (ko) * 2018-04-26 2023-06-09 삼성전자주식회사 저유전막의 형성 방법, 및 반도체 소자의 형성방법
CN111902918A (zh) * 2018-04-27 2020-11-06 株式会社国际电气 半导体装置的制造方法、基板处理装置以及程序
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112420731B (zh) * 2020-11-17 2021-12-17 长江存储科技有限责任公司 在深孔中形成薄膜层的方法及半导体器件的制备方法
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000188333A (ja) * 1998-12-22 2000-07-04 Seiko Epson Corp 半導体装置及びその製造方法
JP2003276110A (ja) * 2002-03-26 2003-09-30 Dainippon Printing Co Ltd 積層体およびその製造方法
JP2004040110A (ja) * 2002-07-08 2004-02-05 Samsung Electronics Co Ltd 原子層堆積法によって基板に二酸化シリコン層を堆積する方法
WO2007083651A1 (ja) * 2006-01-17 2007-07-26 Hitachi Kokusai Electric Inc. 半導体装置の製造方法
JP2008141191A (ja) * 2006-11-14 2008-06-19 Applied Materials Inc 低温ALDSiO2
JP2009117646A (ja) * 2007-11-07 2009-05-28 Hitachi Kokusai Electric Inc 基板処理装置及びベーキング方法
JP2010507259A (ja) * 2006-10-16 2010-03-04 アプライド マテリアルズ インコーポレイテッド Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
KR100408733B1 (ko) * 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US20030170605A1 (en) * 2002-03-11 2003-09-11 Egan Visual Inc. Vapor deposited writing surfaces
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
JP2006279019A (ja) * 2005-03-03 2006-10-12 Sony Corp 薄膜の形成方法および半導体装置の製造方法
JP4854286B2 (ja) 2005-12-06 2012-01-18 株式会社アルバック 銅配線構造
JP2008071894A (ja) 2006-09-13 2008-03-27 Tokyo Electron Ltd 成膜方法
US7964441B2 (en) * 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
JP5421736B2 (ja) 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000188333A (ja) * 1998-12-22 2000-07-04 Seiko Epson Corp 半導体装置及びその製造方法
JP2003276110A (ja) * 2002-03-26 2003-09-30 Dainippon Printing Co Ltd 積層体およびその製造方法
JP2004040110A (ja) * 2002-07-08 2004-02-05 Samsung Electronics Co Ltd 原子層堆積法によって基板に二酸化シリコン層を堆積する方法
WO2007083651A1 (ja) * 2006-01-17 2007-07-26 Hitachi Kokusai Electric Inc. 半導体装置の製造方法
JP2010507259A (ja) * 2006-10-16 2010-03-04 アプライド マテリアルズ インコーポレイテッド Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
JP2008141191A (ja) * 2006-11-14 2008-06-19 Applied Materials Inc 低温ALDSiO2
JP2009117646A (ja) * 2007-11-07 2009-05-28 Hitachi Kokusai Electric Inc 基板処理装置及びベーキング方法

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015165523A (ja) * 2013-03-19 2015-09-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システムおよびプログラム
US9349586B2 (en) 2013-03-19 2016-05-24 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, substrate processing system and non-transitory computer-readable recording medium
JP2016103650A (ja) * 2013-03-19 2016-06-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US9831082B2 (en) 2013-03-19 2017-11-28 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, substrate processing system and non-transitory computer-readable recording medium
US10062562B2 (en) 2015-01-30 2018-08-28 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus and recording medium
JP2019527483A (ja) * 2016-07-27 2019-09-26 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法
JP2021097240A (ja) * 2016-07-27 2021-06-24 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法
JP7153100B2 (ja) 2016-07-27 2022-10-13 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法
TWI738028B (zh) * 2018-07-17 2021-09-01 荷蘭商Asml荷蘭公司 粒子束檢測設備
US11430678B2 (en) 2018-07-17 2022-08-30 Asml Netherlands B.V. Particle beam inspection apparatus
CN112567071A (zh) * 2018-08-06 2021-03-26 朗姆研究公司 用于增大ald工艺的沉积速率的方法
JP2019054285A (ja) * 2018-12-19 2019-04-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20200106846A (ko) 2019-03-05 2020-09-15 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
KR20230040262A (ko) 2021-09-14 2023-03-22 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 시스템 및 프로그램
WO2023119726A1 (ja) * 2021-12-24 2023-06-29 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
KR101846850B1 (ko) 2018-04-09
US20160211135A1 (en) 2016-07-21
JP6068661B2 (ja) 2017-01-25
CN105493248A (zh) 2016-04-13
CN105493248B (zh) 2018-04-10
US9673043B2 (en) 2017-06-06
JPWO2015045163A1 (ja) 2017-03-09
KR20160039670A (ko) 2016-04-11

Similar Documents

Publication Publication Date Title
JP6068661B2 (ja) 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム
JP5864637B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP5788448B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
KR102319147B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP6155063B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
JP6242095B2 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
KR101553481B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP5957128B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JPWO2017046921A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
JP6111317B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび記録媒体

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201380079276.7

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13894885

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2015538807

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 20167005514

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13894885

Country of ref document: EP

Kind code of ref document: A1