TWI738028B - 粒子束檢測設備 - Google Patents

粒子束檢測設備 Download PDF

Info

Publication number
TWI738028B
TWI738028B TW108125301A TW108125301A TWI738028B TW I738028 B TWI738028 B TW I738028B TW 108125301 A TW108125301 A TW 108125301A TW 108125301 A TW108125301 A TW 108125301A TW I738028 B TWI738028 B TW I738028B
Authority
TW
Taiwan
Prior art keywords
wafer
load lock
temperature
gas
chamber
Prior art date
Application number
TW108125301A
Other languages
English (en)
Other versions
TW202018755A (zh
Inventor
傑若恩 杰若德 勾森
陳德育
班寧 丹尼斯 赫曼 卡斯柏 范
艾德恩 寇妮絲 卡迪可
賀蒙 馬卓恩 配特思 克力司提安斯 范
王二恒
喬漢斯 安德瑞斯 亨利克斯 瑪利亞 賈伯斯
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202018755A publication Critical patent/TW202018755A/zh
Application granted granted Critical
Publication of TWI738028B publication Critical patent/TWI738028B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70841Constructional issues related to vacuum environment, e.g. load-lock chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

本發明揭示一種改良式粒子束檢測設備,且更特定而言,揭示一種包括一改良式裝載鎖單元之粒子束檢測設備。一改良式裝載鎖系統可包含:複數個支撐結構,其經組態以支撐一晶圓;及一調節板,其包括一熱傳遞元件,該熱傳遞元件經組態以調整該晶圓之一溫度。該裝載鎖系統可進一步包含:一通氣口,其經組態以在該調節板與該晶圓之間提供一氣體;及一控制器,其經組態以輔助對該熱傳遞元件之控制。

Description

粒子束檢測設備
本文中所提供之實施例揭示一種粒子束檢測設備,且更特定而言,揭示一種包括改良式裝載鎖單元之粒子束檢測設備。
當製造半導體積體電路(IC)晶片時,在製作程序期間在晶圓及/或光罩上不可避免地出現圖案缺陷及/或未經邀請的粒子(殘餘物),藉此在很大程度上降低良率。舉例而言,對於具有較小臨界特徵尺寸之圖案,未經邀請的粒子可為麻煩的,已採用該等圖案來滿足對IC晶片之日益高階的效能要求。
已使用運用帶電粒子束之圖案檢測工具來偵測缺陷或未經邀請的粒子。此等工具通常使用掃描電子顯微鏡(SEM)。在SEM中,使具有相對較高能量之初級電子束以相對較低導降能量減速導降於樣本上且經聚焦以在其上形成探測光點。歸因於初級電子之此經聚焦探測光點,將自表面產生二次電子。藉由掃描樣本表面上方之探測光點且收集二次電子,圖案檢測工具可獲得樣本表面之影像。
在檢測工具之操作期間,通常藉由晶圓載物台固持晶圓。檢測工具可包含用於相對於電子束來定位晶圓載物台及晶圓之晶圓定位裝置。此可在電子束之操作範圍內用於定位晶圓上之目標區域(亦即,待檢 測區域)。
本文中所提供之實施例揭示一種粒子束檢測設備,且更特定而言,揭示一種包括一改良式裝載鎖單元之粒子束檢測設備。在一些實施例中,該改良式裝載鎖系統包括:複數個支撐結構,其經組態以支撐一晶圓;及一第一調節板。該第一調節板包括一第一熱傳遞元件,其經組態以調整該晶圓之一溫度。該改良式裝載鎖系統亦包括一第一通氣口(gas vent),其經組態以在該第一調節板與該晶圓之間提供一氣體。此外,該改良式裝載鎖系統包括一控制器,其包括一處理器及一記憶體。該控制器經組態以輔助對該第一熱傳遞元件之控制。
在一些實施例中,提供一種在一裝載鎖系統中進行一晶圓之一熱調節之方法。該方法包括:將一晶圓裝載至一裝載鎖系統之一裝載鎖腔室且對該裝載鎖腔室進行抽氣。該方法進一步包括:向該裝載鎖腔室提供一氣體。該方法亦包括:啟用一第一調節板中之一第一熱傳遞元件以調整該第一調節板之一溫度,以便經由該氣體將熱傳遞至該晶圓。
在一些實施例中,提供一種非暫時性電腦可讀媒體。該非暫時性電腦可讀媒體包括一指令集,該指令集可由一控制器之一或多個處理器執行以使得該控制器執行進行一晶圓之一熱調節的一方法。該方法包括:指示一真空泵在將一晶圓裝載至一裝載鎖系統之一裝載鎖腔室中之後對該裝載鎖腔室進行抽氣。該方法亦包括:指示一氣體供應器向該裝載鎖腔室提供一氣體且指示一第一調節板中之一第一熱傳遞元件調整該第一調節板之一溫度,以便經由該氣體將熱傳遞至該晶圓。
在一些實施例中,提供一種對一裝載鎖腔室進行抽氣之方 法。該方法包括:用一第一真空泵將一氣體泵出該裝載鎖腔室,該第一真空泵經組態以將該氣體排出至一第一排氣系統;且用一第二真空泵將該氣體泵出該裝載鎖腔室,該第二真空泵經組態以將該氣體排出至一第二排氣系統。
本發明之其他優點自結合隨附圖式進行之以下描述將變得顯而易見,在該等隨附圖式中以說明及舉例方式闡述本發明之某些實施例。
10:主腔室
11:機械臂
12:機械臂
20:裝載鎖腔室
25:閘閥
26:閘閥
30:設備前端模組
30a:第一裝載埠
30b:第二裝載埠
40:電子束工具
50:控制器
60:預對準器
70:停放台
80:晶圓載物台
100:帶電粒子束檢測系統
160:晶圓
170:部位
172:部位
174:部位
178:部位
180:元件
182:元件
184:元件
186:元件
210:階段
220:階段
230:階段
300a:裝載鎖系統
300b:裝載鎖系統
300d:裝載鎖系統
300e:裝載鎖系統
310:裝載鎖腔室
315:調節板
317:上部調節板
318:下部調節板
319:支撐板
320:晶圓
325:支撐結構
330:通氣口
335:通氣口
338:氣體
340:熱傳遞元件
350:控制器
360:加熱器/冷卻器
365:溫度感測器
370:閥
375:閥
390:主腔室
395:晶圓載物台
396:溫度感測器
415:調節板
420:晶圓
425:支撐結構
440:空氣出口
450:真空通道
500:晶圓調節系統
510:真空腔室
515:調節板
520:晶圓
525:支撐結構
526:提舉結構
540:熱傳遞元件
545:熱傳遞元件
560:加熱器
570:靜電夾具
600:晶圓調節系統
610:真空腔室
617:上部加熱裝置
618:下部加熱裝置
620:晶圓
625:支撐結構
627:溫度感測器
650:控制器
660:加熱器
665:溫度感測器
696:溫度感測器
710:步驟
720:步驟
730:步驟
740:步驟
750:步驟
760:步驟
800:帶電粒子束檢測系統
810:裝載鎖腔室
811:氣體供應器
812:通氣閥
813:通氣擴散器
814:裝載鎖渦輪閥
815:裝載鎖渦輪泵
816:裝載鎖粗抽管線
817:裝載鎖渦輪泵送管線
850:中央歧管箱
851:裝載鎖渦輪泵前級真空閥
852:主腔室渦輪泵前級真空閥
853:裝載鎖粗抽閥
854:主腔室粗抽閥
858:前級管線
859:前級管線閥
860:乾式真空泵
890:主腔室
894:主腔室渦輪閥
895:主腔室渦輪泵
896:主腔室粗抽管線
897:主腔室渦輪泵送管線
910:「粗」真空度
911:粗抽階段
912:渦輪抽氣階段
920:「檢測預備」真空度
923:時段
950:暫時性壓力跳變
1000:帶電粒子束檢測系統
1010:裝載鎖增壓粗抽閥
1011:裝載鎖增壓粗抽泵
1012:輔助排氣系統
1110:步驟
1111:步驟
1112:步驟
1113:步驟
1114:步驟
1115:步驟
1116:步驟
1117:步驟
1118:步驟
1119:步驟
1120:步驟
1121:步驟
1122:步驟
Tstable:穩定溫度
Twafer:晶圓之溫度
Twafer stage:晶圓載物台之溫度
本發明之上述及其他態樣自結合隨附圖式進行之例示性實施例之描述將變得更顯而易見。
圖1A為說明符合本發明之實施例的例示性帶電粒子束檢測系統之示意圖。
圖1B為說明符合本發明之實施例的圖1A之帶電粒子束檢測系統中之例示性晶圓裝載順序的示意圖。
圖1C為說明帶電粒子束檢測系統中之例示性晶圓變形效應之示意圖。
圖2為展示帶電粒子束檢測系統中之隨時間而變之晶圓溫度變化的例示性曲線圖。
圖3A及3B為說明符合本發明之實施例的例示性裝載鎖系統之示意圖。
圖3C為展示符合本發明之實施例的在裝載鎖系統中之晶圓溫度調節期間隨時間而變之晶圓溫度變化的例示性曲線圖。
圖3D及3E為說明符合本發明之實施例的例示性裝載鎖系 統之示意圖。
圖3F為展示符合本發明之實施例的熱傳遞效率相對於裝載鎖系統中之氣體壓力級(gas pressure level)的變化之例示性曲線圖。
圖4為符合本發明之實施例的設備前端模組(EFEM)中之例示性預對準器之示意圖。
圖5為說明符合本發明之實施例的晶圓調節系統之例示性組態之示意圖。
圖6A為說明符合本發明之實施例的晶圓調節系統之例示性組態之示意圖。
圖6B為說明符合本發明之實施例的圖6A之晶圓調節系統之例示性支撐結構的示意圖。
圖6C為說明符合本發明之實施例的在晶圓調節系統中之調節程序期間之溫度變化的例示性曲線圖。
圖6D為說明符合本發明之實施例的晶圓調節系統之例示性控制電路之示意圖。
圖7為說明符合本發明之實施例的用於調節晶圓溫度之例示性方法之流程圖。
圖8A及8B為說明符合本發明之實施例的具有真空泵系統之例示性帶電粒子束檢測系統之示意圖。
圖9為說明符合本發明之實施例的帶電粒子束檢測系統之主腔室中之壓力變化的例示性曲線圖。
圖10為說明符合本發明之實施例的具有真空泵系統之例示性帶電粒子束檢測系統之示意圖。
圖11為說明符合本發明之實施例的用於控制圖10之帶電粒子束檢測系統的裝載鎖腔室之真空度的例示性方法之流程圖。
現將詳細參看例示性實施例,在隨附圖式中說明該等例示性實施例之實例。以下描述參考隨附圖式,其中除非另外表示,否則不同圖式中之相同編號表示相同或相似元件。例示性實施例之以下描述中所闡述之實施並不表示符合本發明的所有實施。實情為,其僅為符合關於如所附申請專利範圍中所列舉的本發明之態樣的設備及方法之實例。
電子裝置由形成於被稱作基板之矽片上的電路構成。許多電路可一起形成於同一矽片上且稱作積體電路或IC。此等電路之大小已顯著地減小,使得該等電路中之更多電路可安裝於基板上。舉例而言,智慧型手機中之IC晶片可與拇指甲一樣小且仍可包括超過20億個電晶體,每一電晶體之大小小於人類毛髮之大小的1/1000。
製造此等極小IC為往往涉及數百個個別步驟之複雜、耗時且昂貴的程序。甚至一個步驟中之錯誤具有導致成品IC中之缺陷的可能,該等缺陷使成品IC無用。因此,製造程序之一個目標為避免此等缺陷以使在程序中製造之功能性IC的數目最大化,亦即,提高程序之總良率。
提高良率之一個組成部分為監視晶片製造程序,以確保其正生產足夠數目個功能性積體電路。監視該程序之一種方式為在晶片電路結構形成之各個階段處檢測該等晶片電路結構。可使用掃描電子顯微鏡(SEM)來執行檢測。實際上可使用SEM對此等極小結構進行成像,從而獲取該等結構之「圖像」。影像可用以判定是否適當地形成結構,且亦判定該結構是否形成於適當部位中。若結構為有缺陷的,則程序可經調整,使 得缺陷不大可能再次出現。
當在IC晶片製造設施中需要高程序良率時,亦必需維持高晶圓產出率,該高晶圓產出率經定義為每小時加工之晶圓之數目。高程序良率及高晶圓產出率可受缺陷之存在(尤其當存在復核缺陷之操作者干預時)影響。因此,藉由檢測工具(諸如SEM)進行之高產出率偵測及微米及奈米大小的缺陷之識別對於維持高良率及低成本係必需的。
本發明之一個態樣包括提高總檢測系統之產出率的改良式裝載鎖系統。當與習知粒子束檢測系統相比時,改良式裝載鎖系統以使檢測程序加速之方式製備晶圓。舉例而言,正使用習知粒子束檢測系統檢測晶圓之操作者在開始檢測之前需要等待晶圓的溫度穩定下來。需要此溫度穩定,此係由於晶圓隨著溫度改變而改變大小,從而致使晶圓上之元件隨著晶圓膨脹或收縮而移動。舉例而言,圖1C展示隨著晶圓160因溫度變化而膨脹,元件180、182、184及186可移動至新部位170、172、174及178。且當檢測晶圓之精確度以奈米為單位時,部位之此變化為實質的。因此,為使操作者精確地定位且檢測晶圓上之元件,操作者必須等待,直至晶圓溫度穩定下來為止。
改良式裝載鎖系統調節晶圓以使其溫度接近於將固持晶圓之檢測晶圓載物台之溫度。改良式裝載鎖系統可在將晶圓置放於晶圓載物台上之前藉由包括調節板來調節晶圓,該調節板將熱傳遞至晶圓或自晶圓傳遞熱。藉由在將晶圓置放於晶圓載物台上之前調節晶圓,檢測可以小得多的延遲開始。因此,操作者可在給定時間段內檢測更多晶圓,藉此實現產出率之提高。
出於清楚起見,圖式中之組件之相對尺寸可經放大。在以 下圖式描述內,相同或類似附圖標記係指相同或類似組件或實體,且僅描述關於個別實施例之差異。如本文中所使用,除非另外具體說明,否則術語「或」涵蓋所有可能組合,除非不可行。舉例而言,若陳述組件可包括A或B,則除非另外具體說明或不可行,否則組件可包括A,或B,或A及B。作為第二實例,若陳述組件可包括A、B或C,則除非另外具體說明或不可行,否則組件可包括A,或B,或C,或A及B,或A及C,或B及C,或A及B及C。
現在參看圖1A,其為說明符合本發明之實施例的例示性帶電粒子束檢測系統100之示意圖。如圖1A中所展示,帶電粒子束檢測系統100包括主腔室10、裝載鎖腔室20、電子束工具40及設備前端模組(EFEM)30。電子束工具40位於主腔室10內。雖然本說明書及圖式係關於電子束,但應理解,實施例並不用於將本發明限制於具體帶電粒子。應進一步理解,電子束工具40可為利用單一電子束之單光束工具或利用多個電子束之多光束工具。
EFEM 30包括第一裝載埠30a及第二裝載埠30b。EFEM 30可包括額外裝載埠。第一裝載埠30a及第二裝載埠30b可例如收納含有待檢測之晶圓(例如半導體晶圓或由其他材料製成之晶圓)或樣本(晶圓及樣本在下文中統稱為「晶圓」)的晶圓前開式單元匣(FOUP)。EFEM 30中之一或多個機械臂(例如圖1B中所展示之機械臂)將晶圓運送至裝載鎖腔室20。
裝載鎖腔室20可用閘閥(例如圖1B之閘閥26)附接至主腔室10,該閘閥在該等腔室之間。裝載鎖腔室20可包括可固持一或多個晶圓之樣本固持器(未展示)。裝載鎖腔室20亦可包括用於將晶圓移動至主腔室 10及自該主腔室移動晶圓之機械傳遞設備(例如圖1B之機械臂12)。裝載鎖腔室20可連接至裝載鎖真空泵系統(未展示),其移除裝載鎖腔室20中之氣體分子以達到低於大氣壓力之第一壓力。在達到第一壓力之後,一或多個機械臂(圖1B中所展示)將晶圓自裝載鎖腔室20運送至主腔室10。主腔室10連接至主腔室真空泵系統(未展示),其移除主腔室10中之氣體分子以達到低於第一壓力之第二壓力。在達到第二壓力之後,晶圓經受電子束工具40進行之檢測。
控制器50以電子方式連接至電子束工具40。控制器50可為經組態以執行帶電粒子束檢測系統100之各種控制的電腦。雖然在圖1A中將控制器50展示為位於包括主腔室10、裝載鎖腔室20及EFEM 30之結構外部,但應理解,控制器50可為該結構之部分。雖然本發明提供容置電子束檢測工具之主腔室10的實例,但應注意,本發明之態樣在其最廣泛意義上而言不限於容置電子束檢測工具之腔室。更確切而言,應理解,亦可將前述原理應用於在第二壓力下操作之其他工具。
現在參看圖1B,其為說明符合本發明之實施例的圖1A之帶電粒子束檢測系統100中之例示性晶圓裝載順序的示意圖。在一些實施例中,帶電粒子束檢測系統100可包括位於EFEM 30中之機械臂11及位於主腔室10中之機械臂12。在一些實施例中,EFEM 30亦可包括經組態以在將晶圓運送至裝載鎖腔室20之前準確地定位晶圓之預對準器60。
在一些實施例中,第一裝載埠30a及第二裝載埠30b例如可收納含有晶圓之晶圓前開式單元匣(FOUP)。EFEM 30中之機械臂11可將晶圓自任何裝載埠運送至預對準器60以便輔助定位。預對準器60可使用機械或光學對準方法來定位晶圓。在預對準之後,機械臂11可將晶圓運送 至裝載鎖腔室20。
在將晶圓運送至裝載鎖腔室20之後,裝載鎖真空泵(未展示)可移除裝載鎖腔室20中之氣體分子以達到低於大氣壓力之第一壓力。在達到第一壓力之後,機械臂12可將晶圓自裝載鎖腔室20運送至主腔室10中之電子束工具40之晶圓載物台80。主腔室10連接至主腔室真空泵系統(未展示),其移除主腔室10中之氣體分子以達到低於第一壓力之第二壓力。在達到第二壓力之後,晶圓可經受電子束工具進行之檢測。
在一些實施例中,主腔室10可包括經組態以在檢測之前暫時儲存晶圓之停放台(parking station)70。舉例而言,當第一晶圓之檢測完成時,可自晶圓載物台80卸載第一晶圓,且接著機械臂12可將第二晶圓自停放台70運送至晶圓載物台80。隨後,機械臂12可將第三晶圓自裝載鎖腔室20運送至停放台70以暫時儲存第三晶圓,直至第二晶圓之檢測結束為止。
現在參看圖2,其為展示針對帶電粒子束檢測系統之隨時間而變之晶圓溫度變化的例示性曲線圖。豎軸表示溫度變化,且橫軸表示時間推移。該曲線圖展示當經由晶圓裝載順序之多個階段來加工晶圓時,晶圓溫度隨著時間推移而改變。根據圖2中所展示之例示性資料,當將含有待檢測晶圓之FOUP裝載至第一裝載埠30a或第二裝載埠30b時,晶圓之溫度大約為22.5度。
在將晶圓運送至裝載鎖腔室之後,當將裝載鎖腔室抽氣至真空時,晶圓溫度急劇下降了差不多一度。此溫度突降可稱為抽氣效應。隨後,當將晶圓運送至且裝載於晶圓載物台上時,晶圓及晶圓載物台可處於不同溫度下。舉例而言,圖2中之曲線圖展示當將晶圓裝載至晶圓載物 台時(在圖2中標註為210),在位於裝載鎖腔室中之晶圓(在圖2中標註為220)與位於主腔室中的晶圓載物台(在圖2中標註為230)之間可存在大致2.5度之溫度差。在此等情況下,在晶圓與晶圓載物台之間可發生熱傳遞,藉此導致晶圓(或晶圓載物台)之變形(例如圖1C中所展示的熱膨脹)。當晶圓載物台或晶圓經歷熱變形時,目標區域之檢測為不可能的或其準確度可能下降。因此,為執行更準確之檢測,在可開始檢測之前,系統等待相當長的時間段,直至晶圓溫度穩定下來為止。此等待時間降低檢測系統之產出率。
在2018年5月28日申請之名稱為PARTICLE BEAM APPARATUS的歐洲專利申請案第EP18174642.1號中可找到用於較快溫度穩定之晶圓載物台的一實例,該申請案以全文引用之方式併入本文中。解決此較長穩定時間之另一方式為在將晶圓裝載於晶圓載物台上之前藉由預加熱或預冷卻晶圓來調節晶圓溫度,以與晶圓載物台之溫度相匹配。在此等實施例中,可在前一晶圓在晶圓載物台上進行檢測的同時執行調節步驟,且因此,與在將晶圓裝載於晶圓載物台上之後執行調節之系統相比,可提高該檢測系統之總產出率。
在一些實施例中,溫度調節功能可實施於裝載鎖腔室中,此可提供產出率之提高以及未來之靈活性。若在裝載鎖腔室中執行晶圓之溫度調節,則可在前一晶圓之檢測正在進行的同時將管路中之下一晶圓裝載至裝載鎖腔室中。在一些實例中,據計算,以此順序,用以調節晶圓之最大可用時間將大約為5至10分鐘,其約為目前在範疇中具有最短用例之晶圓的最小檢測時間。因此,執行裝載鎖腔室中之晶圓溫度調節之優點中的一者為晶圓調節時間可隱藏於檢測時間中,此係由於對下一晶圓之調節 與對當前晶圓之檢測可同時發生。此可提高粒子束檢測系統之總產出率。
在一些實施例中,帶電粒子束檢測系統(諸如圖1B之帶電粒子束檢測系統100)可包括粗略溫度調節器及精細溫度調節器。舉例而言,預對準器(諸如圖1B之預對準器60)可包括粗略調節器,而裝載鎖腔室(諸如裝載鎖腔室20)包括精細調節器。粗略調節器可將晶圓自例如2度之粗略偏移調節為500mk,而精細調節器可將晶圓自例如500mK之精細偏移調節為50mK。
現在參看圖3A,其展示符合本發明之實施例的例示性裝載鎖系統300a。在一些實施例中,裝載鎖系統300a可包括複數個支撐結構325及經組態以將熱傳遞至晶圓320之調節板315。在其他實施例中,調節板315可經組態以另外地或可替代地自晶圓320傳遞熱。耦接至調節板315之支撐結構325可支撐晶圓320,使得在晶圓320與調節板315之間存在空間。雖然應理解,在將晶圓320定位成更接近調節板315時可實現更高效的熱傳遞,但在一些實施例中,可能需要在晶圓320與調節板315兩者之間具有足夠的距離,來為機械臂提供用以提舉或運送晶圓320之空間。在一些實施例中,晶圓320與調節板315之間的距離可在1.5mm至10mm之範圍內,以在提舉或運送晶圓時提供用以容納各種機械臂大小之空間。在一些實施例中,晶圓320與調節板315之間的距離可在3mm至5mm之範圍內,以提供用以容納某一類型之機械臂的空間,同時在不需要針對機械臂運送之特殊處理之情況下提供更高效的熱傳遞。在一些實施例中,可使用用於提舉晶圓320之特殊機構,使得距離變窄。
此外,即使圖3A中展示了兩個支撐結構325,但應理解,系統300a可包括任何數目個支撐結構325。在一些實施例中,晶圓320可 在無任何主動耦接(例如靜電夾持)手段之情況下被動置放於支撐結構325頂部。在其他實施例中,可使用主動固持手段(諸如靜電夾持)將晶圓320固持於支撐結構325上。
裝載鎖系統300a可包括裝載鎖腔室310,諸如圖1A之裝載鎖腔室20。在一些實施例中,裝載鎖腔室310可經組態以改變大氣與真空之間的內部壓力。泵(諸如渦輪泵(未展示))可連接至裝載鎖腔室310以將真空度維持在用於調節晶圓320之溫度之適合真空度下。應理解,泵可為與渦輪泵不同之類型之泵,只要該泵適合於在裝載鎖腔室310中建立真空即可。
在一些實施例中,調節板315可包括熱傳遞元件340,該熱傳遞元件經組態以改變調節板315之溫度,此又影響晶圓320之溫度。熱傳遞元件340可耦接至加熱器/冷卻器360。在一些實施例中,加熱器/冷卻器360可置放於裝載鎖腔室310外部。在其他實施例中,加熱器/冷卻器360可置放於裝載鎖腔室310內部。
裝載鎖系統300a可進一步包括控制器350,該控制器經組態以調整加熱器/冷卻器360或熱傳遞元件340以改變調節板315之溫度,此又影響晶圓320之溫度。在一些實施例中,控制器350可接收關於主腔室390中之晶圓載物台395之溫度的載物台溫度資料。舉例而言,在一些實施例中,控制器350可自經組態以量測晶圓載物台395之溫度的溫度感測器396接收傳達載物台溫度資料的電信號。在此等實施例中,控制器350可控制加熱器/冷卻器360以基於關於晶圓載物台395之溫度的載物台溫度資料來調整調節板315之溫度。
在一些實施例中,控制器350可接收關於加熱器/冷卻器 360之輸出端之溫度的加熱器溫度資料。在此等實施例中,控制器350可控制加熱器/冷卻器360以基於加熱器溫度資料來調整調節板315之溫度。舉例而言,在一些實施例中,加熱器/冷卻器360可為熱水器或水冷卻器。在此等實施例中,經加熱或經冷卻之水流動通過調節板315中之熱傳遞元件340,且控制器350可接收關於加熱器/冷卻器360的輸出端處之水溫的加熱器溫度資料。控制器350可基於水溫來調整加熱器/冷卻器360。在一些實施例中,控制器350可自經組態以量測水溫之溫度感測器365接收傳達加熱器溫度資料之電信號。在一些實施例中,控制器350可使用載物台溫度資料及加熱器溫度資料二者來調整調節板315之溫度。在此等實施例中,例如,控制器350可調整加熱器/冷卻器360以使加熱器溫度(例如加熱器/冷卻器360之輸出端處的水溫)與晶圓載物台395之溫度相匹配。
在一些實施例中,控制器350可用額外溫度感測器經進一步最佳化。舉例而言,在一些實施例中,系統可包括經組態以量測晶圓320及調節板315之溫度的一或多個額外感測器。
在一些實施例中,裝載鎖系統300a可包括用以將氣體338自氣體供應器饋入至裝載鎖腔室310中之一或多個通氣口(例如通氣口330或335)。在此等實施例中,氣體338可增加晶圓320與調節板315之間的熱傳導,從而使得晶圓320達到穩定溫度之時間減少。舉例而言,晶圓320與調節板315之間的熱傳遞可藉由輻射及氣體338產生。氣體338可為氮氣、氦氣、氫氣、氬氣、CO2或經壓縮乾燥空氣。應理解,氣體338可為適合於進行熱傳遞之任何其他氣體。可存在位於氣體供應器與裝載鎖腔室310之間的閥370及375。通氣口330及335可經由自氣體供應器延伸至出口330及335之氣體管連接至氣體供應器,該等通氣口可在裝載鎖腔室310中 打開以在晶圓320與調節板315之間提供氣體。在一些實施例中,通氣口330及335可在將裝載鎖腔室抽氣至真空度之後打開。在一些實施例中,當將氣體338供應至裝載鎖腔室310中時,裝載鎖真空泵(例如渦輪泵)可經啟用以持續移除一些氣體338分子且在晶圓調節程序期間維持真空度。
如圖3F中所展示,熱傳遞之效率在氣體壓力增大時提高。然而,當氣體壓力接近某一位準(例如圖3F中之100Pa或以上)時,效率可能不會提高更多。因此,在一些實施例中,晶圓320與調節板315之間的空間中之氣體壓力在對晶圓320的調節期間可處於50Pa至5,000Pa之範圍內,以在將氣體壓力級保持得足夠低的同時提供高效熱傳遞。在一些實施例中,氣體壓力在對晶圓320之調節期間可處於100Pa至1,000Pa之範圍內,以在使氣體壓力接近於真空的同時提供熱傳遞效率之間的平衡。
在一些實施例中,可對氣體338進行溫度調節,使得氣體分子本身可向晶圓320提供熱傳遞。舉例而言,裝載鎖系統300a之氣體供應器、氣體閥370及375或任何其他部分可包括用以在將氣體338提供至腔室310中之前預調節氣體338的溫度之加熱器。
在一些實施例中,如圖3A中所展示,一或多個通氣口330及335可包括於裝載鎖腔室310中。在其他實施例(諸如圖3B中所展示之裝載鎖系統300b)中,通氣口中之至少一者(例如圖3B中之通氣口330)可包括於調節板315中且將氣體338直接提供至晶圓320與調節板315之間的空間中。舉例而言,在此等實施例中,通氣口330可包括於調節板315中且位於晶圓320之中心處或附近。應理解,通氣口可位於任何其他位置處,只要該等出口適合於在裝載鎖腔室310中將氣體338提供至晶圓320與調節板315之間的空間中即可。亦應理解,裝載鎖系統300a及300b可包括任何數 目個通氣口。在一些實施例中,控制器350可經組態以調整通氣口330或335以改變裝載鎖腔室310中之氣流速率。
圖3C展示了展示在裝載鎖系統中之晶圓溫度調節期間隨時間而變之晶圓溫度變化的例示性曲線圖。在將熱傳遞至晶圓時,晶圓之溫度(Twafer)逐漸接近晶圓載物台之溫度(Twafer stage)。調節程序可在晶圓溫度達到穩定溫度(Tstable)時完成。在一些實施例中,Tstable可與晶圓載物台之溫度相同。在其他實施例中,可將Tstable設定為比晶圓載物台溫度低大約100mK(Twafef stage-100mK)之點,以提供高效產出率之提高。在一些實施例中,Tstable可為在大約22℃下之設定點。在其他實例中,Tstable可為在20至28℃之範圍內之設定點。
在一些實施例中,如圖6C中所說明,當Twafer接近Tstable附近時,控制器(諸如圖3A中之控制器350)可調整加熱器(諸如圖3A中之加熱器/冷卻器360)以使調節板溫度可逐漸降低,從而防止晶圓溫度突增。
在晶圓320已達到Tstable之後,調節步驟結束,且其後,可停止通過通氣口(諸如圖3A中之通氣口330及335)之氣流。在一些實施例中,在停止該氣流之後,裝載鎖真空泵可繼續運行,直至裝載鎖腔室(諸如圖3A中之裝載鎖腔室310)中的壓力等於主腔室(諸如圖3A中之主腔室390)中的壓力或處於此壓力附近為止。由於裝載鎖腔室內部之壓力可能已維持為接近於真空(例如10至10,000Pa),因此裝載鎖腔室與主腔室之間的壓力差可相對較小。在一些實施例中,加熱器(諸如圖3A中之加熱器/冷卻器360)可維持調節板之溫度,以使來自調節板的殘餘輻射可在抽氣期間幫助保持晶圓之溫度。
當裝載鎖腔室中之氣體壓力達到主腔室中之壓力或處於此 壓力附近時,在一些實施例中,可將晶圓運送至晶圓載物台(諸如圖3A中之晶圓載物台395)以供檢測。由於晶圓之溫度可等於晶圓載物台之溫度或處於此溫度附近,因此檢測可以最小等待時段開始。在其他實施例中,可將晶圓運送至停放台(諸如圖1B之停放台70)且暫時儲存晶圓,直至前一晶圓之正在進行的檢測完成為止。
現在參看圖3D,其展示符合本發明之實施例的另一例示性裝載鎖系統300d。在一些實施例中,裝載鎖系統300d可包括複數個支撐結構325及經組態以將熱傳遞至晶圓320之調節板315。在一些實施例中,調節板315可包括熱傳遞元件340。
在一些實施例中,如圖3D中所說明,調節板315可定位於晶圓320上方。在此等實施例中,藉由耦接至支撐板319之支撐結構325來支撐晶圓320。雖然應理解,在將晶圓320定位成更接近調節板315時可實現更高效的熱傳遞,但在一些實施例中,可能需要在晶圓320與調節板315兩者之間具有足夠的距離,來為機械臂提供用以提舉或運送晶圓320之空間。然而,在圖3D中所展示之組態中,由於調節板315定位於晶圓320上方,因此調節板315可置放成更接近晶圓320。在一些實施例中,晶圓320與調節板315之間的距離可減小至大約1mm。
在一些實施例中,裝載鎖系統300d可包括用以向晶圓320與調節板315之間的空間提供氣體338之通氣口330及335。在一些實施例中,至少一個通氣口可包括於調節板315中以向空間提供氣體338。應理解,通氣口330或335可位於裝載鎖系統300d之其他位置處,只要彼等位置適合於在裝載鎖腔室310中將氣體338提供至晶圓320與調節板315之間的空間中即可。亦應理解,裝載鎖系統300d可包括任何數目個通氣口。
現在參看圖3E,其展示符合本發明之實施例的另一例示性裝載鎖系統300e。裝載鎖系統300e可包括經組態以自多個方向將熱傳遞至晶圓320之複數個調節板。舉例而言,裝載鎖系統300e可包括經組態以在向下方向上傳遞熱之上部調節板317及經組態以在向上方向上傳遞熱之下部調節板318。在一些實施例中,上部調節板317可包括熱傳遞元件340。在一些實施例中,下部調節板可包括熱傳遞元件340。下部調節板318可耦接至經組態以支撐晶圓320之支撐結構325。裝載鎖系統300e可包括用以向晶圓320與調節板317及318之間的空間提供氣體338之通氣口330及335。在一些實施例中,至少一個通氣口可包括於上部調節板317中。在一些實施例中,至少一個通氣口可包括於下部調節板318中。
現在參看圖4,其為符合本發明之實施例的設備前端模組(EFEM)中之例示性預對準器之示意圖。在一些實施例中,預對準器可包括經組態以支撐晶圓420之一或多個支撐結構425及經組態以經由來自一或多個空氣出口440的經加熱之壓縮空氣傳遞熱之調節板415。在一些實施例中,調節板415進一步包含經組態以移除空氣之一或多個真空通道450。在此等實施例中,晶圓420與調節板415之間的熱傳遞可主要藉由經由一或多個空氣出口440提供之經溫度調節的壓縮空氣的對流來產生。由於經由經溫度調節的壓縮空氣之強制對流執行晶圓調節,因此熱高效地傳遞至晶圓420或自該晶圓傳遞,且因此晶圓溫度可快速穩定至穩定溫度。
現在參看圖5,其展示說明符合本發明之實施例的晶圓調節系統500之例示性組態之示意圖。在一些實施例中,晶圓調節系統500可包括複數個支撐結構525及經組態以將熱傳遞至晶圓520之調節板515。耦接至調節板515之支撐結構525可支撐晶圓520且將熱傳導至晶圓520。 應理解,支撐結構525可呈適合於進行支撐及傳導熱之任何形狀。在一些實施例中,調節板515可包括熱傳遞元件540,其經組態以改變調節板515之溫度,此又影響晶圓520之溫度。熱傳遞元件540可耦接至加熱器560。在一些實施例中,加熱器560可置放於真空腔室510外部。在其他實施例中,加熱器560可置放於真空腔室510內部。
在一些實施例中,調節板515可進一步包括靜電夾具570。靜電夾具570可經由電荷將晶圓520固持於調節板515。電源(未展示)提供將晶圓520連接至靜電夾具570之電荷。舉例而言,靜電夾具570可為調節板515之部分或包含於該調節板中。在其他實例中,靜電夾具570可與調節板515分開。在一些實施例中,調節板515可包括經組態以提舉晶圓520以容納用於運送晶圓520之機械臂(未展示)的提舉結構526。
在一些實施例中,真空腔室510可包括經組態以改變真空腔室510之溫度的熱傳遞元件545。在此等實施例中,可經由輻射將熱自真空腔室510之內表面傳遞至晶圓520(如圖5中所說明)。真空腔室510可為圖1B之裝載鎖腔室20、圖1B之停放台70的部分或圖1B之主腔室10。
現在參看圖6A,其展示說明符合本發明之實施例的晶圓調節系統600之另一例示性組態之示意圖。系統600可包括真空腔室610及經組態以支撐晶圓620之一或多個支撐結構625。在一些實施例中,晶圓調節系統600可包括經組態以經由來自多個方向之輻射將熱傳遞至晶圓620的加熱裝置中之一或多者。舉例而言,如圖6A中所展示,系統600可包括上部加熱裝置617及下部加熱裝置618。
在一些實施例中,加熱裝置617或618可為經組態以將熱輻射至晶圓620之調節板、一或多個管或一或多個線圈。在一些實施例中, 系統600可包括單一加熱裝置,其可定位於晶圓620上方或下方。在一些實施例中,系統600可包括相對於晶圓620定位之上部加熱裝置617及下部加熱裝置618。在一些實施例中,系統600可包括三個或更多個加熱裝置。在一些實施例中,系統600可包括經組態以向加熱裝置617或618提供熱之加熱器660。在一些實施例中,加熱器660可為熱水器或可向加熱裝置617或618提供熱之任何其他類型之加熱器。
在一些實施例中,支撐結構625可包括經組態以量測晶圓620之溫度的溫度感測器627。溫度感測器627可包含熱電偶(TC)、NTC熱敏電阻、PTC熱敏電阻、電阻溫度計、紅外溫度計或適合於量測晶圓620之溫度的任何其他裝置。舉例而言,如圖6B中所展示,支撐結構625可包括經組態以量測晶圓620之溫度的熱電偶。為了能夠量測晶圓之溫度,支撐結構625可包括用以推動熱電偶以使其與晶圓620形成接觸之彈簧狀結構。在一些實施例中,熱電偶及彈簧狀結構可藉由支撐結構625圍封。
由於系統600在真空腔室610中操作,因此自晶圓至用於量測晶圓溫度之熱電偶的熱傳遞可經由傳導及輻射進行。對於一些實施例,為了更準確地量測晶圓620之溫度,可能需要使達至熱電偶之熱輻射最小化。因此,熱電偶之表面(除接觸晶圓620之表面以外)可經由不傳熱的材料製成之一或多個結構覆蓋,使得熱電偶可經由來自晶圓620之傳導接收熱。在一些實施例中,支撐結構625可由防止熱傳遞之材料製成。在一些實施例中,系統600可包括用以自晶圓620之多個部分收集溫度資訊之多個熱電偶。在此等實施例中,控制器(諸如圖6E中所展示之控制器650)可判定晶圓620之溫度分佈特性。
現在參看圖6C,其為說明在調節程序期間之溫度變化的例 示性曲線圖。晶圓調節系統可包括用以在晶圓調節正在進行之同時改變在運作中的加熱裝置之溫度的控制機構。此外,在一些實施例中,晶圓調節系統可包括經組態以量測系統之不同部分之溫度的一或多個溫度感測器。在一些實施例中,晶圓調節系統可包括經組態以量測晶圓本身之溫度的一或多個溫度感測器。圖6C說明在此等實施例之實例中的隨時間而變之溫度變化。在此等實施例中,有可能用加熱裝置之高溫(甚至高於所需之穩定溫度Tstable)開始進行調節程序,且接著在Twafer接近Tstable時使溫度逐漸降至所需之穩定溫度。在一些實施例中,此程序可藉由來自感測器之溫度資訊進行進一步最佳化。如圖6C中所展示,以此方式控制溫度可顯著地減少調節時間。
現在參看圖6D,其為說明符合本發明之實施例的晶圓調節系統之例示性控制電路之示意圖。在一些實施例中,晶圓調節系統(諸如圖6A中之系統600)可包括控制器及經組態以量測系統之不同部分的溫度感測器中之一或多者。在一些實施例中,晶圓調節系統可包括經組態以量測晶圓之溫度的一或多個溫度感測器。舉例而言,控制器650可自設備前端模組(諸如圖1A之EFEM 30)中之溫度感測器696接收關於傳入晶圓的溫度之溫度資料。控制器650可自溫度感測器627接收關於晶圓之溫度的晶圓溫度資料。控制器650可自溫度感測器665接收關於加熱器660之輸出端(例如熱水器之輸出端處之水)的溫度之加熱器溫度資料。在一些實施例中,控制器650可基於來自感測器696、627及665之溫度資料中的至少一者來控制加熱器660。舉例而言,加熱器660可包含經組態以將熱傳遞至水之電熱水器。控制器650可用溫度回饋來調整供應至加熱器660之電流,藉此引起熱傳遞元件(例如圖6A中的加熱裝置617或618)之溫度的變 化。在一些實施例中,可基於晶圓之類型或對晶圓之調節來校準控制器650。
即使在圖6A之系統600的上下文中描述控制機構以解釋功能性,但應理解,可將同一控制機構應用於本發明中所展示之晶圓調節系統之任一實施例。
現在參看圖7,其為說明符合本發明之實施例的用於調節晶圓溫度之例示性方法之流程圖。可藉由電子束系統(例如圖1A之帶電粒子束檢測系統100)之裝載鎖系統(例如圖3A至3D的裝載鎖系統300a、300b、300d及300e)來執行該方法。
在步驟710中,藉由機械臂將晶圓相對於調節板裝載至裝載鎖腔室中。在一些實施例中,晶圓可置放於調節板上方。在其他實施例中,晶圓可置放於調節板下方。在一些實施例中,晶圓可置放於兩個調節板之間。
在步驟720中,在將晶圓裝載至裝載鎖腔室(例如圖1A中之裝載鎖腔室20)中之後,控制器(例如圖1A的控制器50)使得真空泵能夠自裝載鎖腔室移除空氣。
在步驟730中,判定晶圓載物台(例如圖3A之晶圓載物台395)之溫度,且將其提供給控制器。
在步驟740中,氣體供應器(例如圖3A中之氣體供應器)向裝載鎖腔室提供氣體以便在調節板與晶圓之間進行熱傳遞。氣體可經溫度調節為與晶圓載物台之所量測溫度相匹配,以提供更高效的熱傳遞。
在步驟750中,控制器接收晶圓載物台溫度資料,且基於晶圓載物台之所判定溫度來調整加熱溫度。
在步驟760中,在晶圓調節完成之後,晶圓調節系統將經調節晶圓自裝載鎖腔室傳遞至主腔室(例如圖3A中之主腔室390)或停放台(例如圖3B中之停放台70)。在一些實施例中,若存在用以量測晶圓之溫度的溫度感測器,則控制器可監視晶圓溫度且判定晶圓調節是否完成。
應理解,晶圓調節系統之控制器可使用軟體來控制上文所描述之功能性。舉例而言,該控制器可向前述加熱器發送用以改變熱傳遞元件之溫度的指令。該控制器亦可向加熱器發送用以調整輸入電壓或電流之指令。可將軟體儲存於非暫時性電腦可讀媒體上。常見形式之非暫時性媒體包括例如:軟碟、軟性磁碟、硬碟、固態磁碟機、磁帶或任何其他磁性資料儲存媒體;CD-ROM;任何其他光學資料儲存媒體;具有孔圖案之任何實體媒體;RAM、PROM及EPROM;雲端儲存器;FLASH-EPROM或任何其他快閃記憶體;NVRAM;快取記憶體;暫存器;任何其他記憶體晶片或卡匣;及其網路化版本。
現在參看圖8A及8B,其展示說明符合本發明之實施例的具有真空泵系統之例示性帶電粒子束檢測系統800之示意圖。在一些實施例中,帶電粒子束檢測系統800可包括主腔室890及裝載鎖腔室810。在一些實施例中,系統800可包括氣體供應器811、通氣閥812及連接至裝載鎖腔室810之通氣擴散器813。氣體供應器811可在晶圓調節程序期間將氣體(例如圖3A中之氣體338)提供至裝載鎖腔室810中以提高晶圓(例如圖3A之晶圓320)與調節板(例如圖3A的調節板315)之間的熱導率。氣體可為氮氣、氦氣、氫氣、氬氣、CO2或經壓縮乾燥空氣。應理解,氣體可為適合於進行熱傳遞之任何其他氣體。
在一些實施例中,裝載鎖腔室810之抽真空可經由兩個單 獨的路徑在兩個階段內執行。此第一路徑稱作粗抽路徑,且可包含裝載鎖粗抽管線816及裝載鎖粗抽閥853。在粗抽階段期間,自大氣條件將裝載鎖腔室810抽氣至「粗」真空度(例如5×10-1托)。在第一階段中,打開裝載鎖粗抽閥853以在其他路徑關閉時首先經由裝載鎖粗抽管線816對裝載鎖腔室810進行抽氣。
第二路徑稱作渦輪泵送路徑且可包含裝載鎖渦輪閥814、裝載鎖渦輪泵815、裝載鎖渦輪泵送管線817及裝載鎖渦輪泵前級真空閥851。在裝載鎖腔室810之粗抽完成之後,裝載鎖渦輪泵815接替以將裝載鎖腔室810泵出至更深真空度(例如低於1.5×10-6托)。在此第二階段中,首先關閉裝載鎖粗抽閥853。接著打開裝載鎖渦輪閥814及裝載鎖渦輪泵前級真空閥851,使得裝載鎖渦輪泵815對裝載鎖腔室810進行抽氣。
主腔室890可以相似方式抽真空。首先,自大氣條件經由主腔室粗抽路徑(包含主腔室粗抽管線896及主腔室粗抽閥854)將主腔室890抽氣至「粗」真空度(例如5×10-1托)。在粗抽階段完成之後,主腔室渦輪泵895接替以經由主腔室渦輪泵送路徑(包含主腔室渦輪閥894、主腔室渦輪泵895、主腔室渦輪泵送管線897及主腔室渦輪泵前級真空閥852)進一步抽氣至更深真空度(例如低於1.5×10-6托)。在一些實施例中,主腔室渦輪泵895可繼續運行,直至晶圓檢測完成為止。
雖然圖8A展示針對裝載鎖腔室810具有一條粗抽路徑及一條渦輪泵送路徑之系統800,但應理解,該系統可利用任何數目條粗抽路徑及渦輪泵送路徑來對裝載鎖腔室810抽真空。舉例而言,系統800可具有平行地連接至裝載鎖腔室810之兩條或更多條粗抽路徑。獨立於粗抽路徑之數目,系統800可具有平行地連接至裝載鎖腔室810之兩個或更多個 渦輪泵。相似地,應理解,系統可利用任何數目條粗抽路徑及渦輪泵送路徑來對主腔室890進行抽氣。
在一些實施例中,系統800可包括合併所有粗抽管線(例如裝載鎖粗抽管線816及主腔室粗抽管線896)及所有泵送管線(例如裝載鎖渦輪泵送管線817及主腔室渦輪泵送管線897)之中央歧管箱850。中央歧管箱850可容置若干閥以控制抽真空程序。舉例而言,中央歧管箱850可包括裝載鎖粗抽閥853、主腔室粗抽閥854、裝載鎖渦輪泵前級真空閥851及主腔室渦輪泵前級真空閥852。在此等個別閥之後,所有管線經合併至前級管線858。經由乾式真空泵860之最終排氣受前級管線閥859控制,該前級管線閥可位於乾式真空泵860之前。
如關於圖3A之前述部分中所描述,在一些實施例中,在晶圓溫度調節程序期間,可經由粗抽管線816或渦輪泵815持續對裝載鎖腔室810進行抽氣,以持續移除一些氣體分子(例如圖3A之氣體338)且維持裝載鎖腔室810之真空度,直至晶圓調節完成為止。
如圖8B中所說明,在一些實施例中,裝載鎖腔室810之此連續抽氣可在共用前級管線(例如前級管線858)中引入暫時性壓力跳變,藉此致使主腔室890中之檢測程序中斷。舉例而言,如前述部分中所解釋,在前一晶圓正在主腔室890中進行檢測之同時可在裝載鎖腔室810中執行晶圓溫度調節程序。然而,當打開裝載鎖粗抽閥853以開始進行連續抽氣程序時,前級管線858內之壓力可增大,此係由於裝載鎖腔室810中之高壓條件因經由裝載鎖粗抽管線816建立之開放連接而曝露於前級管線858。前級管線858中之增大的壓力可針對主腔室渦輪泵895產生較高背壓。當在裝載鎖腔室810中執行晶圓溫度調節時,在一些實施例中,由於 主腔室渦輪泵895可在前一晶圓之檢測期間同時運行以在主腔室中維持低壓力級,因此背壓突增可影響渦輪泵895之動態行為。因此,可能發生系統800之突然振動。此突然振動可造成檢測誤差。因此,若振動級高於檢測誤差之界限,則檢測程序可能需要暫停,直至背壓消失且振動減少為止。檢測程序之此中斷可能損害系統產出率。增大之背壓亦可造成渦輪泵895之有效泵送速度減小,藉此暫時增大主腔室890中的壓力。主腔室壓力之此暫時性增大亦可影響系統產出率及整個系統效能。在關於圖9之下一部分中更詳細地解釋此效應。
現在參看圖9,其為說明帶電粒子束檢測系統(例如圖8A及8B之帶電粒子束檢測系統800)的主腔室(例如圖8A及8B之主腔室890)中的壓力變化之例示性曲線圖。如上文關於圖8A所解釋,在兩個階段內對主腔室進行抽氣,此兩個階段為粗抽階段911及渦輪抽氣階段912。在粗抽階段911期間,自大氣條件經由粗抽路徑將主腔室抽氣至「粗」真空度910(例如5×10-1托)。在主腔室壓力達到「粗」真空度910之後,關閉粗抽閥(例如圖8A之主腔室粗抽閥854),且主腔室渦輪泵(例如主腔室渦輪泵895)接替以使主腔室壓力進一步降至更深真空度。當主腔室壓力變為低於「檢測預備(inspection ready)」真空度920(例如1.5×10-6托)時,晶圓檢測程序可開始。在一些實施例中,主腔室渦輪泵895可繼續運行以將主腔室壓力級維持至接近於「檢測預備」真空度920。
當第一晶圓之檢測完成時,在一些實施例中,晶圓交換可在時段923中發生。在晶圓交換期間,主腔室壓力可暫時增大,此係由於打開了裝載鎖腔室(例如圖8A之裝載鎖腔室810)與主腔室(例如圖8A的主腔室890)之間的閘閥(例如圖1B之閘閥26)。在晶圓交換之後,一旦主腔室 渦輪泵使主腔室壓力降回至「檢測預備」真空度920,檢測程序即可再次開始。
在晶圓交換之前,當第一晶圓正在主腔室中進行檢測時,第二晶圓可經歷晶圓溫度調節程序,且如上文所解釋,主腔室壓力可因施加至主腔室渦輪泵之背壓而暫時增大。暫時性壓力跳變950之實例說明於曲線圖中。
若暫時性壓力跳變950仍然低於「檢測預備」真空度920,則第一晶圓之檢測可在無中斷之情況下繼續,只要振動級保持在誤差界限內即可。然而,若主腔室壓力在暫時性跳變950期間增大為高於「檢測預備」真空度920,則第一晶圓之檢測可能需要暫停,直至主腔室壓力返回至「檢測預備」真空度為止。因此,系統產出率可受此中斷影響。
現在參看圖10,其展示說明符合本發明之實施例的具有改良式真空泵系統之例示性帶電粒子束檢測系統1000之示意圖。在一些實施例中,可將單獨的泵送路徑添加至裝載鎖腔室810以防止主腔室890之振動及壓力跳變。舉例而言,在一些實施例中,帶電粒子束檢測系統1000可包括裝載鎖增壓粗抽閥1010、裝載鎖增壓粗抽泵1011及輔助排氣系統1012。系統1000之所有其他部分與圖8A之系統800相同。
在此等實施例中,在晶圓溫度調節期間,裝載鎖增壓粗抽泵1011可持續運行以移除氣體分子(例如圖3A之氣體338)。然而,由於裝載鎖粗抽閥853及裝載鎖渦輪泵前級真空閥851在此時段期間仍然關閉,因此在前級管線858中不存在壓力之增大,因而不會在主腔室渦輪泵895上產生背壓。
因此,在一些實施例中,裝載鎖腔室810之抽氣程序可分 解成三個階段。首先,裝載鎖增壓粗抽泵1011可(在自EFEM(例如圖1A之EFEM 30)接收到新的晶圓集合之後)自大氣條件操作至用於進行晶圓溫度調節之真空度。其次,常規裝載鎖粗抽路徑(經由裝載鎖粗抽管線816)可自晶圓溫度調節真空度操作至「粗」真空度。最後,裝載鎖渦輪泵815可自「粗」真空度操作至更深真空度。在接近於大氣條件開始進行泵送時,當前級管線858曝露於黏滯工作狀態(viscous regime)時,背壓問題最大。因此,在藉由單獨的增壓泵(例如裝載鎖增壓粗抽泵1011)使裝載鎖腔室壓力級降至晶圓溫度調節真空度之後,可在不產生過多背壓之情況下使用常規泵送機構(例如裝載鎖粗抽管線816或裝載鎖渦輪泵815)。
現在參看圖11,其為說明符合本發明之實施例的用於控制圖10之帶電粒子束檢測系統的裝載鎖腔室之真空度的例示性方法之流程圖。可藉由圖10之帶電粒子束檢測系統來執行該方法。
在步驟1110中,藉由機械臂(例如圖1B之機械臂11)將晶圓(或複數個晶圓)裝載至裝載鎖腔室(例如圖10之裝載鎖腔室810)中。
在步驟1111中,氣體供應器(例如圖10之氣體供應器811)開始向裝載鎖腔室提供氣體(例如圖3A之氣體338)以便進行晶圓溫度調節。
在步驟1112中,在準備抽真空程序時關閉所有閘(例如圖1B之閘閥25及26)。在一些實施例中,步驟1111可在所有閘在步驟1112中關閉之後發生。
在步驟1113中,打開增壓泵閥(例如裝載鎖增壓粗抽閥1010),且增壓泵(例如裝載鎖增壓粗抽泵1011)開始對裝載鎖腔室進行抽氣。如上文關於圖10所解釋,在此第一階段中,自大氣條件將裝載鎖腔室抽氣至適合於進行晶圓溫度調節之真空度。由於增壓泵送管線連接至單獨 的排氣系統(例如圖10之輔助排氣系統1012)且未與常規粗抽路徑合併以在歧管箱(例如圖10之中央歧管箱850)中形成共用前級管線(例如圖10的前級管線858),因此增壓泵送不會在前級管線中產生背壓。因此,不存在對系統產出率之影響。
在步驟1114中,晶圓調節流程開始。此步驟可包括:基於主腔室(例如圖10之主腔室890)中的晶圓載物台(例如圖3A之晶圓載物台395)之所判定溫度來調整調節板(例如圖3A的調節板315)之加熱溫度。當執行晶圓溫度調節時,增壓泵持續運行以維持適合於進行晶圓溫度調節之真空度。在步驟1115中,當晶圓溫度達到穩定溫度(例如圖3C中之Tstable)時,調節程序完成。
在步驟1116中,在晶圓溫度調節完成之後,關閉通氣閥(例如圖10之通氣閥812),且使氣體供應器停止。在步驟1117中,抽氣程序之第一階段完成,且關閉增壓閥(例如裝載鎖增壓粗抽閥1010)。
在步驟1118中,抽氣程序之第二階段藉由打開裝載鎖粗抽閥(例如圖10之裝載鎖粗抽閥853)而開始。在此第二階段期間,在一些實施例中可自晶圓調節真空度將裝載鎖腔室抽氣至「粗」真空度(例如5×10-1托)。在達到「粗」真空度之後,在步驟1119中,關閉裝載鎖粗抽閥。
在步驟1120中,抽氣程序之第三階段開始,且渦輪泵(例如裝載鎖渦輪泵815)接替以將裝載鎖腔室810泵出至接近於主腔室壓力之更深真空度。
在步驟1121中,在前一晶圓之晶圓檢測完成之後,自主腔室移除前一晶圓,且將經溫度調節之晶圓自裝載鎖腔室傳遞至主腔室。在 步驟1122中,當晶圓交換完成時,關閉裝載鎖渦輪泵閥。
在步驟1122之後,可執行步驟1110以將新的晶圓集合裝載至裝載鎖腔室。若在裝載鎖腔室中仍然存在未經調節且未經檢測之晶圓,則系統可繼續進行步驟1111以調節另一晶圓,來準備進行檢測程序。
應理解,晶圓調節系統之控制器可使用軟體來控制上文所描述之功能性。舉例而言,該控制器可向前述閥及泵發送用以控制抽氣路徑之指令。可將軟體儲存於非暫時性電腦可讀媒體上。常見形式之非暫時性媒體包括例如:軟碟、軟性磁碟、硬碟、固態磁碟機、磁帶或任何其他磁性資料儲存媒體;CD-ROM;任何其他光學資料儲存媒體;具有孔圖案之任何實體媒體;RAM、PROM及EPROM;雲端儲存器;FLASH-EPROM或任何其他快閃記憶體;NVRAM;快取記憶體;暫存器;任何其他記憶體晶片或卡匣;及其網路化版本。
可使用以下條項來進一步描述實施例:
1.一種裝載鎖系統,其包含:複數個支撐結構,其經組態以支撐一晶圓;一第一調節板,其包括一第一熱傳遞元件,該第一熱傳遞元件經組態以調整該晶圓之一溫度;一第一通氣口,其經組態以在該第一調節板與該晶圓之間提供一氣體;及一控制器,其包括一處理器及一記憶體,該控制器經組態以輔助對該第一熱傳遞元件之控制。
2.如條項1之裝載鎖系統,其中該第一調節板定位於該晶圓上方。
3.如條項1之裝載鎖系統,其中該第一調節板定位於該晶圓下方。
4.如條項3之裝載鎖系統,其中該複數個支撐結構耦接至該第一調節板。
5.如條項1至4中任一項之裝載鎖系統,其中該第一通氣口附接至該第一調節板。
6.如條項1至5中任一項之裝載鎖系統,其中該控制器進一步經組態以基於一晶圓載物台之一溫度來輔助對該第一熱傳遞元件之該控制。
7.如條項1至6中任一項之裝載鎖系統,其中該控制器進一步經組態以控制通過該第一通氣口之氣流的一速率。
8.如條項1至7中任一項之裝載鎖系統,其進一步包含一第二調節板,其包括一第二熱傳遞元件,該第二熱傳遞元件經組態以調整該晶圓之該溫度。
9.如條項8之裝載鎖系統,其中經組態以支撐一晶圓之該複數個支撐結構定位於該第一調節板與該第二調節板之間。
10.如條項9之裝載鎖系統,其進一步包含一第二通氣口,其經組態以在該第二調節板與該晶圓之間提供一部分該氣體。
11.如條項10之裝載鎖系統,其中該第二通氣口耦接至該第二調節板。
12.如條項8至11中任一項之裝載鎖系統,其中該控制器進一步經組態以基於該晶圓載物台之一溫度來輔助控制該第二熱傳遞元件。
13.如條項10至12中任一項之裝載鎖系統,其中該控制器進一步經組態以控制通過該第二通氣口之氣流的一速率。
14.如條項1至13中任一項之裝載鎖系統,其中該氣體包含氮氣、氦氣、氫氣、氬氣、CO2或經壓縮空氣。
15.如條項1至14中任一項之裝載鎖系統,其進一步包含一裝載鎖腔室,其經組態以圍封該第一調節板、該複數個支撐結構及該晶圓。
16.如條項15之裝載鎖系統,其進一步包含一第一真空泵,其連接至該裝載鎖腔室。
17.如條項16之裝載鎖系統,其中該控制器進一步經組態以在一晶圓調節程序期間控制該第一真空泵以將該氣體泵出。
18.如條項17之裝載鎖系統,其中該控制器進一步經組態以在該晶圓調節程序期間將該裝載鎖腔室內部之一壓力維持在50至5,000Pa的範圍內。
19.如條項16至18中任一項之裝載鎖系統,其進一步包含一第二真空泵,其連接至該裝載鎖腔室。
20.如條項19之裝載鎖系統,其中該控制器進一步經組態以:啟用該第一真空泵以將該裝載鎖腔室內部之壓力降低至一第一壓力級,且啟用該第二真空泵以將該裝載鎖腔室內部之壓力降低至一第二壓力級,其中該第二壓力級低於該第一壓力級。
21.如條項20之裝載鎖系統,其中該第二真空泵與連接至一主腔室之一第三真空泵共用一排氣路徑。
22.如條項20及21中任一項之裝載鎖系統,其中在啟用該第一真空泵時,停用該第二真空泵。
23.如條項20至22中任一項之裝載鎖系統,其中該第一真空泵與該第三真空泵同時啟用。
24.一種在一裝載鎖系統中進行一晶圓之一熱調節之方法,其包 含:將一晶圓裝載至一裝載鎖系統之一裝載鎖腔室;對該裝載鎖腔室進行抽氣;向該裝載鎖腔室提供一氣體;且啟用一第一調節板中之一第一熱傳遞元件以調整該第一調節板之一溫度,以便經由該氣體將熱傳遞至該晶圓。
25.如條項24之方法,其中向該裝載鎖腔室提供一氣體進一步包含:在向該裝載鎖腔室提供該氣體之前調節該氣體的一溫度。
26.如條項24及25中任一項之方法,其中向該裝載鎖腔室提供一氣體進一步包含:向該第一調節板與該晶圓之間的一空間提供該氣體。
27.如條項24至26中任一項之方法,其進一步包含:判定一主腔室中之一晶圓載物台的一溫度。
28.如條項24至27中任一項之方法,其中啟用該第一熱傳遞元件以調整該第一調節板之該溫度進一步包含:基於該晶圓載物台的所判定溫度來調整該第一熱傳遞元件。
29.如條項24至28中任一項之方法,其進一步包含:啟用一第二調節板中之一第二熱傳遞元件以調整該第二調節板的一溫度,以便經由該氣體將熱傳遞至該晶圓。
30.如條項24至29中任一項之方法,其中該氣體包含氮氣、氦氣、氫氣、氬氣、CO2或經壓縮空氣。
31.如條項24至30中任一項之方法,其中對該裝載鎖腔室進行抽氣包含:使用連接至該裝載鎖腔室之一第一真空泵將該氣體泵出該裝載鎖腔室。
32.如條項31之方法,其中對該裝載鎖腔室進行抽氣進一步包含:啟用該第一真空泵以將該裝載鎖腔室內部之壓力降低至一第一壓力級;且啟用連接至該裝載鎖腔室之一第二真空泵以將該裝載鎖腔室內部之壓力降低至一第二壓力級,其中該第二壓力級低於該第一壓力級。
33.如條項32之方法,其中該第二真空泵與連接至該主腔室之一第三真空泵共用一排氣路徑。
34.如條項32及33中任一項之方法,其中在啟用該第一真空泵時,停用該第二真空泵。
35.如條項32至34中任一項之方法,其中該第一真空泵與該第三真空泵同時啟用。
36.一種包括一指令集之非暫時性電腦可讀媒體,該指令集可由一控制器的一或多個處理器執行以使得該控制器執行進行一晶圓之一熱調節的一方法,該方法包含:指示一第一真空泵在將一晶圓裝載至一裝載鎖系統之一裝載鎖腔室中之後對該裝載鎖腔室進行抽氣;指示一氣體供應器向該裝載鎖腔室提供一氣體;且指示一第一調節板中之一第一熱傳遞元件調整該第一調節板之一溫度,以便經由該氣體將熱傳遞至該晶圓。
37.如條項36之電腦可讀媒體,其中可藉由該控制器之該一或多個處理器執行的該指令集使得該控制器進一步執行:指示一溫度感測器判定一主腔室中之一晶圓載物台之一溫度。
38.如條項37之電腦可讀媒體,其中指示該第一調節板中之該第一 熱傳遞元件進一步包含:基於該晶圓載物台的所判定溫度來調整該第一熱傳遞元件。
39.如條項36至38中任一項之電腦可讀媒體,其中可藉由該控制器之該一或多個處理器執行的該指令集使得該控制器進一步執行:指示一第二調節板中之一第二熱傳遞元件調整該第二調節板之一溫度,以便經由該氣體將熱傳遞至該晶圓。
40.如條項39之電腦可讀媒體,其中指示該第二調節板中之該第二熱傳遞元件進一步包含:基於該晶圓載物台的所判定溫度來調整該第二熱傳遞元件。
41.如條項36至40中任一項之電腦可讀媒體,其中可藉由該控制器之該一或多個處理器執行的該指令集使得該控制器進一步執行:指示該第一真空泵將該裝載鎖腔室抽氣至一第一壓力級;且指示一第二真空泵將該裝載鎖腔室抽氣至一第二壓力級,其中該第二壓力級低於該第一壓力級。
42.一種對一裝載鎖腔室進行抽氣之方法,該方法包含:用一第一真空泵將一氣體泵出該裝載鎖腔室,該第一真空泵經組態以將該氣體排出至一第一排氣系統;且用一第二真空泵將該氣體泵出該裝載鎖腔室,該第二真空泵經組態以將該氣體排出至一第二排氣系統。
43.如條項42之方法,其進一步包含:啟用該第一真空泵以將該裝載鎖腔室內部之壓力降低至一第一壓力級;且啟用該第二真空泵以將該裝載鎖腔室內部之壓力降低至一第二壓力 級,其中該第二壓力級低於該第一壓力級。
44.如條項43之方法,其中該第二真空泵與經組態以對一主腔室進行抽氣之一第三真空泵共用該第二排氣系統。
45.如條項42至44中任一項之方法,其中在啟用該第一真空泵時,停用該第二真空泵。
46.如條項44至45中任一項之方法,其中該第一真空泵與該第三真空泵同時啟用。
儘管已相對於所揭示實施例之較佳實施例解釋所揭示實施例,但應理解,可在不背離主題的如下文所主張之精神及範疇的情況下作出其他修改及變化。
300a:裝載鎖系統
310:裝載鎖腔室
315:調節板
320:晶圓
325:支撐結構
330:通氣口
335:通氣口
338:氣體
340:熱傳遞元件
350:控制器
360:加熱器/冷卻器
365:溫度感測器
370:閥
375:閥
390:主腔室
395:晶圓載物台
396:溫度感測器

Claims (13)

  1. 一種裝載鎖系統,其包含:複數個支撐結構,其經組態以支撐一晶圓;一第一調節板,其包括一第一熱傳遞元件,該第一熱傳遞元件經組態以調整該晶圓之一溫度,其中該第一調節板定位於該晶圓下方;一第一通氣口,其經組態以在該第一調節板與該晶圓之間提供一氣體,其中該第一通氣口附接至該第一調節板;及一控制器,其包括一處理器及一記憶體,該控制器經組態以輔助對該第一熱傳遞元件之控制。
  2. 如請求項1之裝載鎖系統,其中該第一調節板定位於該晶圓上方。
  3. 如請求項1之裝載鎖系統,其中該複數個支撐結構耦接至該第一調節板。
  4. 如請求項1之裝載鎖系統,其中該控制器進一步經組態以基於一晶圓載物台之一溫度來輔助對該第一熱傳遞元件之該控制。
  5. 如請求項1之裝載鎖系統,其進一步包含一第二調節板,該第二調節板包括一第二熱傳遞元件,其經組態以調整該晶圓之該溫度。
  6. 如請求項5之裝載鎖系統,其中經組態以支撐一晶圓之該複數個支撐 結構定位於該第一調節板與該第二調節板之間。
  7. 如請求項5之裝載鎖系統,其進一步包含一第二通氣口,該第二通氣口經組態以在該第二調節板與該晶圓之間提供一部分該氣體。
  8. 如請求項5之裝載鎖系統,其中該控制器進一步經組態以基於該晶圓載物台之一溫度來輔助控制該第二熱傳遞元件。
  9. 如請求項1之裝載鎖系統,其進一步包含一裝載鎖腔室,該裝載鎖腔室經組態以圍封該第一調節板、該複數個支撐結構及該晶圓。
  10. 如請求項9之裝載鎖系統,其進一步包含一第二真空泵,該第二真空泵連接至該裝載鎖腔室。
  11. 如請求項10之裝載鎖系統,其中該控制器進一步經組態以:啟用第一真空泵以將該裝載鎖腔室內部之壓力降低至一第一壓力級,且啟用該第二真空泵以將該裝載鎖腔室內部之壓力降低至一第二壓力級,其中該第二壓力級低於該第一壓力級。
  12. 如請求項11之裝載鎖系統,其中該第二真空泵與連接至一主腔室之一第三真空泵共用一排氣路徑。
  13. 一種包括一指令集之非暫時性電腦可讀媒體,該指令集可由一控制器的一或多個處理器執行以使得該控制器執行進行一晶圓之一熱調節的一方法,該方法包含:指示一第一真空泵在將一晶圓裝載至一裝載鎖系統之一裝載鎖腔室中之後對該裝載鎖腔室進行抽氣(pump down);指示一氣體供應器向該裝載鎖腔室在該晶圓與定位於該晶圓下方之一第一調節板之間提供一氣體,其中該氣體係經由附接至該第一調節板之一通氣口而向該裝載鎖腔室提供;且指示該第一調節板中之一第一熱傳遞元件調整該第一調節板之一溫度,以經由該氣體將熱傳遞至該晶圓。
TW108125301A 2018-07-17 2019-07-17 粒子束檢測設備 TWI738028B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862699643P 2018-07-17 2018-07-17
US62/699,643 2018-07-17
US201962869986P 2019-07-02 2019-07-02
US62/869,986 2019-07-02

Publications (2)

Publication Number Publication Date
TW202018755A TW202018755A (zh) 2020-05-16
TWI738028B true TWI738028B (zh) 2021-09-01

Family

ID=67297162

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108125301A TWI738028B (zh) 2018-07-17 2019-07-17 粒子束檢測設備
TW110127856A TWI824271B (zh) 2018-07-17 2019-07-17 粒子束檢測設備

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110127856A TWI824271B (zh) 2018-07-17 2019-07-17 粒子束檢測設備

Country Status (6)

Country Link
US (2) US11430678B2 (zh)
JP (2) JP7296410B2 (zh)
KR (3) KR20210022068A (zh)
CN (1) CN112424922A (zh)
TW (2) TWI738028B (zh)
WO (1) WO2020016087A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210053351A (ko) * 2018-09-28 2021-05-11 램 리써치 코포레이션 증착 부산물 빌드업 (buildup) 으로부터 진공 펌프 보호
EP3916482A1 (en) * 2020-05-27 2021-12-01 ASML Netherlands B.V. Conditioning device and corresponding object handler, stage apparatus and lithographic apparatus
KR20230052902A (ko) * 2020-08-21 2023-04-20 에이에스엠엘 네델란즈 비.브이. 하전 입자 검사 장치
JP7249989B2 (ja) * 2020-12-16 2023-03-31 日本電子株式会社 荷電粒子線装置
US11892382B2 (en) * 2021-08-27 2024-02-06 Taiwan Semiconductor Manufacturing Company Ltd. Method for detecting environmental parameter in semiconductor fabrication facility
EP4213176A1 (en) 2022-01-13 2023-07-19 ASML Netherlands B.V. Charged particle assessment system
WO2023110244A1 (en) 2021-12-15 2023-06-22 Asml Netherlands B.V. Charged particle assessment system
WO2023169766A1 (en) * 2022-03-11 2023-09-14 Asml Netherlands B.V. Vacuum chamber system including temperature conditioning plate
WO2024028194A1 (en) * 2022-08-05 2024-02-08 Asml Netherlands B.V. High-throughput load lock chamber

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100139889A1 (en) * 2006-06-02 2010-06-10 Applied Materials, Inc. Multiple Slot Load Lock Chamber and Method of Operation
TW201344740A (zh) * 2012-01-13 2013-11-01 Tokyo Electron Ltd 電漿處理裝置及加熱器之溫度控制方法
WO2015045163A1 (ja) * 2013-09-30 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0155572B1 (ko) * 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
JP3225170B2 (ja) * 1993-10-22 2001-11-05 東京エレクトロン株式会社 真空処理装置
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6110232A (en) * 1998-10-01 2000-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing corrosion in load-lock chambers
US6402401B1 (en) * 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2001222099A (ja) 2000-02-10 2001-08-17 Toshiba Corp 荷電ビーム描画装置および荷電ビーム描画方法
TW512421B (en) * 2000-09-15 2002-12-01 Applied Materials Inc Double dual slot load lock for process equipment
JP4553471B2 (ja) * 2000-09-19 2010-09-29 東京エレクトロン株式会社 処理装置及び処理システム
SG115630A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Temperature conditioned load lock, lithographic apparatus comprising such a load lock and method of manufacturing a substrate with such a load lock
JP4194495B2 (ja) * 2004-01-07 2008-12-10 東京エレクトロン株式会社 塗布・現像装置
US20050284572A1 (en) * 2004-06-29 2005-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Heating system for load-lock chamber
US9305814B2 (en) * 2004-12-20 2016-04-05 Tokyo Electron Limited Method of inspecting substrate processing apparatus and storage medium storing inspection program for executing the method
JP4860167B2 (ja) 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
NL1036164A1 (nl) * 2007-11-15 2009-05-18 Asml Netherlands Bv Substrate processing apparatus and device manufacturing method.
JP5410174B2 (ja) * 2009-07-01 2014-02-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理システム
JP5391055B2 (ja) * 2009-12-25 2014-01-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造システム
US8808788B2 (en) * 2010-09-20 2014-08-19 Tokyo Electron Limited Processing a wafer with a post application bake (PAB) procedure
TWI550686B (zh) * 2011-11-04 2016-09-21 東京威力科創股份有限公司 基板處理系統、基板運送方法及電腦記憶媒體
TWI524456B (zh) * 2011-11-04 2016-03-01 東京威力科創股份有限公司 基板處理系統、基板運送方法、程式及電腦記憶媒體
TWI624897B (zh) * 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
US10269603B2 (en) * 2013-07-09 2019-04-23 Kokusai Electric Corporation Substrate processing apparatus, gas-purging method, method for manufacturing semiconductor device, and recording medium containing abnormality-processing program
JP6293645B2 (ja) * 2013-12-27 2018-03-14 東京エレクトロン株式会社 基板処理システム
WO2017057623A1 (ja) * 2015-09-30 2017-04-06 東京エレクトロン株式会社 基板処理装置および基板処理方法
US11802340B2 (en) * 2016-12-12 2023-10-31 Applied Materials, Inc. UHV in-situ cryo-cool chamber
US10714362B2 (en) * 2018-03-15 2020-07-14 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
EP3575873A1 (en) 2018-05-28 2019-12-04 ASML Netherlands B.V. Particle beam apparatus
US10896821B2 (en) * 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
CN112970089A (zh) * 2018-11-06 2021-06-15 Asml荷兰有限公司 用于在带电粒子束设备中对晶片进行热调节的系统和方法
JP7188256B2 (ja) * 2019-04-18 2022-12-13 株式会社Sumco 気相成長方法及び気相成長装置
US11440117B2 (en) * 2019-09-27 2022-09-13 Jian Zhang Multiple module chip manufacturing arrangement
US11581204B2 (en) * 2020-10-20 2023-02-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device manufacturing system and method for manufacturing semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100139889A1 (en) * 2006-06-02 2010-06-10 Applied Materials, Inc. Multiple Slot Load Lock Chamber and Method of Operation
TW201344740A (zh) * 2012-01-13 2013-11-01 Tokyo Electron Ltd 電漿處理裝置及加熱器之溫度控制方法
WO2015045163A1 (ja) * 2013-09-30 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体

Also Published As

Publication number Publication date
US20200027763A1 (en) 2020-01-23
JP2023073317A (ja) 2023-05-25
TWI824271B (zh) 2023-12-01
WO2020016087A1 (en) 2020-01-23
CN112424922A (zh) 2021-02-26
JP2021530865A (ja) 2021-11-11
TW202349541A (zh) 2023-12-16
TW202018755A (zh) 2020-05-16
KR20240024307A (ko) 2024-02-23
US11430678B2 (en) 2022-08-30
JP7296410B2 (ja) 2023-06-22
KR20210022068A (ko) 2021-03-02
TW202211349A (zh) 2022-03-16
KR20240024306A (ko) 2024-02-23
US11942340B2 (en) 2024-03-26
US20220415678A1 (en) 2022-12-29

Similar Documents

Publication Publication Date Title
TWI738028B (zh) 粒子束檢測設備
US10403525B2 (en) Substrate processing method and substrate processing system
TWI710044B (zh) 工件處理系統及其設備
US20160155721A1 (en) Bonding Method, Storage Medium, Bonding Apparatus and Bonding System
JP5129848B2 (ja) 接合装置及び接合方法
TWI776092B (zh) 在帶電粒子束設備中熱調節晶圓之系統和方法
US10290527B2 (en) Method of manufacturing semiconductor device by using plasma etching apparatus
WO2021086463A1 (en) Methods and apparatus for processing a substrate
JP2018190817A (ja) 接合装置および接合方法
US11199575B2 (en) Prober and probe card precooling method
JP5552826B2 (ja) 基板貼り合せ装置、積層半導体装置製造方法及び積層半導体装置
TW202401476A (zh) 包括溫度調節板之真空腔室系統
US20210351021A1 (en) Methods and apparatus for processing a substrate
JP2023541971A (ja) 反りを矯正する方法及び装置
JP2012089623A (ja) 押圧用アダプタ