KR20240024307A - 입자 빔 검사 장치 - Google Patents

입자 빔 검사 장치 Download PDF

Info

Publication number
KR20240024307A
KR20240024307A KR1020247004344A KR20247004344A KR20240024307A KR 20240024307 A KR20240024307 A KR 20240024307A KR 1020247004344 A KR1020247004344 A KR 1020247004344A KR 20247004344 A KR20247004344 A KR 20247004344A KR 20240024307 A KR20240024307 A KR 20240024307A
Authority
KR
South Korea
Prior art keywords
wafer
load lock
temperature
gas
conditioning
Prior art date
Application number
KR1020247004344A
Other languages
English (en)
Inventor
제로엔 제라드 고센
테-유 첸
데니스 헤르맨 캐스퍼 반 배닝
에드윈 코르넬리스 카디크
마르티즌 페트루스 크리스티아누스 반 헤우멘
에르헹 왕
요하네스 안드레아스 헨리쿠스 마리아 야콥스
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20240024307A publication Critical patent/KR20240024307A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70841Constructional issues related to vacuum environment, e.g. load-lock chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

개선된 입자 빔 검사 장치, 특히 개선된 로드 락 유닛을 포함하는 입자 빔 검사 장치가 개시된다. 개선된 로드 락 시스템은 웨이퍼를 지지하도록 구성되는 복수의 지지 구조체들, 및 웨이퍼의 온도를 조정하도록 구성되는 열 전달 요소를 포함하는 컨디셔닝 플레이트를 포함할 수 있다. 또한, 로드 락 시스템은 컨디셔닝 플레이트와 웨이퍼 사이에 가스를 제공하도록 구성되는 가스 벤트, 및 열 전달 요소의 제어를 돕도록 구성되는 제어기를 포함한다.

Description

입자 빔 검사 장치{PARTICLE BEAM INSPECTION APPARTUS}
본 출원은 2018년 7월 17일에 출원된 미국 가특허 출원 제 62/699,643호, 및 2019년 7월 2일에 출원된 미국 가특허 출원 제 62/869,986호의 우선권을 주장하며, 이는 둘 다 본 명세서에서 그 전문이 인용참조된다.
본 명세서에서 제공되는 실시예들은 입자 빔 검사 장치, 특히 개선된 로드 락 유닛(load lock unit)을 포함하는 입자 빔 검사 장치를 개시한다.
반도체 집적 회로(IC) 칩을 제조하는 경우, 제작 공정들 동안 웨이퍼 및/또는 마스크 상에는 필연적으로 패턴 결함 및/또는 원하지 않은 입자(잔류물)가 나타나며, 이는 수율을 크게 감소시킨다. 예를 들어, 원하지 않은 입자는 IC 칩들의 점점 더 진보된 성능 요건들을 충족시키기 위해 채택된 더 작은 임계 피처 치수들을 갖는 패턴들에 대해 문제가 될 수 있다.
하전 입자 빔을 이용한 패턴 검사 툴들이 결함 또는 원하지 않은 입자를 검출하는 데 사용되어 왔다. 이 툴들은 통상적으로 스캐닝 전자 현미경(SEM)을 채택한다. SEM에서는, 비교적 높은 에너지를 갖는 일차 전자 빔이 비교적 낮은 랜딩 에너지(landing energy)에서 샘플 상에 착지하도록 감속되고, 그 위에 프로브 스폿(probe spot)을 형성하도록 포커싱된다. 일차 전자들의 이러한 포커싱된 프로브 스폿으로 인해, 이차 전자들이 표면으로부터 발생될 것이다. 샘플 표면에 걸쳐 프로브 스폿을 스캐닝하고 이차 전자들을 수집함으로써, 패턴 검사 툴들이 샘플 표면의 이미지를 얻을 수 있다.
검사 툴의 작동 동안, 웨이퍼는 통상적으로 웨이퍼 스테이지에 의해 유지된다. 검사 툴은 e-빔에 대해 웨이퍼 스테이지 및 웨이퍼를 위치시키는 웨이퍼 위치설정 디바이스를 포함할 수 있다. 이는 e-빔의 작동 범위에 웨이퍼 상의 타겟 영역, 즉 검사될 영역을 위치시키는 데 사용될 수 있다.
본 명세서에서 제공되는 실시예들은 입자 빔 검사 장치, 특히 개선된 로드 락 유닛을 포함하는 입자 빔 검사 장치를 개시한다. 일부 실시예들에서, 개선된 로드 락 시스템은 웨이퍼를 지지하도록 구성되는 복수의 지지 구조체들 및 제 1 컨디셔닝 플레이트(conditioning plate)를 포함한다. 제 1 컨디셔닝 플레이트는 웨이퍼의 온도를 조정하도록 구성되는 제 1 열 전달 요소를 포함한다. 또한, 개선된 로드 락 시스템은 제 1 컨디셔닝 플레이트와 웨이퍼 사이에 가스를 제공하도록 구성되는 제 1 가스 벤트(gas vent)를 포함한다. 또한, 개선된 로드 락 시스템은 프로세서 및 메모리를 포함하는 제어기를 포함한다. 제어기는 제 1 열 전달 요소의 제어를 돕도록 구성된다.
일부 실시예들에서, 로드 락 시스템에서 웨이퍼의 열 컨디셔닝을 수행하는 방법이 제공된다. 상기 방법은 로드 락 시스템의 로드 락 챔버에 웨이퍼를 로딩(load)하고 로드 락 챔버를 펌프다운(pump down)하는 단계를 포함한다. 상기 방법은 로드 락 챔버에 가스를 제공하는 단계를 더 포함한다. 또한, 상기 방법은 제 1 컨디셔닝 플레이트 내의 제 1 열 전달 요소가 가스를 통해 웨이퍼로 열을 전달하기 위해 제 1 컨디셔닝 플레이트의 온도를 조정할 수 있게 하는 단계를 포함한다.
일부 실시예들에서, 비-일시적(non-transitory) 컴퓨터 판독가능한 매체가 제공된다. 비-일시적 컴퓨터 판독가능한 매체는 제어기가 웨이퍼의 열 컨디셔닝을 수행하는 방법을 수행하게 하기 위해 제어기의 1 이상의 프로세서에 의해 실행가능한 명령어들의 세트를 포함한다. 상기 방법은 웨이퍼가 로드 락 챔버에 로딩된 후 로드 락 시스템의 로드 락 챔버를 펌프다운하도록 진공 펌프에 지시하는 단계를 포함한다. 또한, 상기 방법은 로드 락 챔버에 가스를 제공하도록 가스 공급기에 지시하는 단계, 및 가스를 통해 웨이퍼로 열을 전달하기 위해 제 1 컨디셔닝 플레이트의 온도를 조정하도록 제 1 컨디셔닝 플레이트 내의 제 1 열 전달 요소에 지시하는 단계를 포함한다.
일부 실시예들에서, 로드 락 챔버를 펌프다운하는 방법이 제공된다. 상기 방법은 가스를 제 1 배기 시스템으로 배기하도록 구성되는 제 1 진공 펌프를 사용하여 로드 락 챔버로부터 가스를 펌핑하는 단계, 및 가스를 제 2 배기 시스템으로 배기하도록 구성되는 제 2 진공 펌프를 사용하여 로드 락 챔버로부터 가스를 펌핑하는 단계를 포함한다.
본 발명의 다른 장점들은 삽화 및 예시의 방식으로 본 발명의 소정 실시예들을 설명하는 첨부된 도면들과 함께 다음의 설명으로부터 명백해질 것이다.
본 발명의 앞선 실시형태 및 다른 실시형태는 첨부된 도면들과 함께 취해진 예시적인 실시예들의 설명으로부터 더 명백해질 것이다.
도 1a는 본 발명의 실시예들에 따른 예시적인 하전 입자 빔 검사 시스템을 나타내는 개략적인 다이어그램이다.
도 1b는 본 발명의 실시예들에 따른 도 1a의 하전 입자 빔 검사 시스템에서의 예시적인 웨이퍼 로딩 시퀀스를 나타내는 개략적인 다이어그램이다.
도 1c는 하전 입자 빔 검사 시스템에서의 예시적인 웨이퍼 변형 효과를 나타내는 개략적인 다이어그램이다.
도 2는 하전 입자 빔 검사 시스템에서의 시간에 따른 웨이퍼 온도 변화를 나타내는 예시적인 그래프이다.
도 3a 및 도 3b는 본 발명의 실시예들에 따른 예시적인 로드 락 시스템들을 나타내는 개략적인 다이어그램들이다.
도 3c는 본 발명의 실시예들에 따른 로드 락 시스템에서의 웨이퍼 온도 컨디셔닝 동안 시간에 따른 웨이퍼 온도 변화를 나타내는 예시적인 그래프이다.
도 3d 및 도 3e는 본 발명의 실시예들에 따른 예시적인 로드 락 시스템들을 나타내는 개략적인 다이어그램들이다.
도 3f는 본 발명의 실시예들에 따른 로드 락 시스템에서의 가스 압력 레벨에 대한 열 전달 효율의 변화를 나타내는 예시적인 그래프이다.
도 4는 본 발명의 실시예들에 따른 EFEM(equipment front end module)의 예시적인 사전-정렬기(pre-aligner)의 개략적인 다이어그램이다.
도 5는 본 발명의 실시예들에 따른 웨이퍼 컨디셔닝 시스템의 예시적인 구성을 나타내는 개략적인 다이어그램이다.
도 6a는 본 발명의 실시예들에 따른 웨이퍼 컨디셔닝 시스템의 예시적인 구성을 나타내는 개략적인 다이어그램이다.
도 6b는 본 발명의 실시예들에 따른 도 6a의 웨이퍼 컨디셔닝 시스템의 예시적인 지지 구조체를 나타내는 개략적인 다이어그램이다.
도 6c는 본 발명의 실시예들에 따른 웨이퍼 컨디셔닝 시스템에서의 컨디셔닝 프로세스 동안 온도 변화들을 나타내는 예시적인 그래프이다.
도 6d는 본 발명의 실시예들에 따른 웨이퍼 컨디셔닝 시스템의 예시적인 제어 회로를 나타내는 개략적인 다이어그램이다.
도 7은 본 발명의 실시예들에 따른 웨이퍼 온도를 컨디셔닝하는 예시적인 방법을 나타내는 흐름도이다.
도 8a 및 도 8b는 본 발명의 실시예들에 따른 진공 펌프 시스템을 갖는 예시적인 하전 입자 빔 검사 시스템을 나타내는 개략적인 다이어그램들이다.
도 9는 본 발명의 실시예들에 따른 하전 입자 빔 검사 시스템의 주 챔버에서의 압력 변화를 나타내는 예시적인 그래프이다.
도 10은 본 발명의 실시예들에 따른 진공 펌프 시스템을 갖는 예시적인 하전 입자 빔 검사 시스템을 나타내는 개략적인 다이어그램이다.
도 11은 본 발명의 실시예들에 따른 도 10의 하전 입자 빔 검사 시스템의 로드 락 챔버의 진공 레벨을 제어하는 예시적인 방법을 나타내는 흐름도이다.
이제 예시적인 실시예들을 상세히 언급할 것이며, 그 예시들은 첨부된 도면들에서 나타낸다. 다음 설명은, 달리 나타내지 않는 한 상이한 도면들에서의 동일한 번호들이 동일하거나 유사한 요소들을 나타내는 첨부된 도면들을 참조한다. 예시적인 실시예들의 다음 설명에서 설명되는 구현들은 본 발명에 따른 모든 구현들을 나타내지는 않는다. 대신에, 이들은 첨부된 청구항들에서 언급되는 바와 같은 본 발명에 관련된 실시형태들과 일치하는 장치들 및 방법들의 예시들에 불과하다.
전자 디바이스들은 기판이라고 하는 실리콘의 한 부분(piece)에 형성되는 회로들로 구성된다. 많은 회로들이 실리콘의 동일한 부분에 함께 형성될 수 있으며, 집적 회로 또는 IC라고 한다. 이러한 회로들의 크기는 더 많은 회로들이 기판 상에 피팅(fit)될 수 있도록 극적으로 감소하였다. 예를 들어, 스마트 폰의 IC 칩은 엄지손톱만큼 작을 수 있고, 20 억 개가 넘는 트랜지스터들을 포함할 수 있으며, 각각의 트랜지스터의 크기는 사람 머리카락 크기의 1/1000 미만이다.
이러한 극히 작은 IC를 만드는 것은 복잡하고, 시간-소모적이며, 비용이 많이 드는 공정이고, 흔히 수백 개의 개별 단계들을 수반한다. 심지어 한 단계에서의 오차들도 완성된 IC에서 결함을 유도하여 이를 쓸모없게 만들 잠재력이 있다. 따라서, 제조 공정의 한 가지 목표는 이러한 결함들을 회피하여 공정에서 만들어진 기능 IC들의 수를 최대화하는 것, 즉 공정의 전체 수율을 개선하는 것이다.
수율을 개선하는 한 가지 구성요소는 칩 제조 공정을 모니터링하여 이것이 충분한 수의 기능적 집적 회로들을 생성할 것을 보장하는 것이다. 공정을 모니터링하는 한 가지 방식은 그 형성의 다양한 스테이지들에서 칩 회로 구조체들을 검사하는 것이다. 스캐닝 전자 현미경(SEM)을 사용하여 검사가 수행될 수 있다. SEM은 이러한 극히 작은 구조체들을 이미징하는 데 사용되어, 실제로 구조체들의 "사진"을 찍을 수 있다. 이미지는 구조체가 적절하게 형성되었는지, 및 그것이 적절한 위치에 형성되었는지를 결정하는 데 사용될 수 있다. 구조체에 결함이 있는 경우, 공정은 결함이 다시 발생할 가능성이 적도록 조정될 수 있다.
IC 칩 제조 설비에서는 높은 공정 수율이 바람직하지만, 시간 당 처리되는 웨이퍼 수로 정의되는 높은 웨이퍼 스루풋을 유지하는 것도 필수적이다. 높은 공정 수율 및 높은 웨이퍼 스루풋은 결함의 존재에 의해, 특히 결함을 검토하는 작업자 개입이 존재하는 경우에 영향을 받을 수 있다. 따라서, (SEM과 같은) 검사 툴들에 의한 마이크로 및 나노 크기 결함들의 고스루풋 검출 및 식별이 높은 수율 및 낮은 비용을 유지하기 위해 필수적이다.
본 발명의 일 실시형태는 전체 검사 시스템의 스루풋을 증가시키는 개선된 로드 락 시스템을 포함한다. 개선된 로드 락 시스템은 종래의 입자 빔 검사 시스템들과 비교할 때 검사 프로세스의 속도를 높이는 방식으로 웨이퍼를 준비한다. 예를 들어, 종래의 입자 빔 검사 시스템을 사용하여 웨이퍼를 검사하는 작업자는 검사를 시작하기 전에 웨이퍼의 온도가 안정될 때까지 기다려야 한다. 이러한 온도 안정화는, 온도가 변함에 따라 웨이퍼의 크기가 변하고, 이는 웨이퍼가 팽창하거나 수축함에 따라 웨이퍼 상의 요소들을 움직이게 하기 때문에 필요하다. 예를 들어, 도 1c는 웨이퍼(160)가 온도 변화로 인해 팽창함에 따라 요소들(180, 182, 184 및 186)이 새로운 위치들(170, 172, 174 및 178)로 이동할 수 있음을 나타낸다. 또한, 웨이퍼를 검사하는 정밀도가 나노미터 단위인 경우, 이러한 위치 변화는 상당하다. 따라서, 작업자가 웨이퍼 상의 요소들을 정밀하게 찾고 검사하기 위해, 작업자는 웨이퍼 온도가 안정될 때까지 기다려야 한다.
개선된 로드 락 시스템은 웨이퍼를 컨디셔닝하여, 그 온도가 웨이퍼를 유지할 검사 웨이퍼 스테이지의 온도에 가깝도록 한다. 개선된 로드 락 시스템은, 웨이퍼 스테이지 상에 배치되기 전에 웨이퍼로 또는 웨이퍼로부터 열을 전달하는 컨디셔닝 플레이트를 포함함으로써 웨이퍼를 컨디셔닝할 수 있다. 웨이퍼 스테이지 상에 배치되기 전에 웨이퍼를 컨디셔닝함으로써, 검사는 훨씬 적은 지연으로 시작할 수 있다. 그러므로, 작업자는 주어진 시간 내에 더 많은 웨이퍼를 검사할 수 있고, 이에 의해 증가된 스루풋이 달성될 수 있다.
도면들에서, 구성요소들의 상대적인 치수들은 명확함을 위해 과장될 수 있다. 도면들의 다음 설명 내에서, 동일하거나 유사한 참조 번호들은 동일하거나 유사한 구성요소들 또는 개체들을 지칭하며, 개별적인 실시예들에 대한 차이들만이 설명된다. 본 명세서에서 사용되는 바와 같이, 달리 구체적으로 언급되지 않는 한, "또는"이라는 용어는 실행불가능한 경우를 제외하고 모든 가능한 조합들을 포함한다. 예를 들어, 구성요소가 A 또는 B를 포함할 수 있다고 언급되는 경우, 달리 구체적으로 언급되거나 실행불가능하지 않는 한, 구성요소는 A, 또는 B, 또는 A와 B를 포함할 수 있다. 두 번째 예시로서, 구성요소가 A, B 또는 C를 포함할 수 있다고 언급되는 경우, 달리 구체적으로 언급되거나 실행불가능하지 않는 한, 구성요소는 A, 또는 B, 또는 C, 또는 A와 B, 또는 A와 C, 또는 B와 C, 또는 A와 B와 C를 포함할 수 있다.
이제 도 1a를 참조하며, 이는 본 발명의 실시예들에 따른 예시적인 하전 입자 빔 검사 시스템(100)을 나타내는 개략적인 다이어그램이다. 도 1a에 나타낸 바와 같이, 하전 입자 빔 검사 시스템(100)은 주 챔버(10), 로드 락 챔버(20), 전자 빔 툴(40), 및 EFEM(equipment front end module: 30)을 포함한다. 전자 빔 툴(40)은 주 챔버(10) 내에 위치된다. 설명 및 도면들은 전자 빔에 관한 것이지만, 실시예들이 본 발명을 특정 하전 입자들로 제한하는 데 사용되지는 않는다는 것을 이해한다. 또한, 전자 빔 툴(40)은 단일 전자 빔을 이용하는 단일-빔 툴 또는 다수 전자 빔들을 이용하는 멀티-빔 툴일 수 있다는 것을 이해한다.
EFEM(30)은 제 1 로딩 포트(loading port: 30a) 및 제 2 로딩 포트(30b)를 포함한다. EFEM(30)은 추가적인 로딩 포트(들)를 포함할 수 있다. 예를 들어, 제 1 로딩 포트(30a) 및 제 2 로딩 포트(30b)는 검사될 웨이퍼들[예를 들어, 반도체 웨이퍼들 또는 다른 재료(들)로 만들어진 웨이퍼들] 또는 샘플들(이후, 웨이퍼 및 샘플은 집합적으로 "웨이퍼"라고 함)을 포함하는 웨이퍼 FOUP(front opening unified pod)들을 수용할 수 있다. EFEM(30) 내의 1 이상의 로봇 아암(robot arm)(예를 들어, 도 1b에 나타낸 로봇 아암)이 로드 락 챔버(20)로 웨이퍼들을 이송한다.
로드 락 챔버(20)는 챔버들 사이의 게이트 밸브[예를 들어, 도 1b의 게이트 밸브(26)]로 주 챔버(10)에 부착될 수 있다. 로드 락 챔버(20)는 1 이상의 웨이퍼를 유지할 수 있는 샘플 홀더(도시되지 않음)를 포함할 수 있다. 또한, 로드 락 챔버(20)는 주 챔버(10)로, 및 주 챔버(10)로부터 웨이퍼들을 이동시키는 기계적 전달 장치[예를 들어, 도 1b의 로봇 아암(12)]를 포함할 수 있다. 로드 락 챔버(20)는 대기압 미만의 제 1 압력에 도달하도록 로드 락 챔버(20) 내의 가스 분자들을 제거하는 로드 락 진공 펌프 시스템(도시되지 않음)에 연결될 수 있다. 제 1 압력에 도달한 후, (도 1b에 나타낸) 1 이상의 로봇 아암이 로드 락 챔버(20)로부터 주 챔버(10)로 웨이퍼를 이송한다. 주 챔버(10)는 제 1 압력 미만의 제 2 압력에 도달하도록 주 챔버(10) 내의 가스 분자들을 제거하는 주 챔버 진공 펌프 시스템(도시되지 않음)에 연결된다. 제 2 압력에 도달한 후, 웨이퍼는 전자 빔 툴(40)에 의해 검사를 거친다.
제어기(50)가 전자 빔 툴(40)에 전자적으로 연결된다. 제어기(50)는 하전 입자 빔 검사 시스템(100)의 다양한 제어들을 실행하도록 구성되는 컴퓨터일 수 있다. 제어기(50)는 도 1a에서 주 챔버(10), 로드 락 챔버(20), 및 EFEM(30)을 포함하는 구조의 외부에 있는 것으로 도시되지만, 제어기(109)가 구조의 일부일 수 있다는 것을 이해한다. 본 발명은 전자 빔 검사 툴을 하우징하는 주 챔버(10)의 예시들을 제공하지만, 본 발명의 실시형태들은 가장 넓은 의미에서 전자 빔 검사 툴을 하우징하는 챔버에 제한되지 않는다는 것을 유의하여야 한다. 오히려, 앞선 원리들은 제 2 압력 하에서 작동하는 다른 툴들에도 적용될 수 있다는 것을 이해한다.
이제 도 1b를 참조하며, 이는 본 발명의 실시예들에 따른 도 1a의 하전 입자 빔 검사 시스템(100)에서의 예시적인 웨이퍼 로딩 시퀀스를 나타내는 개략적인 다이어그램이다. 일부 실시예들에서, 하전 입자 빔 검사 시스템(100)은 EFEM(30)에 위치된 로봇 아암(11) 및 주 챔버(10)에 위치된 로봇 아암(12)을 포함할 수 있다. 일부 실시예들에서, EFEM(30)은 또한 웨이퍼를 로드 락 챔버(20)로 이송하기 전에 웨이퍼를 정확하게 위치시키도록 구성되는 사전-정렬기(60)를 포함할 수 있다.
일부 실시예들에서, 제 1 로딩 포트(30a) 및 제 2 로딩 포트(30b)가 예를 들어 웨이퍼들을 포함하는 웨이퍼 FOUP(front opening unified pod)들을 수용할 수 있다. EFEM(30) 내의 로봇 아암(11)이 로딩 포트들 중 어느 하나로부터 위치설정을 돕는 사전-정렬기(60)로 웨이퍼들을 이송할 수 있다. 사전-정렬기(60)는 웨이퍼들을 위치시키기 위해 기계적 또는 광학적 정렬 방법들을 사용할 수 있다. 사전-정렬 후, 로봇 아암(11)이 로드 락 챔버(20)로 웨이퍼들을 이송할 수 있다.
웨이퍼들이 로드 락 챔버(20)로 이송된 후, 로드 락 진공 펌프(도시되지 않음)가 대기압 아래의 제 1 압력에 도달하도록 로드 락 챔버(20) 내의 가스 분자를 제거할 수 있다. 제 1 압력에 도달한 후, 로봇 아암(12)이 로드 락 챔버(20)로부터 주 챔버(10) 내의 전자 빔 툴(40)의 웨이퍼 스테이지(80)로 웨이퍼를 이송할 수 있다. 주 챔버(10)는 주 챔버 진공 펌프 시스템(도시되지 않음)에 연결되고, 이는 제 1 압력 아래의 제 2 압력에 도달하도록 주 챔버(10) 내의 가스 분자를 제거한다. 제 2 압력에 도달한 후, 웨이퍼는 전자 빔 툴에 의해 검사될 수 있다.
일부 실시예들에서, 주 챔버(10)는 검사 전에 웨이퍼를 임시로 저장하도록 구성되는 파킹 스테이션(parking station: 70)을 포함할 수 있다. 예를 들어, 제 1 웨이퍼의 검사가 완료된 경우, 제 1 웨이퍼는 웨이퍼 스테이지(80)로부터 언로딩될 수 있으며, 그 후 로봇 아암(12)이 파킹 스테이션(70)으로부터 웨이퍼 스테이지(80)로 제 2 웨이퍼를 이송할 수 있다. 이후, 로봇 아암(12)이 로드 락 챔버(20)로부터 파킹 스테이션(70)으로 제 3 웨이퍼를 이송하여, 제 2 웨이퍼에 대한 검사가 완료될 때까지 임시로 제 3 웨이퍼를 저장할 수 있다.
이제 도 2를 참조하며, 이는 하전 입자 빔 검사 시스템에 대한 시간에 따른 웨이퍼 온도 변화를 나타내는 예시적인 그래프이다. 수직축은 온도 변화를 나타내고, 수평축은 시간의 흐름을 나타낸다. 그래프는 웨이퍼가 웨이퍼 로드 시퀀스의 다수 스테이지들을 통해 처리되는 동안 시간에 따라 웨이퍼 온도가 변한다는 것을 나타낸다. 도 2에 나타낸 예시적인 데이터에 따르면, 검사될 웨이퍼들을 포함한 FOUP가 제 1 로딩 포트(30a) 또는 제 2 로딩 포트(30b)에 로딩될 때, 웨이퍼의 온도는 대략 22.5 도이다.
웨이퍼가 로드 락 챔버로 이송된 후, 웨이퍼 온도는 로드 락 챔버가 진공으로 펌프다운될 때 거의 1 도가 급격히 떨어진다. 이러한 갑작스러운 온도 강하는 펌프-다운 효과라고 칭해질 수 있다. 후속하여, 웨이퍼가 웨이퍼 스테이지 상으로 이송되고 로딩될 때, 웨이퍼 및 웨이퍼 스테이지는 상이한 온도에 있을 수 있다. 예를 들어, 도 2의 그래프는 웨이퍼가 웨이퍼 스테이지에 로딩될 때(도 2에서 210으로 표시됨), 로드 락 챔버에 위치된 웨이퍼(도 2에서 220으로 표시됨)와 주 챔버에 위치된 웨이퍼 스테이지(도 2에서 230으로 표시됨) 사이에 대략 2.5 도의 온도 차가 존재할 수 있다는 것을 나타낸다. 이러한 상황들 하에서, 웨이퍼와 웨이퍼 스테이지 사이에 열 전달이 발생하여, 웨이퍼(또는 웨이퍼 스테이지)의 변형(예를 들어, 도 1c에 나타낸 열 팽창)을 유도할 수 있다. 웨이퍼 스테이지 또는 웨이퍼가 열 변형을 겪는 동안, 타겟 영역의 검사는 가능하지 않을 수 있거나 감소된 정확성을 가질 수 있다. 따라서, 더 정확한 검사를 수행하기 위해, 시스템은 검사가 시작될 수 있기 전에 웨이퍼 온도가 안정될 때까지 상당한 시간 동안 대기한다. 이 대기 시간은 검사 시스템의 스루풋을 감소시킨다.
더 빠른 온도 안정화를 위한 웨이퍼 스테이지의 일 예시는 그 전문이 인용참조되는 2018년 5월 28일에 출원된 PARTICLE BEAM APPARATUS라는 제목의 유럽 특허 출원 EP18174642.1호에서 찾아볼 수 있다. 이러한 긴 안정화 시간에 대처하는 또 다른 방식은 웨이퍼가 웨이퍼 스테이지 상으로 로딩되기 전에 웨이퍼 스테이지의 온도와 일치하도록 웨이퍼를 예열하거나 사전-냉각함으로써 웨이퍼 온도를 컨디셔닝하는 것이다. 이러한 실시예들에서, 컨디셔닝 단계는 이전 웨이퍼가 웨이퍼 스테이지에서 검사되는 동안에 수행될 수 있으며, 이에 따라 검사 시스템의 전체 스루풋은 웨이퍼가 웨이퍼 스테이지 상에 로딩된 후 컨디셔닝이 수행되는 시스템에 비해 증가될 수 있다.
일부 실시예들에서, 온도 컨디셔닝 기능은 로드 락 챔버에서 구현될 수 있으며, 이는 장래 유연성뿐 아니라 스루풋 개선을 제공할 수 있다. 웨이퍼의 온도 컨디셔닝이 로드 락 챔버에서 수행되는 경우, 파이프라인의 다음 웨이퍼는 이전 웨이퍼의 검사가 진행중인 동안에 로드 락 챔버로 로딩될 수 있다. 일부 예시들에서, 이 시퀀스에서, 웨이퍼를 컨디셔닝하기 위한 최대 가용 시간은 대략 5 내지 10 분이며, 이는 지금 범위 내에서 가장 짧은 사용자 사례를 갖는 웨이퍼의 최소 검사 시간에 대한 것이다. 그러므로, 로드 락 챔버에서 웨이퍼 온도 컨디셔닝을 수행하는 장점들 중 하나는 다음 웨이퍼의 컨디셔닝 및 현재 웨이퍼의 검사가 동시에 발생할 수 있기 때문에 웨이퍼 컨디셔닝 시간이 검사 시간 하에 숨겨질 수 있다는 것이다. 이는 입자 빔 검사 시스템의 전체 스루풋을 개선할 수 있다.
일부 실시예들에서, [예를 들어, 도 1b의 하전 입자 빔 검사 시스템(100)과 같은] 하전 입자 빔 검사 시스템은 개략(coarse) 온도 컨디셔너 및 미세(fine) 온도 컨디셔너를 포함할 수 있다. 예를 들어, [도 1b의 사전-정렬기(60)와 같은] 사전-정렬기가 개략 컨디셔너를 포함할 수 있는 한편, [로드 락 챔버(20)와 같은] 로드 락 챔버가 미세 컨디셔너를 포함한다. 개략 컨디셔너는 예를 들어 2 도 내지 500 mK의 개략 오프셋으로부터 웨이퍼를 컨디셔닝할 수 있는 한편, 미세 컨디셔너는 예를 들어 500 mK 내지 50 mK의 미세 오프셋으로부터 웨이퍼를 컨디셔닝할 수 있다.
이제 도 3a를 참조하며, 이는 본 발명의 실시예들에 따른 예시적인 로드 락 시스템(300a)을 나타낸다. 일부 실시예들에서, 로드 락 시스템(300a)은 웨이퍼(320)로 열을 전달하도록 구성되는 컨디셔닝 플레이트(315) 및 복수의 지지 구조체들(325)을 포함할 수 있다. 다른 실시예들에서, 컨디셔닝 플레이트(315)는 추가적으로 또는 대안적으로 웨이퍼(320)로부터 열을 전달하도록 구성될 수 있다. 컨디셔닝 플레이트(315)에 커플링된 지지 구조체들(325)은 웨이퍼(320)와 컨디셔닝 플레이트(315) 사이에 공간이 존재하도록 웨이퍼(320)를 지지할 수 있다. 웨이퍼(320)가 컨디셔닝 플레이트(315)에 더 가깝게 위치됨에 따라 더 효율적인 열 전달이 달성될 수 있는 것으로 이해되지만, 일부 실시예들에서, 로봇 아암이 웨이퍼(320)를 들어올리거나 이송하기 위한 공간을 제공하기 위해 웨이퍼(320)와 컨디셔닝 플레이트(315) 사이에 충분한 거리를 갖는 것이 바람직할 수 있다. 일부 실시예들에서, 웨이퍼(320)와 컨디셔닝 플레이트(315) 사이의 거리는 웨이퍼를 들어올리거나 이송함에 있어서 다양한 로봇 아암 크기들을 수용하는 공간을 제공하기 위해 1.5 mm 내지 10 mm의 범위 내에 있을 수 있다. 일부 실시예들에서, 웨이퍼(320)와 컨디셔닝 플레이트(315) 사이의 거리는 로봇 아암 수송을 위한 특수한 처리를 필요로 하지 않고 더 효율적인 열 전달을 제공하면서 소정 타입의 로봇 아암들을 수용하는 공간을 제공하기 위해 3 mm 내지 5 mm의 범위 내에 있을 수 있다. 일부 실시예들에서, 웨이퍼(320)를 들어올리는 특수한 메카니즘이 사용되어, 거리가 더 좁게 할 수 있다.
더욱이, 도 3a에는 2 개의 지지 구조체들(325)이 도시되어 있더라도, 시스템(300a)은 여하한 수의 지지 구조체들(325)을 포함할 수 있다는 것을 이해한다. 일부 실시예들에서, 웨이퍼(320)는 여하한의 능동 커플링 수단(예를 들어, 정전 클램핑) 없이 지지 구조체들(325)의 상부에 수동적으로 배치될 수 있다. 다른 실시예들에서, 웨이퍼(320)는 정전 클램핑과 같은 능동 유지 수단을 사용하여 지지 구조체들(325) 상에 유지될 수 있다.
로드 락 시스템(300a)은 도 1a의 로드 락 챔버(20)와 같은 로드 락 챔버(310)를 포함할 수 있다. 일부 실시예들에서, 로드 락 챔버(310)는 대기와 진공 사이에서 내부 압력을 변화시키도록 구성될 수 있다. 터보 펌프(도시되지 않음)와 같은 펌프가 로드 락 챔버(310)에 연결되어, 웨이퍼(320)의 온도를 컨디셔닝하기 위해 적절한 레벨로 진공 레벨을 유지할 수 있다. 펌프는 펌프가 로드 락 챔버(310)에 진공을 확립하기에 적절한 한 터보 펌프와 상이한 펌프 타입일 수 있다는 것을 이해한다.
일부 실시예들에서, 컨디셔닝 플레이트(315)는 컨디셔닝 플레이트(315)의 온도를 변화시키고, 차례로 웨이퍼(320)의 온도에 영향을 미치도록 구성되는 열 전달 요소(340)를 포함할 수 있다. 열 전달 요소(340)는 가열기/냉각기(360)에 커플링될 수 있다. 일부 실시예들에서, 가열기/냉각기(360)는 로드 락 챔버(310)의 외부에 배치될 수 있다. 다른 실시예들에서, 가열기/냉각기(360)는 로드 락 챔버(310)의 내부에 배치될 수 있다.
로드 락 시스템(300a)은 가열기/냉각기(360) 또는 열 전달 요소(340)를 조정하여 컨디셔닝 플레이트(315)의 온도를 변화시키고, 차례로 웨이퍼(320)의 온도에 영향을 미치도록 구성되는 제어기(350)를 더 포함할 수 있다. 일부 실시예들에서, 제어기(350)는 주 챔버(390) 내의 웨이퍼 스테이지(395)의 온도에 대한 스테이지-온도 데이터를 수신할 수 있다. 예를 들어, 일부 실시예들에서, 제어기(350)는 웨이퍼 스테이지(395)의 온도를 측정하도록 구성되는 온도 센서(396)로부터의 스테이지-온도 데이터를 전달하는 전기 신호를 수신할 수 있다. 이러한 실시예들에서, 제어기(350)는 웨이퍼 스테이지(395)의 온도에 대한 스테이지-온도 데이터에 기초하여 컨디셔닝 플레이트(315)의 온도를 조정하도록 가열기/냉각기(360)를 제어할 수 있다.
일부 실시예들에서, 제어기(350)는 가열기/냉각기(360)의 출력 온도에 관한 가열기-온도 데이터를 수신할 수 있다. 이러한 실시예들에서, 제어기(350)는 가열기-온도 데이터에 기초하여 컨디셔닝 플레이트(315)의 온도를 조정하도록 가열기/냉각기(360)를 제어할 수 있다. 예를 들어, 일부 실시예들에서, 가열기/냉각기(360)는 온수기(water heater) 또는 냉수기(water cooler)일 수 있다. 이러한 실시예들에서, 가열 또는 냉각된 물이 컨디셔닝 플레이트(315) 내의 열 전달 요소들(340)을 통해 흐르고, 제어기(350)가 가열기/냉각기(360)의 출력에서의 물의 온도에 관한 가열기-온도 데이터를 수신할 수 있다. 제어기(350)는 물 온도에 기초하여 가열기/냉각기(360)를 조정할 수 있다. 일부 실시예들에서, 제어기(350)는 물의 온도를 측정하도록 구성되는 온도 센서(365)로부터 가열기-온도 데이터를 전달하는 전기 신호를 수신할 수 있다. 일부 실시예들에서, 제어기(350)는 컨디셔닝 플레이트(315)의 온도를 조정하기 위해 스테이지-온도 데이터 및 가열기-온도 데이터를 둘 다 사용할 수 있다. 이러한 실시예들에서, 예를 들어 제어기(350)는 가열기 온도[예를 들어, 가열기/냉각기(360)의 출력에서의 물 온도]를 웨이퍼 스테이지(395)의 온도에 일치시키도록 가열기/냉각기(360)를 조정할 수 있다.
일부 실시예들에서, 제어기(350)는 추가적인 온도 센서들로 더 최적화될 수 있다. 예를 들어, 일부 실시예들에서, 시스템은 웨이퍼(320) 및 컨디셔닝 플레이트(315)의 온도를 측정하도록 구성되는 1 이상의 추가 센서를 포함할 수 있다.
일부 실시예들에서, 로드 락 시스템(300a)은 가스 공급기로부터 로드 락 챔버(310)로 가스(338)를 공급하는 1 이상의 가스 벤트[예를 들어, 가스 벤트(330 또는 335)]를 포함할 수 있다. 이러한 실시예들에서, 가스(338)는 웨이퍼(320)와 컨디셔닝 플레이트(315) 사이의 열 전도를 증가시켜, 웨이퍼(320)가 안정된 온도에 도달하는 시간을 감소시킬 수 있다. 예를 들어, 웨이퍼(320)와 컨디셔닝 플레이트(315) 사이의 열 전달은 복사 및 가스(338)에 의해 생성될 수 있다. 가스(338)는 질소, 헬륨, 수소, 아르곤, CO2 또는 압축된 건조 공기일 수 있다. 가스(338)는 열 전달에 적절한 여하한의 다른 가스일 수 있다는 것을 이해한다. 가스 공급기와 로드 락 챔버(310) 사이에는 밸브들(370 및 375)이 위치될 수 있다. 가스 벤트들(330 및 335)이 가스 공급기로부터 벤트들(330 및 335)로 이어지는 가스관들을 통해 가스 공급기에 연결될 수 있으며, 이는 웨이퍼(320)와 컨디셔닝 플레이트(315) 사이에 가스를 제공하기 위해 로드 락 챔버(310)로 개방될 수 있다. 일부 실시예들에서, 가스 벤트들(330 및 335)은 로드 락 챔버가 진공 레벨로 펌프다운된 후에 개방될 수 있다. 일부 실시예들에서, 가스(338)가 로드 락 챔버(310) 내로 공급되는 한편, 로드 락 진공 펌프(예를 들어, 터보 펌프)는 가스(338) 분자의 일부를 연속적으로 제거하고 웨이퍼 컨디셔닝 프로세스 동안 진공 레벨을 유지할 수 있다.
도 3f에 나타낸 바와 같이, 가스 압력이 증가하는 경우에 열 전달의 효율이 증가한다. 하지만, 가스 압력이 소정 레벨, 예를 들어 도 3f에서 100 Pa 이상에 접근하는 경우에 효율은 그다지 개선되지 않을 수 있다. 그러므로, 일부 실시예들에서, 웨이퍼(320)와 컨디셔닝 플레이트(315) 사이의 공간에서의 가스 압력은 가스 압력 레벨을 충분히 낮게 유지하면서 효율적인 열 전달을 제공하기 위해 웨이퍼(320)의 컨디셔닝 동안 50 Pa 내지 5,000 Pa의 범위 내에 있을 수 있다. 일부 실시예들에서, 가스 압력은 진공에 가깝게 가스 압력을 유지하면서 열 전달 효율 사이의 밸런스를 제공하기 위해 웨이퍼(320)의 컨디셔닝 동안 100 Pa 내지 1,000 Pa의 범위 내에 있을 수 있다.
일부 실시예들에서, 가스(338)가 온도 컨디셔닝되어 가스 분자 자체가 웨이퍼(320)에 열 전달을 제공할 수 있도록 할 수 있다. 예를 들어, 가스 공급기, 가스 밸브들(370 및 375), 또는 로드 락 시스템(300a)의 여하한의 다른 부분은 챔버(310)에 가스(338)를 제공하기 전에 가스(338)의 온도를 사전 컨디셔닝하는 가열기를 포함할 수 있다.
일부 실시예들에서, 1 이상의 가스 벤트(330 및 335)는 도 3a에 나타낸 바와 같이 로드 락 챔버(310)에 포함될 수 있다. 도 3b에 나타낸 로드 락 시스템(300b)과 같은 다른 실시예들에서, 가스 벤트들 중 적어도 하나[예를 들어, 도 3b의 가스 벤트(330)]가 컨디셔닝 플레이트(315)에 포함되고, 웨이퍼(320)와 컨디셔닝 플레이트(315) 사이의 공간에 직접 가스(338)를 제공할 수 있다. 예를 들어, 이러한 실시예들에서, 가스 벤트(330)는 컨디셔닝 플레이트(315)에 포함되고 웨이퍼(320)의 중심에 또는 그 근처에 위치될 수 있다. 가스 벤트들은 로드 락 챔버(310) 내의 컨디셔닝 플레이트(315)와 웨이퍼(320) 사이의 공간에 가스(338)를 제공하기에 적절한 한 여하한의 다른 장소들에 위치될 수 있다는 것을 이해한다. 또한, 로드 락 시스템(300a 및 300b)은 여하한 수의 가스 벤트들을 포함할 수 있다는 것을 이해한다. 일부 실시예들에서, 제어기(350)는 로드 락 챔버(310)로의 가스 유량을 변화시키기 위해 가스 벤트들(330 또는 335)을 조정하도록 구성될 수 있다.
도 3c는 로드 락 시스템에서의 웨이퍼 온도 컨디셔닝 동안 시간에 따른 웨이퍼 온도 변화를 나타내는 예시적인 그래프를 나타낸다. 열이 웨이퍼로 전달됨에 따라, 웨이퍼의 온도(Twafer)는 점차적으로 웨이퍼 스테이지의 온도(Twafer stage)에 접근한다. 컨디셔닝 프로세스는 웨이퍼 온도가 안정된 온도(Tstable)에 도달할 때 완료될 수 있다. 일부 실시예들에서, Tstable은 웨이퍼 스테이지의 온도와 동일할 수 있다. 다른 실시예들에서, Tstable은 효율적인 스루풋 개선을 제공하기 위해 웨이퍼 스테이지 온도보다 약 100 mK 낮은 지점(Twafer stage - 100 mK)으로 설정될 수 있다. 일부 실시예들에서, Tstable은 대략 22 ℃에서의 설정점일 수 있다. 다른 예시들에서, Tstable은 20 내지 28 ℃의 범위 내의 설정점일 수 있다.
일부 실시예들에서, 도 6c에 나타낸 바와 같이, Twafer가 Tstable 근처에 근접하는 경우, 컨디셔닝 플레이트 온도가 점차 감소되어 웨이퍼 온도의 오버슈트(overshoot)를 방지할 수 있도록 [도 3a의 제어기(350)와 같은] 제어기가 [도 3a의 가열기/냉각기(360)와 같은] 가열기를 조정할 수 있다.
웨이퍼(320)가 Tstable에 도달한 후, 컨디셔닝 단계가 완료되고, 그 후에 [도 3a의 가스 벤트들(330 및 335)과 같은] 가스 벤트들을 통한 가스 흐름이 중지될 수 있다. 일부 실시예들에서, 가스 흐름을 중지시킨 후, 로드 락 진공 펌프는 [도 3a의 로드 락 챔버(310)와 같은] 로드 락 챔버 내의 압력이 [도 3a의 주 챔버(390)와 같은] 주 챔버 내의 압력 또는 그 근처에 있게 될 때까지 계속해서 작동할 수 있다. 로드 락 챔버 내부의 압력이 이미 진공(예를 들어, 10 내지 10,000 Pa)에 가깝게 유지되었을 수 있기 때문에, 로드 락 챔버와 주 챔버 사이의 압력 차는 비교적 작을 수 있다. 일부 실시예들에서, [도 3a의 가열기/냉각기(360)와 같은] 가열기는 컨디셔닝 플레이트로부터의 잔류 복사가 펌프다운 동안 웨이퍼의 온도를 유지하는 데 도움을 줄 수 있도록 컨디셔닝 플레이트의 온도를 유지할 수 있다.
로드 락 챔버 내의 가스 압력이 주 챔버 내의 압력에 또는 그 근처에 도달한 경우, 일부 실시예들에서, 웨이퍼는 검사를 위해 [도 3a의 웨이퍼 스테이지(395)와 같은] 웨이퍼 스테이지로 이송될 수 있다. 웨이퍼의 온도가 웨이퍼 스테이지의 온도 또는 그 근처에 있을 수 있기 때문에, 검사는 최소 대기 시간으로 시작할 수 있다. 다른 실시예들에서, 웨이퍼는 [도 1b의 파킹 스테이션(70)과 같은] 파킹 스테이션으로 이송될 수 있고, 이전 웨이퍼의 진행중인 검사가 완료될 때까지 임시로 저장될 수 있다.
이제 도 3d를 참조하며, 이는 본 발명의 실시예들에 따른 또 다른 예시적인 로드 락 시스템(300d)을 나타낸다. 일부 실시예들에서, 로드 락 시스템(300d)은 웨이퍼(320)에 열을 전달하도록 구성되는 컨디셔닝 플레이트(315) 및 복수의 지지 구조체들(325)을 포함할 수 있다. 일부 실시예들에서, 컨디셔닝 플레이트(315)는 열 전달 요소(340)를 포함할 수 있다.
일부 실시예들에서, 도 3d에 나타낸 바와 같이, 컨디셔닝 플레이트(315)는 웨이퍼(320) 위에 위치될 수 있다. 이러한 실시예들에서, 웨이퍼(320)는 지지 플레이트(319)에 커플링된 지지 구조체들(325)에 의해 지지된다. 웨이퍼(320)가 컨디셔닝 플레이트(315)에 더 가깝게 위치됨에 따라 더 효율적인 열 전달이 달성될 수 있는 것으로 이해되지만, 일부 실시예들에서, 로봇 아암이 웨이퍼(320)를 들어올리거나 이송하기 위한 공간을 제공하기 위해 웨이퍼(320)와 컨디셔닝 플레이트(315) 사이에 충분한 거리를 갖는 것이 바람직할 수 있다. 하지만, 도 3d에 나타낸 구성에서는, 컨디셔닝 플레이트(315)가 웨이퍼(320) 위에 위치되기 때문에, 컨디셔닝 플레이트(315)가 웨이퍼(320)에 훨씬 더 가깝게 배치될 수 있다. 일부 실시예들에서, 거리는 웨이퍼(320)와 컨디셔닝 플레이트(315) 사이에서 대략 1 mm로 감소될 수 있다.
일부 실시예들에서, 로드 락 시스템(300d)은 웨이퍼(320)와 컨디셔닝 플레이트(315) 사이의 공간에 가스(338)를 제공하기 위해 가스 벤트들(330 및 335)을 포함할 수 있다. 일부 실시예들에서, 적어도 하나의 가스 벤트가 컨디셔닝 플레이트(315)에 포함되어 공간에 가스(338)를 제공할 수 있다. 가스 벤트들(330 또는 335)은 로드 락 챔버(310)에서 웨이퍼(320)와 컨디셔닝 플레이트(315) 사이의 공간으로 가스(338)를 제공하기에 적절한 한, 로드 락 시스템(300d)의 다른 장소에 위치될 수 있다는 것을 이해한다. 또한, 로드 락 시스템(300d)은 여하한 수의 가스 벤트들을 포함할 수 있다는 것을 이해한다.
이제 도 3e를 참조하며, 이는 본 발명의 실시예들에 따른 또 다른 예시적인 로드 락 시스템(300e)을 나타낸다. 로드 락 시스템(300e)은 여러 방향으로부터 웨이퍼(320)로 열을 전달하도록 구성되는 복수의 컨디셔닝 플레이트들을 포함할 수 있다. 예를 들어, 로드 락 시스템(300e)은 열을 하향으로 전달하도록 구성되는 상부 컨디셔닝 플레이트(317) 및 열을 상향으로 전달하도록 구성되는 하부 컨디셔닝 플레이트(318)를 포함할 수 있다. 일부 실시예들에서, 상부 컨디셔닝 플레이트(317)가 열 전달 요소(340)를 포함할 수 있다. 일부 실시예들에서, 하부 컨디셔닝 플레이트가 열 전달 요소(340)를 포함할 수 있다. 하부 컨디셔닝 플레이트(318)는 웨이퍼(320)를 지지하도록 구성되는 지지 구조체들(325)에 커플링될 수 있다. 로드 락 시스템(300e)은 웨이퍼(320)와 컨디셔닝 플레이트들(317 및 318) 사이의 공간에 가스(338)를 제공하기 위해 가스 벤트들(330 및 335)을 포함할 수 있다. 일부 실시예들에서, 적어도 하나의 가스 벤트가 상부 컨디셔닝 플레이트(317)에 포함될 수 있다. 일부 실시예들에서, 적어도 하나의 가스 벤트가 하부 컨디셔닝 플레이트(318)에 포함될 수 있다.
이제 도 4를 참조하며, 이는 본 발명의 실시예들에 따른 EFEM(equipment front end module)의 예시적인 사전-정렬기의 개략적인 다이어그램이다. 일부 실시예들에서, 사전-정렬기는 웨이퍼(420)를 지지하도록 구성되는 1 이상의 지지 구조체(425) 및 1 이상의 에어 벤트(440)로부터의 가열된 압축 공기를 통해 열을 전달하도록 구성되는 컨디셔닝 플레이트(415)를 포함할 수 있다. 일부 실시예들에서, 컨디셔닝 플레이트(415)는 공기를 제거하도록 구성되는 1 이상의 진공 채널(450)을 더 포함한다. 이러한 실시예들에서, 웨이퍼(420)와 컨디셔닝 플레이트(415) 사이의 열 전달은 주로 1 이상의 에어 벤트(440)를 통해 제공되는 온도 컨디셔닝된 압축 공기를 통한 대류에 의해 생성될 수 있다. 웨이퍼 컨디셔닝이 온도 컨디셔닝된 압축 공기의 강제 대류를 통해 수행되기 때문에, 열이 웨이퍼(420)로 또는 웨이퍼(420)로부터 효율적으로 전달되고, 이에 따라 웨이퍼 온도는 안정된 온도로 빠르게 안정될 수 있다.
이제 도 5를 참조하며, 이는 본 발명의 실시예들에 따른 웨이퍼 컨디셔닝 시스템(500)의 예시적인 구성을 나타내는 개략적인 다이어그램을 나타낸다. 일부 실시예들에서, 웨이퍼 컨디셔닝 시스템(500)은 웨이퍼(520)에 열을 전달하도록 구성되는 컨디셔닝 플레이트(515) 및 복수의 지지 구조체들(525)을 포함할 수 있다. 컨디셔닝 플레이트(515)에 커플링된 지지 구조체들(525)이 웨이퍼(520)를 지지하고 웨이퍼(520)에 열을 전도할 수 있다. 지지 구조체들(525)은 지지 및 열 전도에 적절한 여하한의 형상일 수 있다는 것을 이해한다. 일부 실시예들에서, 컨디셔닝 플레이트(515)는 컨디셔닝 플레이트(515)의 온도를 변화시키고, 차례로 웨이퍼(520)의 온도에 영향을 미치도록 구성되는 열 전달 요소(540)를 포함할 수 있다. 열 전달 요소(540)는 가열기(560)에 커플링될 수 있다. 일부 실시예들에서, 가열기(560)는 진공 챔버(510)의 외부에 배치될 수 있다. 다른 실시예들에서, 가열기(560)는 진공 챔버(510)의 내부에 배치될 수 있다.
일부 실시예들에서, 컨디셔닝 플레이트(515)는 정전기 클램프(570)를 더 포함할 수 있다. 정전기 클램프(570)는 전하를 통해 컨디셔닝 플레이트(515)에 웨이퍼(520)를 유지할 수 있다. 파워 소스(도시되지 않음)가 정전기 클램프(570)에 웨이퍼(520)를 연결하는 전하를 제공한다. 예를 들어, 정전기 클램프(570)는 컨디셔닝 플레이트(515)의 일부이거나, 그 안에 포함될 수 있다. 다른 예시들에서, 정전기 클램프(570)는 컨디셔닝 플레이트(515)와 별개일 수 있다. 일부 실시예들에서, 컨디셔닝 플레이트(515)는 웨이퍼(520)를 이송하는 로봇 아암(도시되지 않음)을 수용하기 위해 웨이퍼(520)를 들어올리도록 구성되는 리프팅 구조체들(526)을 포함할 수 있다.
일부 실시예들에서, 진공 챔버(510)는 진공 챔버(510)의 온도를 변화시키도록 구성되는 열 전달 요소(545)를 포함할 수 있다. 이러한 실시예들에서, 열은 (도 5에 예시된 바와 같이) 복사를 통해 진공 챔버(510)의 내부 표면들로부터 웨이퍼(520)로 전달될 수 있다. 진공 챔버(510)는 도 1b의 로드 락 챔버(20), 도 1b의 파킹 스테이션(70)의 일부, 또는 도 1b의 주 챔버(10)일 수 있다.
이제 도 6a를 참조하며, 이는 본 발명의 실시예들에 따른 웨이퍼 컨디셔닝 시스템(600)의 또 다른 예시적인 구성을 나타내는 개략적인 다이어그램을 나타낸다. 시스템(600)은 진공 챔버(610) 및 웨이퍼(620)를 지지하도록 구성되는 1 이상의 지지 구조체들(625)을 포함할 수 있다. 일부 실시예들에서, 웨이퍼 컨디셔닝 시스템(600)은 다수 방향으로부터의 복사를 통해 웨이퍼(620)로 열을 전달하도록 구성되는 1 이상의 가열 디바이스를 포함할 수 있다. 예를 들어, 도 6a에 나타낸 바와 같이, 시스템(600)은 상부 가열 디바이스(617) 및 하부 가열 디바이스(618)를 포함할 수 있다.
일부 실시예들에서, 가열 디바이스(617 또는 618)는 웨이퍼(620)에 열을 방출하도록 구성되는 컨디셔닝 플레이트, 1 이상의 튜브, 또는 1 이상의 코일일 수 있다. 일부 실시예들에서, 시스템(600)은 단일 가열 디바이스를 포함할 수 있으며, 이는 웨이퍼(620)의 위 또는 아래에 위치될 수 있다. 일부 실시예들에서, 시스템(600)은 웨이퍼(620)에 대해 위치되는 상부 가열 디바이스(617) 및 하부 가열 디바이스(618)를 포함할 수 있다. 일부 실시예들에서, 시스템(600)은 3 이상의 가열 디바이스들을 포함할 수 있다. 일부 실시예들에서, 시스템(600)은 가열 디바이스(617 또는 618)에 열을 제공하도록 구성되는 가열기(660)를 포함할 수 있다. 일부 실시예들에서, 가열기(660)는 온수기 또는 가열 디바이스들(617 또는 618)에 열을 제공할 수 있는 여하한의 다른 타입의 가열기일 수 있다.
일부 실시예들에서, 지지 구조체(625)는 웨이퍼(620)의 온도를 측정하도록 구성되는 온도 센서(627)를 포함할 수 있다. 온도 센서(627)는 서모커플(TC), NTC 서미스터, PTC 서미스터, 저항 온도계, 적외선 온도계, 또는 웨이퍼(620)의 온도를 측정하는 데 적절한 여하한의 다른 디바이스들을 포함할 수 있다. 예를 들어, 도 6b에 나타낸 바와 같이, 지지 구조체(625)는 웨이퍼(620)의 온도를 측정하도록 구성되는 서모커플을 포함할 수 있다. 웨이퍼의 온도를 측정할 수 있기 위해, 지지 구조체(625)는 웨이퍼(620)와 접촉하도록 서모커플을 미는 스프링-유사 구조체를 포함할 수 있다. 일부 실시예들에서, 서모커플 및 스프링-유사 구조체는 지지 구조체(625)에 의해 둘러싸일 수 있다.
시스템(600)이 진공 챔버(610)에서 작동하기 때문에, 웨이퍼 온도의 측정을 위한 웨이퍼로부터 서모커플로의 열 전달은 전도 및 복사를 통해 이루어질 수 있다. 일부 실시예들에서, 웨이퍼(620)의 온도를 더 정확하게 측정하기 위해, 서모커플로의 열 복사를 최소화하는 것이 바람직할 수 있다. 따라서, 웨이퍼(620)와 접촉하는 표면을 제외한 서모커플의 표면들은 열을 전달하지 않는 재료로 만들어진 1 이상의 구조체에 의해 덮여, 서모커플이 웨이퍼(620)로부터 전도를 통해 열을 수용할 수 있도록 할 수 있다. 일부 실시예들에서, 지지 구조체(625)는 열 전달을 방지하는 재료로 만들어질 수 있다. 일부 실시예들에서, 시스템(600)은 웨이퍼(620)의 다수 부분들로부터 온도 정보를 수집하기 위해 다수의 서모커플들을 포함할 수 있다. 이러한 실시예들에서, [도 6d에 나타낸 제어기(650)와 같은] 제어기가 웨이퍼(620)의 온도 분포 특성을 결정할 수 있다.
이제 도 6c를 참조하며, 이는 컨디셔닝 프로세스 동안 온도 변화들을 나타내는 예시적인 그래프이다. 웨이퍼 컨디셔닝 시스템은 웨이퍼 컨디셔닝이 진행중인 동안에 가열 디바이스들의 온도를 즉시(on the fly) 변화시키는 제어 메카니즘을 포함할 수 있다. 또한, 일부 실시예들에서, 웨이퍼 컨디셔닝 시스템은 시스템의 다양한 부분의 온도들을 측정하도록 구성되는 1 이상의 온도 센서를 포함할 수 있다. 일부 실시예들에서, 웨이퍼 컨디셔닝 시스템은 웨이퍼 자체의 온도를 측정하도록 구성되는 1 이상의 온도 센서를 포함할 수 있다. 도 6c는 이러한 실시예들의 일 예시에서의 시간에 따른 온도 변화를 나타낸다. 이러한 실시예들에서, 가열 디바이스들의 [원하는 안정된 온도(Tstable)보다 훨씬 더 높은] 고온들로 컨디셔닝 프로세스를 시작한 후, Twafer가 Tstable에 접근함에 따라 온도들을 점차적으로 원하는 안정된 온도로 낮추는 것이 가능하다. 일부 실시예들에서, 이 프로세스는 센서들로부터의 온도 정보에 의해 더 최적화될 수 있다. 도 6c에 나타낸 바와 같이, 이러한 방식으로 온도를 제어하는 것이 컨디셔닝 시간을 크게 감소시킬 수 있다.
이제 도 6d를 참조하며, 이는 본 발명의 실시예들에 따른 웨이퍼 컨디셔닝 시스템의 예시적인 제어 회로를 나타내는 개략적인 다이어그램이다. 일부 실시예들에서, 도 6a의 시스템(600)과 같은 웨이퍼 컨디셔닝 시스템이 제어기 및 시스템의 다양한 부분들을 측정하도록 구성되는 1 이상의 온도 센서를 포함할 수 있다. 일부 실시예들에서, 웨이퍼 컨디셔닝 시스템은 웨이퍼의 온도를 측정하도록 구성되는 1 이상의 온도 센서를 포함할 수 있다. 예를 들어, 제어기(650)는 [도 1a의 EFEM(30)과 같은] EFEM의 온도 센서(696)로부터 유입 웨이퍼의 온도에 관한 온도 데이터를 수신할 수 있다. 제어기(650)는 온도 센서(627)로부터 웨이퍼의 온도에 관한 웨이퍼 온도 데이터를 수신할 수 있다. 제어기(650)는 온도 센서(665)로부터 가열기(660)의 출력(예를 들어, 온수기의 출력에서의 물)의 온도에 관한 가열기 온도 데이터를 수신할 수 있다. 일부 실시예들에서, 제어기(650)는 센서들(696, 627 및 665)로부터의 온도 데이터 중 적어도 하나에 기초하여 가열기(660)를 제어할 수 있다. 예를 들어, 가열기(660)는 물로 열을 전달하도록 구성되는 전기 온수기를 포함할 수 있다. 온도 피드백으로, 제어기(650)는 가열기(660)에 공급되는 전류를 조정하여, 열 전달 요소들[예를 들어, 도 6a의 가열 디바이스들(617 또는 618)]의 온도 변화를 유도할 수 있다. 일부 실시예들에서, 제어기(650)는 웨이퍼의 타입 또는 조건에 기초하여 캘리브레이션될 수 있다.
제어 메카니즘이 기능을 설명하기 위해 도 6a의 시스템(600)과 관련하여 설명되더라도, 동일한 제어 메커니즘이 본 발명에 나타낸 웨이퍼 컨디셔닝 시스템의 실시예들 중 어느 하나에 적용될 수 있다는 것을 이해한다.
이제 도 7을 참조하며, 이는 본 발명의 실시예들에 따른 웨이퍼 온도를 컨디셔닝하는 예시적인 방법을 나타내는 흐름도이다. 상기 방법은 e-빔 시스템[예를 들어, 도 1a의 하전 입자 빔 검사 시스템(100)]의 로드 락 시스템[예를 들어, 도 3a 내지 도 3e의 로드 락 시스템들(300a, 300b, 300d, 및 300e)]에 의해 수행될 수 있다.
단계 710에서, 웨이퍼가 로봇 아암에 의해 로드 락 챔버로 컨디셔닝 플레이트에 대해 로딩된다. 일부 실시예들에서, 웨이퍼는 컨디셔닝 플레이트 위에 배치될 수 있다. 다른 실시예들에서, 웨이퍼는 컨디셔닝 플레이트 아래에 배치될 수 있다. 일부 실시예들에서, 웨이퍼는 2 개의 컨디셔닝 플레이트들 사이에 배치될 수 있다.
단계 720에서, 웨이퍼가 로드 락 챔버[예를 들어, 도 1a의 로드 락 챔버(20)]로 로딩된 후, 제어기[예를 들어, 도 1a의 제어기(50)]가 진공 펌프로 하여금 로드 락 챔버로부터 공기를 제거할 수 있게 한다.
단계 730에서, 웨이퍼 스테이지[예를 들어, 도 3a의 웨이퍼 스테이지(395)]의 온도가 결정되고 제어기에 제공된다.
단계 740에서, 가스 공급기(예를 들어, 도 3a의 가스 공급기)가 컨디셔닝 플레이트와 웨이퍼 사이의 열 전달을 위해 로드 락 챔버에 가스를 제공한다. 가스는 더 효율적인 열 전달을 제공하기 위해 웨이퍼 스테이지의 측정된 온도와 일치하도록 온도 컨디셔닝될 수 있다.
단계 750에서, 제어기는 웨이퍼 스테이지 온도 데이터를 수신하고, 웨이퍼 스테이지의 결정된 온도에 기초하여 가열 온도를 조정한다.
단계 760에서, 웨이퍼 컨디셔닝이 완료된 후, 웨이퍼 컨디셔닝 시스템은 로드 락 챔버로부터 주 챔버[예를 들어, 도 3a의 주 챔버(390)] 또는 파킹 스테이션[예를 들어, 도 3b의 파킹 스테이션(70)]으로 컨디셔닝된 웨이퍼를 이송한다. 일부 실시예들에서, 온도 센서가 웨이퍼의 온도를 측정하기 위해 존재하는 경우, 제어기는 웨이퍼 온도를 모니터링하고, 웨이퍼 컨디셔닝이 완료되었는지 여부를 결정할 수 있다.
웨이퍼 컨디셔닝 시스템의 제어기는 앞서 설명된 기능을 제어하기 위해 소프트웨어를 사용할 수 있다는 것을 이해한다. 예를 들어, 제어기는 열 전달 요소들의 온도를 변화시키도록 앞서 언급된 가열기에 명령어들을 전송할 수 있다. 또한, 제어기는 가열기에 대한 입력 전압 또는 전류를 조정하는 명령어들을 전송할 수 있다. 소프트웨어는 비-일시적 컴퓨터 판독가능한 매체에 저장될 수 있다. 비-일시적 매체의 보편적인 형태들은, 예를 들어 플로피 디스크, 플렉시블 디스크(flexible disk), 하드 디스크, 솔리드 스테이트 드라이브(solid state drive), 자기 테이프, 또는 여하한의 다른 자기 데이터 저장 매체, CD-ROM, 여하한의 다른 광학 데이터 저장 매체, 홀들의 패턴들을 갖는 여하한의 물리적 매체, RAM, PROM, 및 EPROM, 클라우드 저장소, FLASH-EPROM 또는 여하한의 다른 플래시 메모리, NVRAM, 캐시, 레지스터, 여하한의 다른 메모리 칩 또는 카트리지, 및 이의 네트워크 버전(networked version)들을 포함한다.
이제 도 8a 및 도 8b를 참조하며, 이는 본 발명의 실시예들에 따른 진공 펌프 시스템을 갖는 예시적인 하전 입자 빔 검사 시스템(800)을 나타내는 개략적인 다이어그램을 나타낸다. 일부 실시예들에서, 하전 입자 빔 검사 시스템(800)은 주 챔버(890) 및 로드 락 챔버(810)를 포함할 수 있다. 일부 실시예들에서, 시스템(800)은 가스 공급기(811), 가스 벤트 밸브(812), 및 로드 락 챔버(810)에 연결되는 가스 벤트 디퓨저(813)를 포함할 수 있다. 가스 공급기(811)는 웨이퍼[예를 들어, 도 3a의 웨이퍼(320)]와 컨디셔닝 플레이트[예를 들어, 도 3a의 컨디셔닝 플레이트(315)] 사이의 열 전도도를 증가시키기 위해 웨이퍼 컨디셔닝 프로세스 동안 로드 락 챔버(810)에 가스[예를 들어, 도 3a의 가스(338)]를 제공할 수 있다. 가스는 질소, 헬륨, 수소, 아르곤, CO2 또는 압축된 건조 공기일 수 있다. 가스는 열 전달에 적절한 여하한의 다른 가스일 수 있다는 것을 이해한다.
일부 실시예들에서, 로드 락 챔버(810)의 진공화는 2 개의 개별 경로들을 통해 두 스테이지에 걸쳐 수행될 수 있다. 이러한 제 1 경로는 러핑 경로(roughing path)라고 하며, 로드 락 러핑 라인(816) 및 로드 락 러핑 밸브(853)를 포함할 수 있다. 러핑 스테이지 동안, 로드 락 챔버(810)는 대기 상태로부터 "대강의(rough)" 진공 레벨(예를 들어, 5 x 10-1 Torr)로 펌프다운된다. 제 1 스테이지에서, 로드 락 러핑 밸브(853)는 처음에 로드 락 러핑 라인(816)을 통해 로드 락 챔버(810)를 펌프다운하도록 개방되는 한편, 다른 경로는 폐쇄된다.
제 2 경로는 터보 펌핑 경로라고 하며, 로드 락 터보 밸브(814), 로드 락 터보 펌프(815), 로드 락 터보 펌핑 라인(817) 및 로드 락 터보 펌프 배킹 밸브(851)를 포함할 수 있다. 로드 락 챔버(810)의 러핑이 완료된 후, 로드 락 터보 펌프(815)가 인계하여 로드 락 챔버(810)를 더 깊은 진공 레벨(예를 들어, 1.5 x 10-6 Torr 미만)로 펌핑한다. 이 제 2 스테이지에서, 먼저 로드 락 러핑 밸브(853)가 폐쇄된다. 그 후, 로드 락 터보 밸브(814) 및 로드 락 터보 펌프 배킹 밸브(851)가 개방되어, 로드 락 터보 펌프(815)가 로드 락 챔버(810)를 펌프다운하도록 한다.
주 챔버(890)가 유사한 방식으로 진공화될 수 있다. 먼저, 주 챔버(890)는 [주 챔버 러핑 라인(896) 및 주 챔버 러핑 밸브(854)를 포함하는] 주 챔버 러핑 경로를 통해 대기 상태로부터 "대강의" 진공 레벨(예를 들어, 5 x 10-1 Torr)로 펌프다운된다. 러핑 스테이지가 완료된 후, 주 챔버 터보 펌프(895)가 인계하여 [주 챔버 터보 밸브(894), 주 챔버 터보 펌프(895), 주 챔버 터보 펌핑 라인(897) 및 주 챔버 터보 펌프 배킹 밸브(852)를 포함하는] 주 챔버 터보 펌핑 경로를 통해 더 깊은 진공 레벨(예를 들어, 1.5 x 10-6 Torr 미만)로 더 펌프다운한다. 일부 실시예들에서, 주 챔버 터보 펌프(895)는 웨이퍼 검사가 완료될 때까지 계속해서 작동할 수 있다.
도 8a는 로드 락 챔버(810)를 위한 하나의 러핑 경로 및 하나의 터보 펌핑 경로를 갖는 시스템(800)을 도시하지만, 시스템은 로드 락 챔버(810)의 진공을 위해 여하한 수의 러핑 경로들 및 터보 펌핑 경로들을 이용할 수 있다는 것을 이해한다. 예를 들어, 시스템(800)은 로드 락 챔버(810)에 병렬로 연결된 2 이상의 러핑 경로들을 가질 수 있다. 러핑 경로들의 수와는 별개로, 시스템(800)은 로드 락 챔버(810)에 병렬로 연결된 2 이상의 터보 펌프들을 가질 수 있다. 유사하게, 시스템은 주 챔버(890)를 펌프다운하기 위해 여하한 수의 러핑 경로 및 터보 펌핑 경로를 이용할 수 있다는 것을 이해한다.
일부 실시예들에서, 시스템(800)은 모든 러핑 라인들[예를 들어, 로드 락 러핑 라인(816) 및 주 챔버 러핑 라인(896)] 및 모든 펌핑 라인들[예를 들어, 로드 락 터보 펌핑 라인(817) 및 주 챔버 터보 펌핑 라인(897)]이 병합되는 중앙 매니폴드 박스(central manifold box: 850)를 포함할 수 있다. 중앙 매니폴드 박스(850)는 진공화 과정을 제어하기 위해 다수의 밸브들을 하우징할 수 있다. 예를 들어, 중앙 매니폴드 박스(850)는 로드 락 러핑 밸브(853), 주 챔버 러핑 밸브(854), 로드 락 터보 펌프 배킹 밸브(851), 및 주 챔버 터보 펌프 배킹 밸브(852)를 포함할 수 있다. 이러한 개별적인 밸브들 이후, 모든 라인들이 포어라인(foreline: 858)에 병합된다. 건식 진공 펌프(860)를 통한 최종 배기는 건식 진공 펌프(860) 전에 위치될 수 있는 포어라인 밸브(859)에 의해 제어된다.
도 3a에 대해 앞선 섹션들에서 설명된 바와 같이, 일부 실시예들에서, 웨이퍼 온도 컨디셔닝 프로세스 동안 로드 락 챔버(810)가 러핑 라인(816) 또는 터보 펌프(815)를 통해 연속적으로 펌프다운되어, 가스 분자들 중 일부[예를 들어, 도 3a의 가스(338)]를 연속적으로 제거하고 웨이퍼 컨디셔닝이 완료될 때까지 로드 락 챔버(810)의 진공 레벨을 유지한다.
도 8b에 예시된 바와 같이, 일부 실시예들에서, 로드 락 챔버(810)의 이러한 연속적인 펌프다운이 공유된 포어라인[예를 들어, 포어라인(858)]에서 일시적인 압력 점프를 도입하여 주 챔버(890)의 검사 프로세스가 중단되도록 할 수 있다. 예를 들어, 이전 섹션들에서 설명된 바와 같이, 웨이퍼 온도 컨디셔닝 프로세스는 이전 웨이퍼가 주 챔버(890)에서 검사되는 동시에 로드 락 챔버(810)에서 수행될 수 있다. 하지만, 로드 락 러핑 밸브(853)가 개방되어 연속적인 펌프다운 과정을 시작하는 경우, 로드 락 러핑 라인(816)을 통해 확립된 개방 연결로 인해 로드 락 챔버(810) 내의 고압 상태가 포어라인(858)에 노출되기 때문에 포어라인(858) 내의 압력이 증가할 수 있다. 포어라인(858)의 증가된 압력은 주 챔버 터보 펌프(895)에 대해 더 높은 배압을 생성할 수 있다. 일부 실시예들에서, 웨이퍼 온도 컨디셔닝이 로드 락 챔버(810)에서 수행될 때 이전 웨이퍼의 검사 동안 주 챔버에서 저압 레벨을 유지하기 위해 주 챔버 터보 펌프(895)가 동시에 실행될 수 있기 때문에, 배압의 갑작스러운 증가는 터보 펌프(895)의 동적 거동에 영향을 미칠 수 있다. 결과로서, 시스템(800)에 갑작스러운 진동이 발생할 수 있다. 이 갑작스러운 진동은 검사 오차를 야기할 수 있다. 그러므로, 진동 레벨이 검사 오차에 대한 마진보다 높은 경우, 검사 프로세스는 배압이 사라지고 진동이 감쇠될 때까지 일시중지되어야 할 수 있다. 이러한 검사 프로세스의 중단은 시스템 스루풋을 저하시킬 수 있다. 또한, 증가된 배압은 터보 펌프(895)의 유효 펌핑 속도를 감소시켜, 주 챔버(890)의 압력을 일시적으로 증가시킬 수 있다. 이러한 주 챔버 압력의 일시적인 증가는 시스템 스루풋 및 전체 시스템 성능에도 영향을 미칠 수 있다. 영향들은 도 9와 관련하여 다음 섹션에서 더 상세히 설명된다.
이제 도 9를 참조하며, 이는 하전 입자 빔 검사 시스템[예를 들어, 도 8a 및 도 8b의 하전 입자 빔 검사 시스템(800)]의 주 챔버[예를 들어, 도 8a 및 도 8b의 주 챔버(890)]에서의 압력 변화를 나타내는 예시적인 그래프이다. 도 8a를 참조하여 앞서 설명된 바와 같이, 주 챔버는 러핑 스테이지(911) 및 터보 펌프다운 스테이지(912)인 두 스테이지에 걸쳐 펌프다운된다. 러핑 스테이지(911) 동안, 주 챔버는 러핑 경로를 통해 대기 상태로부터 "대강의" 진공 레벨(910)(예를 들어, 5 x 10-1 Torr)로 펌프다운된다. 주 챔버 압력이 "대강의" 진공 레벨(910)에 도달한 후, 러핑 밸브[예를 들어, 도 8a의 주 챔버 러핑 밸브(854)]가 폐쇄되고, 주 챔버 터보 펌프[예를 들어, 주 챔버 터보 펌프(895)]가 인계하여 주 챔버 압력을 더 깊은 진공 레벨로 더 낮춘다. 주 챔버 압력이 "검사 준비" 진공 레벨(920)(예를 들어, 1.5 x 10-6 Torr)보다 낮아질 때, 웨이퍼 검사 프로세스가 시작될 수 있다. 일부 실시예들에서, 주 챔버 터보 펌프(895)는 계속해서 작동하여 "검사 준비" 레벨(920)에 가까운 주 챔버 압력 레벨을 유지할 수 있다.
제 1 웨이퍼의 검사가 완료된 경우, 일부 실시예들에서, 웨이퍼 교환은 923 주기에 발생할 수 있다. 웨이퍼 교환 동안, 주 챔버 압력은 로드 락 챔버[예를 들어, 도 8a의 로드 락 챔버(810)]와 주 챔버[예를 들어, 도 8a의 주 챔버(890)] 사이의 게이트 밸브[예를 들어, 도 1b의 게이트 밸브(26)]가 개방되기 때문에 일시적으로 증가할 수 있다. 웨이퍼 교환 후, 주 챔버 터보 펌프가 주 챔버 압력을 "검사 준비" 진공 레벨(920)로 다시 낮추면 검사 프로세스가 다시 시작될 수 있다.
웨이퍼 교환에 앞서, 제 1 웨이퍼가 주 챔버에서 검사되고 있는 동안에, 제 2 웨이퍼는 웨이퍼 온도 컨디셔닝 프로세스를 거칠 수 있으며, 앞서 설명된 바와 같이 주 챔버 압력은 주 챔버 터보 펌프에 적용되는 배압으로 인해 일시적으로 증가할 수 있다. 일시적인 압력 점프(950)의 일 예시가 그래프에 도시되어 있다.
일시적인 압력 점프(950)가 여전히 "검사 준비" 진공 레벨(920)보다 낮은 경우, 제 1 웨이퍼의 검사는 진동 레벨이 오차 한계 내에 있는 한 중단 없이 계속될 수 있다. 하지만, 주 챔버 압력이 일시적인 점프(950) 동안 "검사 준비" 진공 레벨(920)보다 높게 증가하는 경우, 제 1 웨이퍼의 검사는 주 챔버 압력이 "검사 준비" 레벨로 다시 내려갈 때까지 일시중지되어야 할 수 있다. 결과로서, 시스템 스루풋은 이 중단에 의해 영향을 받을 수 있다.
이제 도 10을 참조하며, 이는 본 발명의 실시예들에 따른 개선된 진공 펌프 시스템을 갖는 예시적인 하전 입자 빔 검사 시스템(1000)을 나타내는 개략적인 다이어그램을 나타낸다. 일부 실시예들에서, 주 챔버(890)에서 진동 및 압력 점프를 방지하기 위해 별도의 펌핑 경로가 로드 락 챔버(810)에 추가될 수 있다. 예를 들어, 일부 실시예들에서, 하전 입자 빔 검사 시스템(1000)은 로드 락 부스터 러핑 밸브(1010), 로드 락 부스터 러핑 펌프(1011), 및 보조 배기 시스템(1012)을 포함할 수 있다. 시스템(1000)의 다른 모든 부분은 도 8a의 시스템(800)과 동일하다.
이러한 실시예들에서, 웨이퍼 온도 컨디셔닝 동안, 로드 락 부스터 러핑 펌프(1011)는 계속해서 작동하여 가스 분자[예를 들어, 도 3a의 가스(338)]를 제거할 수 있다. 하지만, 로드 락 러핑 밸브(853) 및 로드 락 터보 펌프 배킹 밸브(851)는 이 주기 동안 폐쇄된 상태로 유지되기 때문에, 포어라인(858)에서 압력 증가가 없으며, 이에 따라 주 챔버 터보 펌프(895)에서 배압이 발생하지 않을 수 있다.
따라서, 일부 실시예들에서, 로드 락 챔버(810)에 대한 펌프다운 프로세스는 3 개의 스테이지들로 분해될 수 있다. 첫째로, 로드 락 부스터 러핑 펌프(1011)가 대기 상태로부터[EFEM(예를 들어, 도 1a의 EFEM(30))으로부터 새로운 웨이퍼 세트를 수용한 후] 웨이퍼 온도 컨디셔닝을 위한 진공 레벨까지 작동할 수 있다. 둘째로, [로드 락 러핑 라인(816)을 통한] 정규 로드 락 러핑 경로는 웨이퍼 온도 컨디셔닝 진공 레벨로부터 "대강의" 진공 레벨까지 작동할 수 있다. 마지막으로, 로드 락 터보 펌프(815)가 "대강의" 진공 레벨로부터 더 깊은 진공 레벨까지 작동할 수 있다. 배압 문제는 포어라인(858)이 대기 상태 근처에서 펌핑 초기에 농후한 상황(viscous regime)에 노출될 때 가장 높다. 결과로서, 로드 락 챔버 압력 레벨이 별도의 부스터 펌프[예를 들어, 로드 락 부스터 러핑 펌프(1011)]에 의해 웨이퍼 온도 컨디셔닝 진공 레벨로 내려간 후, 정규 펌핑 메카니즘들[예를 들어, 로드 락 러핑 라인(816) 또는 로드 락 터보 펌프(815)]은 너무 많은 배압을 생성하지 않고 사용될 수 있다.
이제 도 11을 참조하며, 이는 본 발명의 실시예들에 따른 도 10의 하전 입자 빔 검사 시스템의 로드 락 챔버의 진공 레벨을 제어하는 예시적인 방법을 나타내는 흐름도이다. 상기 방법은 도 10의 하전 입자 빔 검사 시스템에 의해 수행될 수 있다.
단계 1110에서, 웨이퍼(또는 복수의 웨이퍼들)가 로봇 아암[예를 들어, 도 1b의 로봇 아암(11)]에 의해 로드 락 챔버[예를 들어, 도 10의 로드 락 챔버(810)]로 로딩된다.
단계 1111에서, 가스 공급기[예를 들어, 도 10의 가스 공급기(811)]가 웨이퍼 온도 컨디셔닝을 위해 로드 락 챔버에 가스[예를 들어, 도 3a의 가스(338)]를 제공하기 시작한다.
단계 1112에서, 모든 게이트들[예를 들어, 도 1b의 게이트 밸브(25 및 26)]이 진공화 프로세스의 준비 중에 폐쇄된다. 일부 실시예들에서, 단계 1111은 단계 1112에서 모든 게이트들이 폐쇄된 후에 발생할 수 있다.
단계 1113에서, 부스터 펌프 밸브[예를 들어, 로드 락 부스터 러핑 밸브(1010)]가 개방되고, 부스터 펌프[예를 들어, 로드 락 부스터 러핑 펌프(1011)]가 로드 락 챔버의 펌프다운을 시작한다. 도 10을 참조하여 앞서 설명된 바와 같이, 이 제 1 스테이지에서, 로드 락 챔버는 대기 상태로부터 웨이퍼 온도 컨디셔닝에 적절한 진공 레벨로 펌프다운된다. 부스터 펌핑 라인이 별도의 배기 시스템[예를 들어, 도 10의 보조 배기 시스템(1012)]에 연결되고, 매니폴드 박스[예를 들어, 도 10의 중앙 매니폴드 박스(850)]에서 공유된 포어라인[예를 들어, 도 10의 포어라인(858)]을 형성하도록 정규 러핑 경로들과 병합되지 않기 때문에, 부스터 펌핑은 포어라인에서 배압을 야기하지 않는다. 그러므로, 시스템 스루풋에 영향을 미치지 않을 수 있다.
단계 1114에서, 웨이퍼 컨디셔닝 흐름이 시작된다. 이 단계는 주 챔버[예를 들어, 도 10의 주 챔버(890)] 내의 웨이퍼 스테이지[예를 들어, 도 3a의 웨이퍼 스테이지(395)]의 결정된 온도에 기초하여 컨디셔닝 플레이트[예를 들어, 도 3a의 컨디셔닝 플레이트(315)]의 가열 온도를 조정하는 것을 포함할 수 있다. 웨이퍼 온도 컨디셔닝이 수행되는 동안에, 부스터 펌프는 계속해서 작동되어 웨이퍼 온도 컨디셔닝에 적절한 진공 레벨을 유지한다. 단계 1115에서, 웨이퍼 온도가 안정된 온도(예를 들어, 도 3c의 Tstable)에 도달할 때, 컨디셔닝 프로세스가 완료된다.
단계 1116에서, 웨이퍼 온도 컨디셔닝이 완료된 후, 가스 벤트 밸브[예를 들어, 도 10의 가스 벤트 밸브(812)]가 폐쇄되고 가스 공급이 중단된다. 단계 1117에서, 펌프다운 프로세스의 제 1 스테이지가 완료되고 부스터 밸브[예를 들어, 로드 락 부스터 러핑 밸브(1010)]가 폐쇄된다.
단계 1118에서, 펌프다운 프로세스의 제 2 스테이지가 로드 락 러핑 밸브[예를 들어, 도 10의 로드 락 러핑 밸브(853)]를 개방함으로써 시작된다. 이 제 2 스테이지 동안, 일부 실시예들에서 로드 락 챔버는 웨이퍼 컨디셔닝 진공 레벨로부터 "대강의" 진공 레벨(예를 들어, 5 x 10-1 Torr)로 펌프다운될 수 있다. "대강의" 진공 레벨에 도달한 후, 단계 1119에서 로드 락 러핑 밸브가 폐쇄된다.
단계 1120에서, 펌프다운 프로세스의 제 3 스테이지가 시작되고, 터보 펌프[예를 들어, 로드 락 터보 펌프(815)]가 인계하여 로드 락 챔버(810)를 주 챔버 압력에 가까운 더 깊은 진공 레벨로 펌핑한다.
단계 1121에서, 이전 웨이퍼에 대한 웨이퍼 검사가 완료된 후, 이전 웨이퍼는 주 챔버로부터 제거되고, 온도 컨디셔닝된 웨이퍼가 로드 락 챔버로부터 주 챔버로 전달된다. 단계 1122에서, 웨이퍼 교환이 완료되면 로드 락 터보 펌프 밸브가 폐쇄된다.
단계 1122 이후, 단계 1110이 수행되어 새로운 웨이퍼 세트를 로드 락 챔버로 로딩할 수 있다. 로드 락 챔버에 컨디셔닝되지 않고 검사되지 않은 웨이퍼가 여전히 존재하는 경우, 시스템은 단계 1111로 진행하여 검사 프로세스의 준비 중에 또 다른 웨이퍼를 컨디셔닝할 수 있다.
웨이퍼 컨디셔닝 시스템의 제어기는 앞서 설명된 기능을 제어하기 위해 소프트웨어를 사용할 수 있었다는 것을 이해한다. 예를 들어, 제어기는 펌프다운 경로들을 제어하도록 앞서 언급된 밸브들 및 펌프들에 명령어들을 전송할 수 있다. 소프트웨어는 비-일시적 컴퓨터 판독가능한 매체에 저장될 수 있다. 비-일시적 매체의 보편적인 형태들은, 예를 들어 플로피 디스크, 플렉시블 디스크, 하드 디스크, 솔리드 스테이트 드라이브, 자기 테이프, 또는 여하한의 다른 자기 데이터 저장 매체, CD-ROM, 여하한의 다른 광학 데이터 저장 매체, 홀들의 패턴들을 갖는 여하한의 물리적 매체, RAM, PROM, 및 EPROM, 클라우드 저장소, FLASH-EPROM 또는 여하한의 다른 플래시 메모리, NVRAM, 캐시, 레지스터, 여하한의 다른 메모리 칩 또는 카트리지, 및 이의 네트워크 버전들을 포함한다.
실시예들은 다음 항목들을 사용하여 더 설명될 수 있다:
1. 로드 락 시스템으로서,
웨이퍼를 지지하도록 구성되는 복수의 지지 구조체들;
웨이퍼의 온도를 조정하도록 구성되는 제 1 열 전달 요소를 포함하는 제 1 컨디셔닝 플레이트;
제 1 컨디셔닝 플레이트와 웨이퍼 사이에 가스를 제공하도록 구성되는 제 1 가스 벤트; 및
프로세서 및 메모리를 포함하는 제어기 -상기 제어기는 제 1 열 전달 요소의 제어를 돕도록 구성됨-
를 포함하는 로드 락 시스템.
2. 1 항에 있어서, 제 1 컨디셔닝 플레이트는 웨이퍼의 위에 위치되는 로드 락 시스템.
3. 1 항에 있어서, 제 1 컨디셔닝 플레이트는 웨이퍼의 아래에 위치되는 로드 락 시스템.
4. 3 항에 있어서, 복수의 지지 구조체들은 제 1 컨디셔닝 플레이트에 커플링되는 로드 락 시스템.
5. 1 항 내지 4 항 중 어느 하나에 있어서, 제 1 가스 벤트는 제 1 컨디셔닝 플레이트에 부착되는 로드 락 시스템.
6. 1 항 내지 5 항 중 어느 하나에 있어서, 제어기는 웨이퍼 스테이지의 온도에 기초하여 제 1 열 전달 요소의 제어를 돕도록 더 구성되는 로드 락 시스템.
7. 1 항 내지 6 항 중 어느 하나에 있어서, 제어기는 제 1 가스 벤트를 통한 가스 유량을 제어하도록 더 구성되는 로드 락 시스템.
8. 1 항 내지 7 항 중 어느 하나에 있어서, 웨이퍼의 온도를 조정하도록 구성되는 제 2 열 전달 요소를 포함하는 제 2 컨디셔닝 플레이트를 더 포함하는 로드 락 시스템.
9. 8 항에 있어서, 웨이퍼를 지지하도록 구성되는 복수의 지지 구조체들은 제 1 컨디셔닝 플레이트와 제 2 컨디셔닝 플레이트 사이에 위치되는 로드 락 시스템.
10. 9 항에 있어서, 제 2 컨디셔닝 플레이트와 웨이퍼 사이에 가스의 일부를 제공하도록 구성되는 제 2 가스 벤트를 더 포함하는 로드 락 시스템.
11. 10 항에 있어서, 제 2 가스 벤트는 제 2 컨디셔닝 플레이트에 커플링되는 로드 락 시스템.
12. 8 항 내지 11 항 중 어느 하나에 있어서, 제어기는 웨이퍼 스테이지의 온도에 기초하여 제 2 열 전달 요소의 제어를 돕도록 더 구성되는 로드 락 시스템.
13. 10 항 내지 12 항 중 어느 하나에 있어서, 제어기는 제 2 가스 벤트를 통한 가스 유량을 제어하도록 더 구성되는 로드 락 시스템.
14. 1 항 내지 13 항 중 어느 하나에 있어서, 가스는 질소, 헬륨, 수소, 아르곤, CO2, 또는 압축 공기를 포함하는 로드 락 시스템.
15. 1 항 내지 14 항 중 어느 하나에 있어서, 제 1 컨디셔닝 플레이트, 복수의 지지 구조체들, 및 웨이퍼를 둘러싸도록 구성되는 로드 락 챔버를 더 포함하는 로드 락 시스템.
16. 15 항에 있어서, 로드 락 챔버에 연결되는 제 1 진공 펌프를 더 포함하는 로드 락 시스템.
17. 16 항에 있어서, 제어기는 웨이퍼 컨디셔닝 프로세스 동안 가스를 펌핑하도록 제 1 진공 펌프를 제어하도록 더 구성되는 로드 락 시스템.
18. 17 항에 있어서, 제어기는 웨이퍼 컨디셔닝 프로세스 동안 50 내지 5,000 Pa의 범위에 로드 락 챔버 내부의 압력을 유지하도록 더 구성되는 로드 락 시스템.
19. 16 항 내지 18 항 중 어느 하나에 있어서, 로드 락 챔버에 연결되는 제 2 진공 펌프를 더 포함하는 로드 락 시스템.
20. 19 항에 있어서, 제어기는:
제 1 진공 펌프를 활성화하여 로드 락 챔버 내부의 압력을 제 1 압력 레벨로 감소시키도록, 및
제 2 진공 펌프를 활성화하여 로드 락 챔버 내부의 압력을 제 2 압력 레벨로 감소시키도록 더 구성되고, 제 2 압력 레벨은 제 1 압력 레벨보다 낮은 로드 락 시스템.
21. 20 항에 있어서, 제 2 진공 펌프는 주 챔버에 연결되는 제 3 진공 펌프와 배기 경로를 공유하는 로드 락 시스템.
22. 20 항 또는 21 항에 있어서, 제 2 진공 펌프는 제 1 진공 펌프가 활성화되는 동안에 비활성화되는 로드 락 시스템.
23. 20 항 내지 22 항 중 어느 하나에 있어서, 제 1 진공 펌프 및 제 3 진공 펌프는 동시에 활성화되는 로드 락 시스템.
24. 로드 락 시스템에서 웨이퍼의 열 컨디셔닝을 수행하는 방법으로서,
로드 락 시스템의 로드 락 챔버에 웨이퍼를 로딩하는 단계;
로드 락 챔버를 펌프다운하는 단계;
로드 락 챔버에 가스를 제공하는 단계; 및
제 1 컨디셔닝 플레이트 내의 제 1 열 전달 요소가 가스를 통해 웨이퍼로 열을 전달하기 위해 제 1 컨디셔닝 플레이트의 온도를 조정할 수 있게 하는 단계
를 포함하는 방법.
25. 24 항에 있어서, 로드 락 챔버에 가스를 제공하는 단계는 로드 락 챔버에 가스를 제공하기에 앞서 가스의 온도를 컨디셔닝하는 단계를 더 포함하는 방법.
26. 24 항 또는 25 항에 있어서, 로드 락 챔버에 가스를 제공하는 단계는 제 1 컨디셔닝 플레이트와 웨이퍼 사이의 공간에 가스를 제공하는 단계를 더 포함하는 방법.
27. 24 항 내지 26 항 중 어느 하나에 있어서, 주 챔버 내의 웨이퍼 스테이지의 온도를 결정하는 단계를 더 포함하는 방법.
28. 24 항 내지 27 항 중 어느 하나에 있어서, 제 1 열 전달 요소가 제 1 컨디셔닝 플레이트의 온도를 조정할 수 있게 하는 단계는 웨이퍼 스테이지의 결정된 온도에 기초하여 제 1 열 전달 요소를 조정하는 단계를 더 포함하는 방법.
29. 24 항 내지 28 항 중 어느 하나에 있어서, 제 2 컨디셔닝 플레이트 내의 제 2 열 전달 요소가 가스를 통해 웨이퍼로 열을 전달하기 위해 제 2 컨디셔닝 플레이트의 온도를 조정할 수 있게 하는 단계를 더 포함하는 방법.
30. 24 항 내지 29 항 중 어느 하나에 있어서, 가스는 질소, 헬륨, 산소, 아르곤, CO2, 또는 압축 공기를 포함하는 방법.
31. 24 항 내지 30 항 중 어느 하나에 있어서, 로드 락 챔버를 펌프다운하는 단계는 로드 락 챔버에 연결되는 제 1 진공 펌프를 사용하여 로드 락 챔버로부터 가스를 펌핑하는 단계를 포함하는 방법.
32. 31 항에 있어서, 로드 락 챔버를 펌프다운하는 단계는:
제 1 진공 펌프를 활성화하여 로드 락 챔버 내부의 압력을 제 1 압력 레벨로 감소시키는 단계; 및
로드 락 챔버에 연결되는 제 2 진공 펌프를 활성화하여 로드 락 챔버 내부의 압력을 제 2 압력 레벨로 감소시키는 단계를 더 포함하고, 제 2 압력 레벨은 제 1 압력 레벨보다 낮은 방법.
33. 32 항에 있어서, 제 2 진공 펌프는 주 챔버에 연결되는 제 3 진공 펌프와 배기 경로를 공유하는 방법.
34. 32 항 또는 33 항에 있어서, 제 2 진공 펌프는 제 1 진공 펌프가 활성화되는 동안에 비활성화되는 방법.
35. 32 항 내지 34 항 중 어느 하나에 있어서, 제 1 진공 펌프 및 제 3 진공 펌프는 동시에 활성화되는 방법.
36. 제어기가 웨이퍼의 열 컨디셔닝을 수행하는 방법을 수행하게 하도록 제어기의 1 이상의 프로세서에 의해 실행가능한 명령어들의 세트를 포함하는 비-일시적 컴퓨터 판독가능한 매체로서,
상기 방법은:
웨이퍼가 로드 락 챔버에 로딩된 후 로드 락 시스템의 로드 락 챔버를 펌프다운하도록 제 1 진공 펌프에 지시하는 단계;
로드 락 챔버에 가스를 제공하도록 가스 공급기에 지시하는 단계; 및
가스를 통해 웨이퍼로 열을 전달하기 위해 제 1 컨디셔닝 플레이트의 온도를 조정하도록 제 1 컨디셔닝 플레이트 내의 제 1 열 전달 요소에 지시하는 단계
를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
37. 36 항에 있어서, 제어기의 1 이상의 프로세서에 의해 실행가능한 명령어들의 세트는 제어기가:
주 챔버 내의 웨이퍼 스테이지의 온도를 결정하도록 온도 센서에 지시하는 단계를 더 수행하게 하는 비-일시적 컴퓨터 판독가능한 매체.
38. 37 항에 있어서, 제 1 컨디셔닝 플레이트 내의 제 1 열 전달 요소에 지시하는 단계는 웨이퍼 스테이지의 결정된 온도에 기초하여 제 1 열 전달 요소를 조정하는 단계를 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
39. 36 항 내지 38 항 중 어느 하나에 있어서, 제어기의 1 이상의 프로세서에 의해 실행가능한 명령어들의 세트는 제어기가:
가스를 통해 웨이퍼로 열을 전달하기 위해 제 2 컨디셔닝 플레이트의 온도를 조정하도록 제 2 컨디셔닝 플레이트 내의 제 2 열 전달 요소에 지시하는 단계를 더 수행하게 하는 비-일시적 컴퓨터 판독가능한 매체.
40. 39 항에 있어서, 제 2 컨디셔닝 플레이트 내의 제 2 열 전달 요소에 지시하는 단계는 웨이퍼 스테이지의 결정된 온도에 기초하여 제 2 열 전달 요소를 조정하는 단계를 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
41. 36 항 내지 40 항 중 어느 하나에 있어서, 제어기의 1 이상의 프로세서에 의해 실행가능한 명령어들의 세트는 제어기가:
로드 락 챔버를 제 1 압력 레벨로 펌프다운하도록 제 1 진공 펌프에 지시하는 단계; 및
로드 락 챔버를 제 2 압력 레벨로 펌프다운하도록 제 2 진공 펌프에 지시하는 단계를 더 수행하게 하고, 제 2 압력 레벨은 제 1 압력 레벨보다 낮은 비-일시적 컴퓨터 판독가능한 매체.
42. 로드 락 챔버를 펌프다운하는 방법으로서,
가스를 제 1 배기 시스템으로 배기하도록 구성되는 제 1 진공 펌프를 사용하여 로드 락 챔버로부터 가스를 펌핑하는 단계; 및
가스를 제 2 배기 시스템으로 배기하도록 구성되는 제 2 진공 펌프를 사용하여 로드 락 챔버로부터 가스를 펌핑하는 단계
를 포함하는 방법.
43. 42 항에 있어서,
제 1 진공 펌프를 활성화하여 로드 락 챔버 내부의 압력을 제 1 압력 레벨로 감소시키는 단계; 및
제 2 진공 펌프를 활성화하여 로드 락 챔버 내부의 압력을 제 2 압력 레벨로 감소시키는 단계를 더 포함하고, 제 2 압력 레벨은 제 1 압력 레벨보다 낮은 방법.
44. 43 항에 있어서, 제 2 진공 펌프는 주 챔버를 펌프다운하도록 구성되는 제 3 진공 펌프와 제 2 배기 시스템을 공유하는 방법.
45. 42 항 내지 44 항 중 어느 하나에 있어서, 제 2 진공 펌프는 제 1 진공 펌프가 활성화되는 동안에 비활성화되는 방법.
46. 44 항 또는 45 항에 있어서, 제 1 진공 펌프 및 제 3 진공 펌프는 동시에 활성화되는 방법.
개시된 실시예들은 그 바람직한 실시예들에 관하여 설명되었지만, 이후 청구되는 바와 같은 본 발명의 범위 및 기술사상을 벗어나지 않고 다른 수정 및 변형이 행해질 수도 있음을 이해하여야 한다.

Claims (15)

  1. 로드 락 시스템(load lock system)으로서,
    웨이퍼를 지지하도록 구성된 지지 구조체;
    상기 웨이퍼의 온도를 조정하기 위해 조절된(conditioned) 온도를 갖는 가스를 제공하도록 구성된 제1 가스 벤트; 및
    프로세서 및 메모리를 포함하는 제어기
    를 포함하고,
    상기 제어기는 상기 웨이퍼가 검사를 위해 로드 락 시스템으로부터 메인 챔버로 이송되기 전에 상기 웨이퍼를 컨디셔닝하기 위한 상기 가스의 제어를 지원하도록 구성되고,
    상기 제어기는 상기 메인 챔버 내의 웨이퍼 스테이지의 온도에 기초하여 상기 가스의 제어를 지원하도록 더 구성된, 로드 락 시스템.
  2. 제1항에 있어서,
    상기 가스는 상기 제1 가스 벤트를 통해 제공되기 전에 상기 조절된 온도를 갖도록 열적으로 사전-조절되는, 로드 락 시스템.
  3. 제1항에 있어서,
    상기 웨이퍼의 온도를 측정하도록 구성된 센서를 더 포함하는, 로드 락 시스템.
  4. 제1항에 있어서,
    상기 제어기는 상기 메인 챔버 내의 웨이퍼 스테이지의 온도에 기초하여 조절을 위한 상기 웨이퍼의 타겟 온도를 설정하도록 더 구성되는, 로드 락 시스템.
  5. 제1항에 있어서,
    상기 제어기는 상기 메인 챔버 내의 웨이퍼 스테이지의 온도 정보를 수신하도록 더 구성되는, 로드 락 시스템.
  6. 제5항에 있어서,
    상기 온도 정보는 상기 웨이퍼 스테이지의 온도를 측정하도록 구성된 센서로부터 획득되는, 로드 락 시스템.
  7. 제1항에 있어서,
    상기 제어기는 상기 웨이퍼가 로드 락 시스템으로부터 메인 챔버로 이송되기 전에 상기 웨이퍼의 온도를 모니터링하도록 더 구성되는, 로드 락 시스템.
  8. 제1항에 있어서,
    상기 메인 챔버로 이송될 때, 상기 조절된 웨이퍼의 온도가 상기 메인 챔버 내의 웨이퍼 스테이지의 온도와 실질적으로 동일하게 되는, 로드 락 시스템.
  9. 제1항에 있어서,
    상기 제1 가스 벤트는 상기 웨이퍼를 향해 가스를 유동시키도록 구성된, 로드 락 시스템.
  10. 제1항에 있어서,
    상기 웨이퍼의 온도를 조정하기 위해 상기 가스의 일부를 제공하도록 구성된 제2 가스 벤트를 더 포함하는, 로드 락 시스템.
  11. 제10항에 있어서,
    상기 제1 가스 벤트와 상기 제2 가스 벤트는 로드 락 시스템에서 상이한 높이에 위치하는, 로드 락 시스템.
  12. 제1항에 있어서,
    상기 제어기는 상기 제1 가스 벤트를 통해 가스 유동을 제어하도록 더 구성되는, 로드 락 시스템.
  13. 제1항에 있어서,
    상기 로드 락 시스템은 복수의 웨이퍼를 수용하도록 더 구성되는, 로드 락 시스템.
  14. 제1항에 있어서,
    상기 가스가 상기 제1 가스 벤트로 제공되는 가스 공급부를 더 포함하는, 로드 락 시스템.
  15. 제14항에 있어서,
    상기 가스 공급부로부터 가스를 제공받고 상기 가스를 상기 제1 가스 벤트로 공급하도록 구성된 가스 튜브를 더 포함하는, 로드 락 시스템.
KR1020247004344A 2018-07-17 2019-07-11 입자 빔 검사 장치 KR20240024307A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201862699643P 2018-07-17 2018-07-17
US62/699,643 2018-07-17
US201962869986P 2019-07-02 2019-07-02
US62/869,986 2019-07-02
KR1020217001541A KR20210022068A (ko) 2018-07-17 2019-07-11 입자 빔 검사 장치
PCT/EP2019/068637 WO2020016087A1 (en) 2018-07-17 2019-07-11 Particle beam inspection apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217001541A Division KR20210022068A (ko) 2018-07-17 2019-07-11 입자 빔 검사 장치

Publications (1)

Publication Number Publication Date
KR20240024307A true KR20240024307A (ko) 2024-02-23

Family

ID=67297162

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020247004344A KR20240024307A (ko) 2018-07-17 2019-07-11 입자 빔 검사 장치
KR1020247004343A KR20240024306A (ko) 2018-07-17 2019-07-11 입자 빔 검사 장치
KR1020217001541A KR20210022068A (ko) 2018-07-17 2019-07-11 입자 빔 검사 장치

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020247004343A KR20240024306A (ko) 2018-07-17 2019-07-11 입자 빔 검사 장치
KR1020217001541A KR20210022068A (ko) 2018-07-17 2019-07-11 입자 빔 검사 장치

Country Status (6)

Country Link
US (2) US11430678B2 (ko)
JP (2) JP7296410B2 (ko)
KR (3) KR20240024307A (ko)
CN (1) CN112424922A (ko)
TW (2) TWI738028B (ko)
WO (1) WO2020016087A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210053351A (ko) 2018-09-28 2021-05-11 램 리써치 코포레이션 증착 부산물 빌드업 (buildup) 으로부터 진공 펌프 보호
EP3916482A1 (en) * 2020-05-27 2021-12-01 ASML Netherlands B.V. Conditioning device and corresponding object handler, stage apparatus and lithographic apparatus
CN116325065A (zh) * 2020-08-21 2023-06-23 Asml荷兰有限公司 带电粒子检查装置
JP7249989B2 (ja) * 2020-12-16 2023-03-31 日本電子株式会社 荷電粒子線装置
US11892382B2 (en) * 2021-08-27 2024-02-06 Taiwan Semiconductor Manufacturing Company Ltd. Method for detecting environmental parameter in semiconductor fabrication facility
EP4213176A1 (en) 2022-01-13 2023-07-19 ASML Netherlands B.V. Charged particle assessment system
WO2023110244A1 (en) 2021-12-15 2023-06-22 Asml Netherlands B.V. Charged particle assessment system
WO2023169766A1 (en) * 2022-03-11 2023-09-14 Asml Netherlands B.V. Vacuum chamber system including temperature conditioning plate
WO2024028194A1 (en) * 2022-08-05 2024-02-08 Asml Netherlands B.V. High-throughput load lock chamber

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5314541A (en) * 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
JP3225170B2 (ja) * 1993-10-22 2001-11-05 東京エレクトロン株式会社 真空処理装置
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6110232A (en) * 1998-10-01 2000-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing corrosion in load-lock chambers
US6402401B1 (en) * 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2001222099A (ja) * 2000-02-10 2001-08-17 Toshiba Corp 荷電ビーム描画装置および荷電ビーム描画方法
KR20030032034A (ko) * 2000-09-15 2003-04-23 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 두 개의 이중 슬롯 로드록
JP4553471B2 (ja) * 2000-09-19 2010-09-29 東京エレクトロン株式会社 処理装置及び処理システム
SG115630A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Temperature conditioned load lock, lithographic apparatus comprising such a load lock and method of manufacturing a substrate with such a load lock
JP4194495B2 (ja) * 2004-01-07 2008-12-10 東京エレクトロン株式会社 塗布・現像装置
US20050284572A1 (en) * 2004-06-29 2005-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Heating system for load-lock chamber
US9305814B2 (en) * 2004-12-20 2016-04-05 Tokyo Electron Limited Method of inspecting substrate processing apparatus and storage medium storing inspection program for executing the method
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
NL1036164A1 (nl) * 2007-11-15 2009-05-18 Asml Netherlands Bv Substrate processing apparatus and device manufacturing method.
JP5410174B2 (ja) * 2009-07-01 2014-02-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理システム
JP5391055B2 (ja) * 2009-12-25 2014-01-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造システム
US8808788B2 (en) * 2010-09-20 2014-08-19 Tokyo Electron Limited Processing a wafer with a post application bake (PAB) procedure
TWI524456B (zh) * 2011-11-04 2016-03-01 東京威力科創股份有限公司 基板處理系統、基板運送方法、程式及電腦記憶媒體
TWI550686B (zh) * 2011-11-04 2016-09-21 東京威力科創股份有限公司 基板處理系統、基板運送方法及電腦記憶媒體
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9378994B2 (en) * 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
JP6108643B2 (ja) * 2013-07-09 2017-04-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及び異常処理プログラム
WO2015045163A1 (ja) * 2013-09-30 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体
JP6293645B2 (ja) * 2013-12-27 2018-03-14 東京エレクトロン株式会社 基板処理システム
CN108028193B (zh) * 2015-09-30 2022-04-22 东京毅力科创株式会社 基板处理装置和基板处理方法
US11802340B2 (en) * 2016-12-12 2023-10-31 Applied Materials, Inc. UHV in-situ cryo-cool chamber
US10714362B2 (en) * 2018-03-15 2020-07-14 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
EP3575873A1 (en) 2018-05-28 2019-12-04 ASML Netherlands B.V. Particle beam apparatus
US10896821B2 (en) * 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
KR102634804B1 (ko) * 2018-11-06 2024-02-08 에이에스엠엘 네델란즈 비.브이. 하전 입자 빔 장치에서 웨이퍼를 열적으로 컨디셔닝하는 시스템들 및 방법들
JP7188256B2 (ja) * 2019-04-18 2022-12-13 株式会社Sumco 気相成長方法及び気相成長装置
US11440117B2 (en) * 2019-09-27 2022-09-13 Jian Zhang Multiple module chip manufacturing arrangement
US11581204B2 (en) * 2020-10-20 2023-02-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device manufacturing system and method for manufacturing semiconductor device

Also Published As

Publication number Publication date
US20200027763A1 (en) 2020-01-23
JP2023073317A (ja) 2023-05-25
US20220415678A1 (en) 2022-12-29
TWI738028B (zh) 2021-09-01
TW202018755A (zh) 2020-05-16
JP2021530865A (ja) 2021-11-11
CN112424922A (zh) 2021-02-26
TW202211349A (zh) 2022-03-16
KR20240024306A (ko) 2024-02-23
US11942340B2 (en) 2024-03-26
TW202349541A (zh) 2023-12-16
TWI824271B (zh) 2023-12-01
KR20210022068A (ko) 2021-03-02
WO2020016087A1 (en) 2020-01-23
US11430678B2 (en) 2022-08-30
JP7296410B2 (ja) 2023-06-22

Similar Documents

Publication Publication Date Title
JP7296410B2 (ja) 粒子ビーム検査装置
JP4825812B2 (ja) 温度を調整可能なチャック装置を用いた半導体ウエハ検査方法および装置
TWI776092B (zh) 在帶電粒子束設備中熱調節晶圓之系統和方法
US11454664B2 (en) Testing system
US20170010323A1 (en) Semiconductor Wafer Inspection Apparatus And Semiconductor Wafer Inspection Method
US10109509B2 (en) Method for cooling semiconductor manufacturing apparatus and substrate support apparatus
KR102410891B1 (ko) 프로버 및 프로브 카드의 프리쿨링 방법
TWI842517B (zh) 粒子束檢測設備
JP2009064726A (ja) 基板検査装置及び基板検査方法並びに記憶媒体
TW202401476A (zh) 包括溫度調節板之真空腔室系統
JP2002252155A (ja) 荷電粒子線装置及び方法

Legal Events

Date Code Title Description
A107 Divisional application of patent