KR101846850B1 - 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체 - Google Patents

반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체 Download PDF

Info

Publication number
KR101846850B1
KR101846850B1 KR1020167005514A KR20167005514A KR101846850B1 KR 101846850 B1 KR101846850 B1 KR 101846850B1 KR 1020167005514 A KR1020167005514 A KR 1020167005514A KR 20167005514 A KR20167005514 A KR 20167005514A KR 101846850 B1 KR101846850 B1 KR 101846850B1
Authority
KR
South Korea
Prior art keywords
gas
film
temperature
substrate
thin film
Prior art date
Application number
KR1020167005514A
Other languages
English (en)
Other versions
KR20160039670A (ko
Inventor
타카아키 노다
싱고 노하라
사토시 시마모토
히로시 아시하라
타케오 하나시마
요시로 히로세
츠카사 카마쿠라
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20160039670A publication Critical patent/KR20160039670A/ko
Application granted granted Critical
Publication of KR101846850B1 publication Critical patent/KR101846850B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

기판에 대하여 실리콘과 염소의 화학 결합과, 실리콘과 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 공정과, 상기 기판에 대하여 산화 가스를 공급하는 공정과, 상기 기판에 대하여 촉매 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 실리콘, 산소 및 탄소를 포함하는 박막을 형성하는 공정; 상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 수분 및 염소를 포함하는제1 불순물을 제거하는 공정; 및 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 탄화수소 화합물을 포함하고 상기 제1 불순물과는 다른 제2 불순물을 제거하는 공정;을 포함한다.

Description

반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체{METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING DEVICE, SUBSTRATE PROCESSING SYSTEM, AND STORAGE MEDIUM}
본 발명은 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체에 관한 것이다.
반도체 장치의 제조 공정의 일 공정으로서 기판에 대하여 예컨대 실리콘 등의 소정 원소를 포함하는 원료 가스와 산화 가스 등을 공급하여 기판 상에 실리콘산화막 등의 박막을 형성하는 공정이 수행되는 경우가 있다. 그 때 예컨대 촉매 가스를 이용하는 것에 의해 비교적 저온에서 성막이 가능해져 반도체 장치가 받는 열 이력 등을 개선할 수 있다.
또한 기판 상에 박막을 형성할 때 예컨대 탄소 등을 박막에 함유시켜서, 웨트 에칭에 대한 내성을 향상시키고 또한 막의 유전율을 저하시키는 등 막질의 향상을 도모하는 경우가 있다.
하지만 비교적 저온인 조건 하에서는 막 중에 충분한 양의 탄소가 취입(取入)되기 어렵거나 막 중에 수분 등의 불순물이 혼입되는 경우가 있다. 따라서 예컨대 충분한 에칭 내성을 가지는 저유전율의 박막을 형성할 수 없다는 등의 과제가 발생한다.
본 발명의 목적은 뛰어난 에칭 내성을 가지는 저유전율의 박막을 형성할 수 있는 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체를 제공하는 데 있다.
본 발명의 일 형태에 의하면, 기판에 대하여 실리콘과 염소의 화학 결합과, 실리콘과 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 공정과, 상기 기판에 대하여 산화 가스를 공급하는 공정과, 상기 기판에 대하여 촉매 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 실리콘, 산소 및 탄소를 포함하는 박막을 형성하는 공정; 상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 수분 및 염소를 포함하는제1 불순물을 제거하는 공정; 및 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 탄화수소 화합물을 포함하고 상기 제1 불순물과는 다른 제2 불순물을 제거하는 공정;을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면, 기판을 수용하는 처리실; 상기 처리실 내에 실리콘과 염소의 화학 결합과, 실리콘과 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 원료 가스 공급계; 상기 처리실 내에 산화 가스를 공급하는 산화 가스 공급계; 상기 처리실 내에 촉매 가스를 공급하는 촉매 가스 공급계; 상기 처리실 내의 기판을 가열하는 히터; 및 상기 처리실 내의 기판에 대하여 상기 원료 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 산화 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 촉매 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 실리콘, 산소 및 탄소를 포함하는 박막을 형성하는 처리와, 상기 박막을 형성하는 처리에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 수분 및 염소를 포함하는 제1 불순물을 제거하는 처리와, 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 탄화수소 화합물을 포함하고 상기 제1 불순물과는 다른 제2 불순물을 제거하는 처리를 수행하도록, 상기 원료 가스 공급계, 상기 산화 가스 공급계, 상기 촉매 가스 공급계 및 상기 히터를 제어하는 제어부;를 포함하는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 의하면, 기판 상에 박막을 형성하는 제1 기판 처리부와, 상기 박막을 열처리하는 제2 기판 처리부를 포함하는 기판 처리 시스템으로서, 상기 제1 기판 처리부는, 기판을 수용하는 제1 처리실; 상기 제1 처리실 내에 실리콘과 염소의 화학 결합과, 실리콘과 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 원료 가스 공급계; 상기 제1 처리실 내에 산화 가스를 공급하는 산화 가스 공급계; 상기 제1 처리실 내에 촉매 가스를 공급하는 촉매 가스 공급계; 및 상기 제1 처리실 내의 기판에 대하여 상기 원료 가스를 공급하는 처리와, 상기 제1 처리실 내의 상기 기판에 대하여 상기 산화 가스를 공급하는 처리와, 상기 제1 처리실 내의 상기 기판에 대하여 상기 촉매 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 실리콘, 산소 및 탄소를 포함하는 박막을 형성하는 처리를 수행하도록, 상기 원료 가스 공급계, 상기 산화 가스 공급계 및 상기 촉매 가스 공급계를 제어하는 제1 제어부;를 포함하고, 상기 제2 기판 처리부는, 기판을 수용하는 제2 처리실; 상기 제2 처리실 내의 기판을 가열하는 히터; 및 상기 제2 처리실 내에 상기 박막이 형성된 상기 기판을 수용한 상태에서 상기 박막을 형성하는 처리에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 수분 및 염소를 포함하는 제1 불순물을 제거하는 처리와, 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 탄화수소 화합물을 포함하고 상기 제1 불순물과는 다른 제2 불순물을 제거하는 처리를 수행하도록 상기 히터를 제어하는 제2 제어부;를 포함하는 기판 처리 시스템이 제공된다.
본 발명의 또 다른 형태에 의하면, 처리실 내의 기판에 대하여 실리콘과 염소의 화학 결합과, 실리콘과 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 단계와, 상기 처리실 내의 상기 기판에 대하여 산화 가스를 공급하는 단계와, 상기 처리실 내의 상기 기판에 대하여 촉매 가스를 공급하는 단계를 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 실리콘, 산소 및 탄소를 포함하는 박막을 형성하는 단계; 상기 박막을 형성하는 단계에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 수분 및 염소를 포함하는 제1 불순물을 제거하는 단계; 및 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 탄화수소 화합물을 포함하고 상기 제1 불순물과는 다른 제2 불순물을 제거하는 단계;를 컴퓨터에 실행하는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
본 발명에 의하면, 뛰어난 에칭 내성을 가지는 저유전율의 박막을 형성할 수 있는 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체를 제공할 수 있다.
도 1은 본 발명의 제1 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형(縱型) 처리로의 개략 구성도이며, 처리로 부분을 종단면도(縱斷面圖)로 도시하는 도면.
도 2는 본 발명의 제1 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 도 1의 A-A선 단면도로 도시하는 도면.
도 3은 본 발명의 제1 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 컨트롤러의 개략 구성도이며, 컨트롤러의 제어계를 블록도로 도시하는 도면.
도 4는 본 발명의 제1 실시 형태의 성막 시퀀스에서의 성막 플로우를 도시하는 도면.
도 5는 본 발명의 제1 실시 형태 및 그 변형예의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이며, 도 5의 (a)는 제1 실시 형태의 시퀀스예를 도시하는 도면, 도 5의 (b)는 변형예의 시퀀스예를 도시하는 도면.
도 6은 본 발명의 제1 실시 형태의 박막 형성 공정의 촉매 반응의 설명도이며, 도 6의 (a)는 스텝1a에서의 촉매 반응을 도시하는 도면, 도 6의 (b)는 스텝2a에서의 촉매 반응을 도시하는 도면.
도 7은 본 발명의 제1 실시 형태의 다른 변형예의 성막 시퀀스에서의 성막 플로우를 도시하는 도면이며, 도 7의 (a)는 SiOC막 형성 공정의 성막 플로우를 도시하는 도면, 도 7의 (b)는 SiOC막 개질 공정의 성막 플로우를 도시하는 도면.
도 8은 본 발명의 제2 실시 형태의 성막 시퀀스에서의 성막 플로우를 도시하는 도면.
도 9는 본 발명의 제2 실시 형태 및 그 변형예의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이며, 도 9의 (a)는 제2 실시 형태의 시퀀스예를 도시하는 도면, 도 9의 (b)는 변형예1의 시퀀스예를 도시하는 도면, 도 9c는 변형예2의 시퀀스예를 도시하는 도면.
도 10은 본 발명의 제3 실시 형태의 성막 시퀀스에서의 성막 플로우를 도시하는 도면.
도 11은 본 발명의 제3 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이며, 도 11의 (a)는 스택 막을 형성하는 시퀀스예를 도시하는 도면, 도 11의 (b)는 라미네이트 막을 형성하는 시퀀스예를 도시하는 도면.
도 12는 본 발명의 제3 실시 형태의 변형예의 성막 시퀀스에서의 성막 플로우를 도시하는 도면.
도 13은 본 발명의 제3 실시 형태의 변형예의 성막 시퀀스에서의 가스 공급 및 RF전력 공급의 타이밍을 도시하는 도면이며, 도 13의 (a)는 스택 막을 형성하는 시퀀스예를 도시하는 도면, 도 13의 (b)는 라미네이트 막을 형성하는 시퀀스예를 도시하는 도면.
도 14는 원료 가스로서 이용되는 각종 실란의 화학 구조식을 도시하는 도면이며, 각각 BTCSM, BTCSE, TCDMDS, DCTMDS, HCDS, BDEAS의 화학 구조식을 도시하는 도면.
도 15는 촉매 가스로서 이용되는 각종 아민의 명칭, 화학 조성식, 화학 구조식 및 산해리 상수를 도시하는 도면이며, 각각 환 형상 아민, TEA, DEA, MEA, TMA, MMA의 명칭, 화학 조성식, 화학 구조식 및 산해리 상수를 도시하는 도면.
도 16은 본 발명의 실시예의 그래프이며, 도 16의 (a)는 열처리 전후에서의 SiOC막의 비유전율을 도시하는 그래프, 도 16의 (b)는 열처리 전후에서의 SiOC막의 웨트 에칭 레이트를 도시하는 그래프, 도 16의 (c)는 SiOC막의 웨트 에칭 레이트의 열처리의 온도 의존성을 도시하는 그래프.
도 17은 본 발명의 제1 실시 형태의 성막 시퀀스에 의해 형성한 열처리 전의 SiOC막의 TDS에 의한 H2O, Cl, C2H2의 탈리 스펙트럼을 도시하는 도면이며, 도 17a는 H2O의 탈리 스펙트럼을 도시하는 그래프, 도 17의 (b)는 Cl의 탈리 스펙트럼을 도시하는 도면, 도 17의 (c)는 C2H2의 탈리 스펙트럼을 도시하는 그래프.
도 18은 본 발명의 실시예의 평가 결과를 도시하는 도면이며, 샘플1의 SiOC막과 샘플2의 SiOC막과의 각종 특성을 비교하여 표로 정리한 도면.
<제1 실시 형태>
이하, 본 발명의 제1 실시 형태에 대하여 도면을 참조하면서 설명한다.
(1) 기판 처리 장치의 전체 구성
도 1에 도시되듯이 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 포함한다. 히터(207)는 원통 형상이며, 보지판(保持板)으로서의 히터 베이스(도시되지 않음)에 지지되는 것에 의해 수직으로 설치된다. 또한 히터(207)는 후술하는 바와 같이 가스를 열로 활성화[여기(勵起)]시키는 활성화 기구(여기부)로도 기능한다.
히터(207)의 내측에는 히터(207)과 동심원 형상으로 반응관(203)이 배설(配設)된다. 반응관(203)은 예컨대 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색(閉塞)되고 하단이 개구(開口)된 원통 형상으로 형성된다. 반응관(203)의 하방(下方)에는 반응관(203)과 동심원 형상으로 매니폴드(209)(인렛 플랜지)가 배설된다. 매니폴드(209)는 예컨대 스텐레스 등의 금속으로 구성되고, 상단 및 하단이 개구된 원통 형상으로 형성된다. 매니폴드(209)의 상단부는 반응관(203)의 하단부에 계합(係合)되고, 반응관(203)을 지지하도록 구성된다. 매니폴드(209)와 반응관(203) 사이에는 씰 부재로서의 O링(220a)이 설치된다. 매니폴드(209)가 히터 베이스로 지지되는 것에 의해 반응관(203)은 수직으로 설치된 상태가 된다. 주로 반응관(203)과 매니폴드(209)에 의해 처리 용기(반응 용기)가 구성된다. 처리 용기의 통중공부(筒中空部)에는 처리실(201)이 형성되고, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세로 수직 방향에 다단으로 정렬한 상태에서 수용 가능하도록 구성된다.
처리실(201) 내에는 노즐(249a 내지 249c)이 매니폴드(209)의 측벽을 관통하도록 설치된다. 노즐(249a 내지 249c)에는 가스 공급관(232a 내지 232c)이 각각 접속된다. 가스 공급관(232a)에는 복수 개의 가스 공급관(232d 내지 232f)이 접속된다. 가스 공급관(232b)에는 복수 개의 가스 공급관(232g, 232h)이 접속된다. 또한 가스 공급관(232c)에는 가스 공급관(232i)이 접속된다. 이와 같이 처리 용기에는 3개의 노즐(249a 내지 249c)과, 복수 개의 가스 공급관(232a 내지 232i)이 설치되고, 처리실(201) 내에 복수 종류의 가스를 공급할 수 있도록 구성된다.
가스 공급관(232a)의 상류단에는 예컨대 원료 가스 공급원으로서의(SiCl3) 2CH2(BTCSM) 가스 공급원(242a)이 접속된다. 가스 공급관(232d)의 상류단에는 예컨대 원료 가스 공급원으로서의(CH3)2Si2Cl4(TCDMDS) 가스 공급원(242d)이 접속된다. 가스 공급관(232e)의 상류단에는 예컨대 원료 가스 공급원으로서의 Si2Cl6(HCDS) 가스 공급원(242e)이 접속된다. 가스 공급관(232f)의 상류단에는 예컨대 원료 가스 공급원으로서의 Si[N(C2H5)2]2H2(BDEAS) 가스 공급원(242f)이 접속된다. 가스 공급관(232b)의 상류단에는 예컨대 산화 가스 공급원으로서의 H2O가스 공급원(242b)이 접속된다. 가스 공급관(232g)의 상류단에는 예컨대 산화 가스 공급원으로서의 O3가스 공급원(242g)이 접속된다. 가스 공급관(232h)의 상류단에는 예컨대 산화 가스 공급원으로서의 O2가스 공급원(242h)이 접속된다. 가스 공급관(232c)의 상류단에는 예컨대 촉매 가스 공급원으로서의 C5H5N(피리딘) 가스 공급원(242c)이 접속된다. 가스 공급관(232i)의 상류단에는 예컨대 촉매 가스 공급원으로서의 (C2H5)3N(TEA) 가스 공급원(242i)이 접속된다.
가스 공급관(232a 내지 232c)에 각각 접속되는 가스 공급관(232j 내지 232l)의 상류단에는 예컨대 불활성 가스 공급원으로서의 N2가스 공급원(242j 내지 242l)이 각각 접속된다. 가스 공급관(232a 내지 232l)에는 각 가스 공급원(242a 내지 242l)이 접속된 상류 방향부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241a 내지 241l)(MFC) 및 개폐 밸브인 밸브(243a 내지 243l)가 각각 설치된다. 가스 공급관(232a 내지 232c)의 밸브(243a 내지 243c)보다 하류측에 가스 공급관(232j 내지 232l)의 하류단이 각각 접속된다. 가스 공급관(232a)의 밸브(243a)보다 하류측에는 가스 공급관(232d 내지 232f)의 하류단도 각각 접속된다. 가스 공급관(232b)의 밸브(243b)보다 하류측에는 가스 공급관(232g, 232h)의 하류단도 각각 접속된다. 가스 공급관(232c)의 밸브(243c)보다 하류측에는 가스 공급관(232i)의 하류단도 각각 접속된다.
가스 공급관(232a, 232c)의 선단부(先端部)에는 전술한 노즐(249a, 249c)이 각각 접속된다. 노즐(249a, 249c)은 도 2에 도시되듯이 반응관(203)의 내벽과 웨이퍼(200) 사이의 원환 형상[圓環狀]의 공간에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방(上方)을 향하여 상승[立上]하도록 각각 설치된다. 즉 노즐(249a, 249c)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 각각 설치된다. 노즐(249a, 249c)은 L자형의 롱 노즐로서 각각 구성되고, 이들의 각 수평부는 매니폴드(209)의 측벽을 관통하도록 설치되고, 이들의 각 수직부는 적어도 웨이퍼 배열 영역의 일단측(一端側)으로부터 타단측(他端側)을 향하여 상승하도록 설치된다. 노즐(249a, 249c)의 측면에는 가스를 공급하는 가스 공급공(250a, 250c)이 각각 설치된다. 도 2에 도시되듯이 가스 공급공(250a, 250c)은 반응관(203)의 중심을 향하도록 개구되어, 웨이퍼(200)를 향하여 가스를 공급하는 것이 가능하도록 이루어진다. 이 가스 공급공(250a, 250c)은 반응관(203)의 하부로부터 상부에 걸쳐서 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.
가스 공급관(232b)의 선단부에는 전술한 노즐(249b)이 접속된다. 노즐(249b)은 가스 분산 공간인 버퍼실(237) 내에 설치된다. 버퍼실(237)은 도 2에 도시되듯이 반응관(203)의 내벽과 웨이퍼(200) 사이의 원환 형상의 공간에 반응관(203) 내벽의 하부로부터 상부에 걸치는 부분에 웨이퍼(200)의 적재 방향을 따라 설치된다. 즉 버퍼실(237)은 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 설치된다. 버퍼실(237)의 웨이퍼(200)와 인접하는 벽의 단부(端部)에는 가스를 공급하는 가스 공급공(250d)이 설치된다. 가스 공급공(250d)은 반응관(203)의 중심을 향하도록 개구되어, 웨이퍼(200)를 향하여 가스를 공급하는 것이 가능하도록 이루어진다. 이 가스 공급공(250d)은 반응관(203)의 하부로부터 상부에 걸쳐서 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.
노즐(249b)은 도 2에 도시되듯이 버퍼실(237)의 가스 공급공(250d)이 설치된 단부와 반대측의 단부에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방을 향하여 상승하도록 설치된다. 즉 노즐(249b)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 설치된다. 노즐(249b)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 매니폴드(209)의 측벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향하여 상승하도록 설치된다. 노즐(249b)의 측면에는 가스를 공급하는 가스 공급공(250b)이 설치된다. 도 2에 도시되듯이 가스 공급공(250b)은 버퍼실(237)의 중심을 향하도록 개구된다. 이 가스 공급공(250b)은 버퍼실(237)의 가스 공급공(250d)과 마찬가지로 반응관(203)의 하부로부터 상부에 걸쳐서 복수 설치된다. 이 복수의 가스 공급공(250b) 각각의 개구 면적은 버퍼실(237) 내와 처리실(201) 내의 차압이 작은 경우에는 상류측(하부)으로부터 하류측(상부)까지 각각 동일한 개구 면적으로 동일한 개구 피치로 하면 좋지만, 차압이 큰 경우에는 상류측으로부터 하류측을 향하여 각각 개구 면적을 크게 하거나 개구 피치를 작게 하면 좋다.
본 실시 형태에서는 가스 공급공(250b) 각각의 개구 면적이나 개구 피치를 상류측으로부터 하류측에 걸쳐서 전술한 바와 같이 조절하는 것에 의해, 우선 가스 공급공(250b) 각각으로부터 유속의 차이는 있지만 유량이 거의 같은 양의 가스를 분출시킨다. 그리고 이 가스 공급공(250b) 각각으로부터 분출하는 가스를 일단 버퍼실(237) 내에 도입하여 버퍼실(237) 내에서 가스의 유속 차이의 균일화를 수행한다. 즉 가스 공급공(250b) 각각으로부터 버퍼실(237) 내에 분출한 가스는 버퍼실(237) 내에서 각 가스의 입자 속도가 완화된 후 가스 공급공(250d)으로부터 처리실(201) 내에 분출한다. 이에 의해 가스 공급공(250b) 각각으로부터 버퍼실(237) 내에 분출한 가스는 가스 공급공(250d) 각각으로부터 처리실(201) 내에 분출할 때는 균일한 유량과 유속을 가지는 가스가 된다.
이와 같이 본 실시 형태에서의 롱 노즐을 이용한 가스 공급의 방법에서는 반응관(203)의 내벽과 적재된 복수 매의 웨이퍼(200)의 단부에 의해 정의되는 원환 형상의 세로로 길게 연장된 공간 내, 즉 원통 형상의 공간 내에 배치한 노즐(249a 내지 249c) 및 버퍼실(237)을 경유하여 가스를 반송하고, 노즐(249a 내지 249c) 및 버퍼실(237)에 각각 개구된 가스 공급공(250a 내지 250d)으로부터 웨이퍼(200)의 근방에서 처음으로 반응관(203) 내에 가스를 분출시키고, 반응관(203) 내에서의 가스의 주된 흐름을 웨이퍼(200)의 표면과 평행한 방향, 즉 수평 방향으로 한다. 이러한 구성에 의해 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있어, 각 웨이퍼(200)의 표면상에 형성되는 막의 막 두께의 균일성을 향상시키는 효과가 있다. 웨이퍼(200)의 표면상을 흐른 가스, 즉 반응 후의 잔류 가스는 배기구, 즉 후술하는 배기관(231)의 방향을 향하여 흐르지만, 이 잔류 가스가 흐르는 방향은 배기구의 위치에 의해 적절히 특정되고, 수직 방향에 한정되지 않는다.
가스 공급관(232a)으로부터는, 소정 원소로서의 실리콘(Si), 탄소(C) 및 할로겐 원소[불소(F), 염소(Cl), 브롬(Br) 등]를 포함하고 소정 원소와 탄소의 화학 결합(Si-C결합)을 포함하는 원료 가스로서, 예컨대 Si, 알킬렌기로서의 메틸렌기 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 메틸렌기를 포함하는 클로로실란계 원료 가스가 MFC(241a), 밸브(243a), 노즐(249a)을 개재하여 처리실(201) 내에 공급된다. 메틸렌기를 포함하는 클로로실란계 원료 가스란 메틸렌기 및 클로로기를 포함하는 실란계 원료 가스를 말하며, 적어도 Si와, C를 포함한 메틸렌기와, 할로겐 원소로서의 Cl을 포함하는 원료 가스를 말한다. 가스 공급관(232a)으로부터 공급되는 메틸렌기를 포함하는 클로로실란계 원료 가스로서는 예컨대 메틸렌비스(트리클로로실란), 즉 비스(트리클로로실릴)메탄[(SiCl3)2CH2, 약칭: BTCSM] 가스를 이용할 수 있다.
도 14의 (a)에 도시되듯이 BTCSM은 그 화학 구조식 중(1분자 중)에 알킬렌기로서의 메틸렌기를 포함한다. BTCSM에 포함되는 메틸렌기는 2개의 결합수(結合手)가 각각 Si와 결합하여 Si-C-Si결합을 이룬다. 원료 가스가 포함하는 Si-C결합은 예컨대 BTCSM에 포함되는 Si-C-Si결합의 일부이며, BTCSM에 포함되는 메틸렌기는 이러한 Si-C결합을 구성하는 C를 포함한다.
또한 Si, C 및 할로겐 원소를 포함하고 Si-C결합을 포함하는 원료 가스에는 예컨대 Si, 알킬렌기로서의 에틸렌기 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 에틸렌기를 포함하는 클로로실란계 원료 가스가 포함된다. 에틸렌기를 포함하는 클로로실란계 원료 가스로서는 예컨대 에틸렌비스(트리클로로실란), 즉 1,2-비스(트리클로로실릴)에탄[(SiCl3)2C2H4, 약칭: BTCSE] 가스 등을 이용할 수 있다.
도 14의 (b)에 도시되듯이 BTCSE는 그 화학 구조식 중(1분자 중)에 알킬렌기로서의 에틸렌기를 포함한다. BTCSE에 포함되는 에틸렌기는 2개의 결합수가 각각 Si와 결합하여 Si-C-C-Si결합을 이룬다. 원료 가스가 포함하는 Si-C결합은 예컨대 BTCSE에 포함되는 Si-C-C-Si결합의 일부이며, BTCSE에 포함되는 에틸렌기는 이러한 Si-C결합을 구성하는 C를 포함한다.
또한 알킬렌기란 일반식 CnH2n+2로 나타내어지는 쇄 형상[鎖狀] 포화 탄화수소(알칸)로부터 수소(H) 원자를 2개 제거한 관능기이며, 일반식 CnH2n으로 나타내어지는 원자의 집합체다. 알킬렌기에는 전술한 메틸렌기나 에틸렌기 외에 프로필렌기나 부틸렌기 등이 포함된다. 이와 같이 Si, C 및 할로겐 원소를 포함하고 Si-C결합을 포함하는 원료 가스에는 Si, 알킬렌기 및 할로겐 원소를 포함하는 알킬렌할로실란계 원료 가스가 포함된다. 알킬렌할로실란계 원료 가스는 알킬렌기를 포함하는 할로실란계 원료 가스이며, 할로실란계 원료 가스에서의 Si의 결합수에 많은 할로겐 원소가 결합된 상태를 유지한 상태에서, 예컨대 Si-Si결합 사이에 알킬렌기가 도입된 구조를 가지는 가스라고도 할 수 있다. BTCSM가스 및 BTCSE가스 등은 알킬렌할로실란계 원료 가스에 포함된다.
가스 공급관(232d)으로부터는 소정 원소로서의 실리콘(Si), 탄소(C) 및 할로겐 원소를 포함하고 소정 원소와 탄소의 화학 결합(Si-C결합)을 포함하는 원료 가스로서, 예컨대 Si, 알킬기로서의 메틸기 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 메틸기를 포함하는 클로로실란계 원료 가스가 MFC(241d), 밸브(243d), 노즐(249a)을 개재하여 처리실(201) 내에 공급된다. 여기서 메틸기를 포함하는 클로로실란계 원료 가스란 메틸기 및 클로로기를 포함하는 실란계 원료 가스이며, 적어도 Si와, C를 포함한 메틸기와, 할로겐 원소로서의 Cl을 포함하는 원료 가스다. 가스 공급관(232d)으로부터 공급되는 메틸기를 포함하는 클로로실란계 원료 가스로서는 예컨대 1,1,2,2-테트라클로로-1,2-디메틸디실란[(CH3)2Si2Cl4, 약칭: TCDMDS] 가스를 이용할 수 있다.
도 14의 (c)에 도시되듯이 TCDMDS는 그 화학 구조식 중(1분자 중)에 알킬기로서의 메틸기를 2개 포함한다. TCDMDS에 포함되는 2개의 메틸기는 각 결합수가 각각 Si와 결합하여 Si-C결합을 이룬다. 원료 가스가 포함하는 Si-C결합은 예컨대 TCDMDS에 포함되는 Si-C결합이며, TCDMDS에 포함되는 2개의 메틸기는 이러한 Si-C결합을 구성하는 C를 각각 포함한다.
또한 메틸기를 포함하는 클로로실란계 원료 가스에는 TCDMDS가스와는 다른 별도의 원료 가스가 포함된다. 메틸기를 포함하는 별도의 클로로실란계 원료 가스로서는 예컨대 1,2-디클로로-1,1,2,2-테트라메틸디실란[(CH3)4Si2Cl2, 약칭: DCTMDS] 가스 등을 이용할 수 있다.
도 14의 (d)에 도시되듯이 DCTMDS는 그 화학 구조식 중(1분자 중)에 알킬기로서의 메틸기를 4개 포함한다. DCTMDS에 포함되는 4개의 메틸기는 각 결합수가 각각 Si와 결합하여 Si-C결합을 이룬다. 원료 가스가 포함하는 Si-C결합은 예컨대 DCTMDS에 포함되는 Si-C결합이며, DCTMDS에 포함되는 4개의 메틸기는 이러한 Si-C결합을 구성하는 C를 각각 포함한다.
또한 알킬기란 일반식 CnH2n+2로 나타내어지는 쇄 형상 포화 탄화수소(알칸)로부터 H원자를 1개 제거한 관능기이며, 일반식 CnH2n+1로 나타내어지는 원자의 집합체다. 알킬기에는 전술한 메틸기 외에 에틸기, 프로필기, 부틸기 등이 포함된다. 이와 같이 Si, C 및 할로겐 원소를 포함하고 Si-C결합을 포함하는 원료 가스에는 Si, 알킬기 및 할로겐 원소를 포함하는 알킬할로실란계 원료 가스가 포함된다. 알킬할로실란계 원료 가스는 알킬기를 포함하는 할로실란계 원료 가스이며, 할로실란계 원료 가스의 일부의 할로겐기가 알킬기로 치환된 구조를 가지는 가스라고도 할 수 있다. TCDMDS가스 및 DCTMDS가스 등은 알킬할로실란계 원료 가스에 포함된다.
가스 공급관(232e)으로부터는 소정 원소로서의 실리콘(Si) 및 할로겐 원소를 포함하는 원료 가스로서 예컨대 Si 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 클로로실란계 원료 가스가 MFC(241e), 밸브(243e), 노즐(249a)을 개재하여 처리실(201) 내에 공급된다. 여기서 클로로실란계 원료 가스란 클로로기를 포함하는 실란계 원료 가스이며, 적어도 Si 및 할로겐 원소로서의 Cl을 포함하는 원료 가스다. 즉 여기에서 말하는 클로로실란계 원료는 할로겐화물의 일종이라고도 할 수 있다. 가스 공급관(232e)으로부터 공급되는 클로로실란계 원료 가스로서는 예컨대 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스를 이용할 수 있다.
도 14의 (e)에 도시되듯이 HCDS는 그 화학 구조식 중(1분자 중)에 Si 및 클로로기를 포함한다. 또한 Si 및 할로겐 원소를 포함하는 원료 가스로서는 HCDS가스 외에 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스 등의 무기 원료 가스를 이용할 수 있다.
가스 공급관(232f)으로부터는 소정 원소로서의 실리콘(Si), 탄소(C) 및 질소(N)를 포함하고 소정 원소와 질소의 화학 결합(Si-N결합)을 포함하는 원료 가스로서, 예컨대 Si 및 아미노기(아민기)를 포함하는 원료 가스인 아미노실란계 원료 가스가 MFC(241f), 밸브(243f), 노즐(249a)을 개재하여 처리실(201) 내에 공급된다. 여기서 아미노실란계 원료 가스란 아미노기를 포함하는 실란계 원료 가스이며, 적어도 Si와, C 및 N을 포함한 아미노기를 포함하는 원료 가스다. 가스 공급관(232f)으로부터 공급되는 아미노실란계 원료 가스로서는 예컨대 비스(디에틸아미노)실란(Si[N(C2H5)2]2H2, 약칭: BDEAS) 가스를 이용할 수 있다.
도 14의 (f)에 도시되듯이 BDEAS는 그 화학 구조식 중(1분자 중)에 Si 및 아미노기를 포함한다. 또한 Si, C 및 N을 포함하고 Si-N결합을 포함하는 원료 가스로서는 BDEAS가스 외에 트리스(디에틸아미노)실란(SiH[N(C2H5)2]3, 약칭: 3DEAS) 가스, 테트라키스(디에틸아미노)실란(Si[N(C2H5)2]4, 약칭: 4DEAS) 가스, 트리스(디메틸아미노)실란(Si[N(CH3)2]3H, 약칭: 3DMAS) 가스, 테트라키스(디메틸아미노)실란(Si[N(CH3)2]4, 약칭: 4DMAS) 가스 등의 유기 원료 가스를 이용할 수 있다.
여기서 가스 공급관(232a, 232d, 232e)으로부터 공급되는 클로로실란계 원료 가스란 기체 상태의 클로로실란계 원료, 예컨대 상온 상압 하에서 액체 상태인 클로로실란계 원료를 기화하는 것에 의해 얻어지는 가스나, 상온 상압 하에서 기체 상태인 클로로실란계 원료 등이다. 또한 가스 공급관(232f)으로부터 공급되는 아미노실란계 원료 가스란 기체 상태의 아미노실란계 원료, 예컨대 상온 상압 하에서 액체 상태인 아미노실란계 원료를 기화하는 것에 의해 얻어지는 가스나, 상온 상압 하에서 기체 상태인 아미노실란계 원료 등이다. 또한 본 명세서에서 「원료」라는 단어를 이용한 경우는 「액체 상태인 액체 원료」를 의미하는 경우, 「기체 상태인 원료 가스」를 의미하는 경우 또는 그 양방(兩方)을 의미하는 경우가 있다. 따라서 본 명세서에서 「클로로실란계 원료」라는 단어를 이용한 경우는 「액체 상태인 클로로실란계 원료」를 의미하는 경우, 「기체 상태인 클로로실란계 원료 가스」를 의미하는 경우 또는 그 양방을 의미하는 경우가 있다. 또한 본 명세서에서 「아미노실란계 원료」라는 단어를 이용한 경우는 「액체 상태인 아미노실란계 원료」를 의미하는 경우, 「기체 상태인 아미노실란계 원료 가스」를 의미하는 경우 또는 그 양방을 의미하는 경우가 있다. 또한 BTCSM, BTCSE, TCDMDS, DCTMDS, HCDS, BDEAS와 같이 상온 상압 하에서 액체 상태인 액체 원료를 이용하는 경우에는 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여 원료 가스(BTCSM가스, BTCSE가스, TCDMDS가스, DCTMDS가스, HCDS가스, BDEAS가스)로서 공급한다.
가스 공급관(232b)으로부터는 산화 가스로서 예컨대 산소(O)를 포함하는 가스(산소 함유 가스)가 MFC(241b), 밸브(243b), 노즐(249b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 가스 공급관(232b)으로부터 공급되는 산화 가스로서는 예컨대 수증기(H2O가스)를 이용할 수 있다. 또한 H2O가스의 공급 시에는 도시되지 않은 외부 연소 장치에 산소(O2) 가스와 수소(H2) 가스를 공급하여 연소시켜서 H2O가스를 생성하여 공급하는 구성으로 해도 좋다.
가스 공급관(232g)으로부터는 산화 가스로서 예컨대 O를 포함하는 가스(산소 함유 가스)가 MFC(241g), 밸브(243g), 노즐(249b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 가스 공급관(232g)으로부터 공급되는 산화 가스로서는 예컨대 오존(O3) 가스를 이용할 수 있다.
가스 공급관(232h)으로부터는 산화 가스로서 예컨대 O를 포함하는 가스(산소 함유 가스)가 MFC(241h), 밸브(243h), 노즐(249b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 가스 공급관(232h)으로부터 공급되는 산화 가스로서는 예컨대 산소(O2) 가스를 이용할 수 있다.
가스 공급관(232c)으로부터는 촉매 작용에 의해 웨이퍼(200)의 표면 또는 H2O가스가 포함하는 O-H결합의 결합력을 약화시켜 원료 가스의 분해를 촉진하고, 또한 H2O가스 등의 산화 가스에 의한 산화 반응을 촉진하는 촉매 가스로서 예컨대 탄소(C), 질소(N) 및 수소(H)를 포함하는 아민계 가스가 MFC(241c), 밸브(243c), 노즐(249c)을 개재하여 처리실(201) 내에 공급된다. 여기서 아민계 가스란 암모니아(NH3)의 수소 원자 중 적어도 1개를 알킬기 등의 탄화수소기로 치환한 아민을 포함하는 가스다. 도 15에 도시되듯이 촉매 가스로서 이용되는 각종 아민은 예컨대 고립 전자쌍[電子對]을 가지는 N을 포함하고, 산해리 상수(이하, pKa라고도 부른다)가 5 내지 11 정도다. 여기서 산해리 상수(pKa)란 산(酸)의 강도를 정량적으로 나타내는 지표 중 하나이며, 산으로부터 수소 이온이 방출되는 해리 반응에서의 평형 상수Ka를 부(負)의 상용대수(對數)로 나타낸 것을 말한다. 이들 아민계 가스는 탄화수소기가 환 형상으로 이루어진 환 형상 아민계 가스나, 탄화수소기가 쇄 형상으로 이루어진 쇄 형상 아민계 가스를 포함한다. 가스 공급관(232c)으로부터 공급되는 아민계 가스로서는 예컨대 환 형상 아민계 가스인 피리딘(C5H5N) 가스를 이용할 수 있다.
도 15의 (a)에 도시되듯이 촉매 가스로서 이용되는 환 형상 아민은 예컨대 피리딘(C5H5N, pKa=5.67) 외에 아미노피리딘(C5H6N2, pKa=6.89), 피콜린(C6H7N, pKa=6.07), 루티딘(C7H9N, pKa=6.96), 피페라진(C4H10N2, pKa=9.80) 및 피페리딘(C5H11N, pKa=11.12) 등을 포함한다. 이들 환 형상 아민은 C와 N의 복수 종류의 원소로부터 그 환 형상 구조가 구성되는 복소환(複素環) 화합물, 즉 질소 함유 복소환 화합물이라고도 할 수 있다.
가스 공급관(232i)으로부터는 상기와 마찬가지의 촉매 작용을 가지는 촉매 가스로서 예컨대 C, N 및 H를 포함하는 아민계 가스가 MFC(241i), 밸브(243i), 노즐(249c)을 개재하여 처리실(201) 내에 공급된다. 가스 공급관(232i)으로부터 공급되는 아민계 가스로서는 예컨대 쇄 형상 아민계 가스인 트리에틸아민[(C2H5)3N, 약칭: TEA] 가스를 이용할 수 있다.
도 15의 (b) 내지 도 15의 (f)에 각각 도시되듯이 촉매 가스로서 이용되는 쇄 형상 아민은 예컨대 트리에틸아민[(C2H5)3N, 약칭: TEA, pKa=10.7] 외에 디에틸아민[(C2H5)2NH, 약칭: DEA, pKa=10.9], 모노에틸아민[(C2H5)NH2, 약칭: MEA, pKa=10.6], 트리메틸아민[(CH3)3N, 약칭: TMA, pKa=9.8], 모노메틸아민[(CH3)NH2, 약칭: MMA, pKa=10.6] 등을 포함한다.
이들 촉매 가스로서의 아민계 가스는 아민계 촉매 가스라고도 할 수 있다. 또한 촉매 가스로서는 전술한 아민계 가스 외에 비(非)아민계 가스, 즉 예컨대 암모니아(NH3, pKa=9.2) 가스 등도 이용할 수 있다.
가스 공급관(232j 내지 232l)으로부터는 예컨대 불활성 가스로서의 질소(N2) 가스가 각각 MFC(241j 내지 241l), 밸브(243j 내지 243l), 가스 공급관(232a 내지 232c), 노즐(249a 내지 249c), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다.
불활성 가스로서의 N2가스는 퍼지 가스 및 후술하는 산소 비함유의 분위기를 생성하는 산소(O)를 포함하지 않는 산소 비함유 가스로서도 작용한다. 또한 N2가스가 산소 비함유 가스로서 이용될 때, N2가스는 열처리 가스나 어닐링 가스로서 작용하는 경우도 있다. 이러한 불활성 가스, 퍼지 가스 및 산소 비함유 가스는 예컨대 N2가스 외에 아르곤(Ar) 가스, 헬륨(He) 가스, 네온(Ne) 가스, 크세논(Xe) 가스 등의 희가스를 포함한다.
각 가스 공급관으로부터 전술한 바와 같은 가스를 각각 흘리는 경우, 주로 가스 공급관(232a, 232d, 232e, 232f), MFC(241a, 241d, 241e, 241f), 밸브(243a, 243d, 243e, 243f)에 의해 원료 가스를 공급하는 원료 가스 공급계가 구성된다. 노즐(249a), BTCSM가스 공급원(242a), TCDMDS가스 공급원(242d), HCDS가스 공급원(242e), BDEAS가스 공급원(242f)을 원료 가스 공급계에 포함시켜서 생각해도 좋다. 원료 가스 공급계를 원료 공급계라고도 칭할 수 있다. 또한 원료 가스 공급계는 각각 다른 원소의 원소원이 되는 복수 종류의 원료 가스나, 분자 구조가 각각 다른 복수 종류의 원료 가스를 각각 공급하는 복수의 공급 라인(공급계)의 집합체라고도 볼 수 있다. 즉 원료 가스 공급계는 주로 가스 공급관(232a), MFC(241a), 밸브(243a)에 의해 구성되는 BTCSM가스 공급 라인과, 주로 가스 공급관(232d), MFC(241d), 밸브(243d)에 의해 구성되는 TCDMDS가스 공급 라인과, 주로 가스 공급관(232e), MFC(241e), 밸브(243e)에 의해 구성되는 HCDS가스 공급 라인과, 주로 가스 공급관(232f), MFC(241f), 밸브(243f)에 의해 구성되는 BDEAS가스 공급 라인의 집합체라고 할 수 있다. 각각의 공급 라인에 노즐(249a)이나, 대응하는 각 원료 가스 공급원(242a, 242d, 242e, 242f)을 포함시켜서 생각해도 좋다.
이와 같이 원료 가스 공급계를 구성하는 복수의 공급 라인은 각각 다른 원소의 원소원이 되는 복수 종류의 원료 가스나, 분자 구조가 각각 다른 복수 종류의 원료 가스를 각각 공급하도록 구성된다. 또한 각 원료 가스는 각각 다른 분자 구조, 즉 각각 다른 화학 구조식을 가진다. 각 원료 가스의 조성이나 성분이 달라도 좋다. 각각 다른 분자 구조를 가지는 원료 가스는 화학적 성질도 각각 다르다. 따라서 후술하는 바와 같이 원하는 성막 처리에 따라 적절히 원료 가스의 종류를 선택하는 것에 의해 1대(臺)의 기판 처리 장치에서 다양한 조성비와 막질의 박막을 범용적으로 또한 재현성 좋게 형성할 수 있다.
또한 주로 가스 공급관(232b, 232g, 232h), MFC(241b, 241g, 241h), 밸브(243b, 243g, 243h)에 의해 산화 가스 공급계가 구성된다. 노즐(249b), 버퍼실(237), H2O가스 공급원(242b), O3가스 공급원(242g) 및 O2가스 공급원(242h)을 산화 가스 공급계에 포함시켜서 생각해도 좋다. 산화 가스 공급계를 산화제 공급계라고도 칭할 수 있다. 또한 산화 가스 공급계는 분자 구조가 각각 다른 복수 종류의 산화 가스를 각각 공급하는 복수의 공급 라인(공급계)의 집합체라고도 볼 수 있다. 즉 산화 가스 공급계는 주로 가스 공급관(232b), MFC(241b), 밸브(243b)에 의해 구성되는 H2O가스 공급 라인과, 주로 가스 공급관(232g), MFC(241g), 밸브(243g)에 의해 구성되는 O3가스 공급 라인과, 주로 가스 공급관(232h), MFC(241h), 밸브(243h)에 의해 구성되는 O2가스 공급 라인의 집합체라고 할 수 있다. 각각의 공급 라인에 노즐(249b)나 버퍼실(237)이나, 대응하는 각 산화 가스 공급원(242b, 242g, 242h)을 포함시켜서 생각해도 좋다.
이와 같이 산화 가스 공급계를 구성하는 복수의 공급 라인은 분자 구조가 각각 다른 복수 종류의 산화 가스를 각각 공급하도록 구성된다. 또한 각 산화 가스는 각각 다른 분자 구조, 즉 각각 다른 화학 구조식을 가진다. 각 산화 가스의 조성이나 성분이 달라도 좋다. 각각 다른 분자 구조를 가지는 산화 가스는 화학적 성질도 각각 다르다. 따라서 예컨대 원하는 성막 처리에 따라 적절히 산화 가스의 종류를 선택하는 것에 의해 1대의 기판 처리 장치로 다양한 조성비와 막질의 박막을 범용적으로 또한 재현성 좋게 형성할 수 있다.
또한 주로 가스 공급관(232c, 232i), MFC(241c, 241i), 밸브(243c, 243i)에 의해 촉매 가스 공급계가 구성된다. 노즐(249c), 피리딘 가스 공급원(242c), TEA가스 공급원(242i)을 촉매 가스 공급계에 포함시켜서 생각해도 좋다. 또한 촉매 가스 공급계는 분자 구조가 각각 다른 복수 종류의 촉매 가스를 각각 공급하는 복수의 공급 라인(공급계)의 집합체라고도 볼 수 있다. 즉 촉매 가스 공급계는 주로 가스 공급관(232c), MFC(241c), 밸브(243c)에 의해 구성되는 피리딘 가스 공급 라인과, 주로 가스 공급관(232i), MFC(241i), 밸브(243i)에 의해 구성되는 TEA가스 공급 라인의 집합체라고 할 수 있다. 각각의 공급 라인에 노즐(249c)이나, 대응하는 각 촉매 가스 공급원(242c, 242i)을 포함시켜서 생각해도 좋다. 또한 전술한 피리딘 가스나 TEA가스는 후술하는 바와 같이 촉매로서의 아민계 가스, 즉 아민계 촉매 가스라고도 부를 수 있다. 이하, 각종 아민계 촉매 가스를 공급하는 촉매 가스 공급계를 아민계 촉매 가스 공급계라고도 부른다.
이와 같이 촉매 가스 공급계를 구성하는 복수의 공급 라인은 분자 구조가 각각 다른 복수 종류의 촉매 가스를 각각 공급하도록 구성된다. 또한 각 촉매 가스는 각각 다른 분자 구조, 즉 각각 다른 화학 구조식을 가진다. 각 촉매 가스의 조성이나 성분이 달라도 좋다. 각각 다른 분자 구조를 가지는 촉매 가스는 화학적 성질도 각각 다르다. 따라서 후술하는 바와 같이 원하는 성막 처리에 따라 적절히 촉매 가스의 종류를 선택하는 것에 의해 1대의 기판 처리 장치에서 다양한 조성비와 막질의 박막을 범용적으로 또한 재현성 좋게 형성할 수 있다.
또한 주로 가스 공급관(232j 내지 232l), MFC(241j 내지 241l), 밸브(243j 내지 243l)에 의해 불활성 가스 공급계가 구성된다. 또한 가스 공급관(232a 내지 232c)에서의 가스 공급관(232j 내지 232l)과의 접속부로부터 하류측, 노즐(249a 내지 249c), 버퍼실(237), N2가스 공급원(242j 내지 242l)을 불활성 가스 공급계에 포함시켜서 생각해도 좋다. 불활성 가스 공급계는 복수의 공급 라인의 집합체라고도 볼 수 있다. 즉 불활성 가스 공급계는 주로 가스 공급관(232j), MFC(241j), 밸브(243j)에 의해 구성되는 불활성 가스 공급 라인과, 주로 가스 공급관(232k), MFC(241k), 밸브(243k)에 의해 구성되는 불활성 가스 공급 라인과, 주로 가스 공급관(232l), MFC(241l), 밸브(243l)에 의해 구성되는 불활성 가스 공급 라인의 집합체라고 할 수 있다. 불활성 가스 공급계는 퍼지 가스 공급계 및 산소 비함유 가스 공급계로서도 기능한다. 또한 산소 비함유 가스 공급계는 후술하는 산소 비함유의 분위기를 생성하는 분위기 생성부의 일부를 구성한다.
버퍼실(237) 내에는 도 2에 도시되듯이 도전체로 이루어지고, 가늘고 긴 구조를 가지는 2개의 봉 형상 전극(269, 270)이 반응관(203)의 하부로부터 상부에 걸쳐 웨이퍼(200)의 적층 방향을 따라 배설된다. 봉 형상 전극(269, 270) 각각은 노즐(249d)과 평행으로 설치된다. 봉 형상 전극(269, 270) 각각은 상부로부터 하부에 걸쳐 전극 보호관(275)에 의해 피복되는 것에 의해 보호된다. 봉 형상 전극(269, 270) 중 어느 일방(一方)은 정합기(272)를 개재하여 고주파 전원(273)에 접속되고, 타방(他方)은 기준 전위인 어스에 접속된다. 정합기(272)를 개재하여 고주파 전원(273)으로부터 봉 형상 전극(269, 270) 사이에 고주파(RF) 전력을 인가하는 것에 의해 봉 형상 전극(269, 270) 사이의 플라즈마 생성 영역(224)에 플라즈마가 생성된다. 주로 봉 형상 전극(269, 270), 전극 보호관(275)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 플라즈마원이 구성된다. 정합기(272), 고주파 전원(273)을 플라즈마원에 포함시켜서 생각해도 좋다. 플라즈마원은 가스를 플라즈마 상태로 활성화(여기)시키는 활성화 기구(여기부)로서 기능한다.
전극 보호관(275)은 봉 형상 전극(269, 270) 각각을 버퍼실(237) 내의 분위기와 격리된 상태에서 버퍼실(237) 내에 삽입할 수 있는 구조로 이루어진다. 여기서 전극 보호관(275)의 내부의 산소 농도가 외기(外氣)[대기(大氣)]의 산소 농도와 같은 정도이면 전극 보호관(275) 내에 각각 삽입된 봉 형상 전극(269, 270)은 히터(207)에 의한 열로 산화된다. 그래서 전극 보호관(275)의 내부에 N2가스 등의 불활성 가스를 충전해두거나 불활성 가스 퍼지 기구를 이용하여 전극 보호관(275)의 내부를 N2가스 등의 불활성 가스로 퍼지하는 것에 의해 전극 보호관(275)의 내부의 산소 농도를 저감시켜, 봉 형상 전극(269, 270)의 산화를 억제할 수 있도록 구성된다.
반응관(203)에는 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치된다. 배기관(231)에는 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller)밸브(244)를 개재하여 진공 배기 장치로서의 진공 펌프(246)가 접속된다. APC밸브(244)는 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐하는 것에 의해 처리실(201) 내의 진공 배기 및 진공 배기 정지를 수행할 수 있고, 또한 진공 펌프(246)를 작동시킨 상태에서 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 밸브 개도(開度)를 조절하는 것에 의해 처리실(201) 내의 압력을 조정할 수 있도록 구성되는 밸브다. 주로 배기관(231), APC밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 진공 펌프(246)를 배기계에 포함시켜서 생각해도 좋다. 배기관(231)은 반응관(203)에 설치하는 경우에 한정되지 않고, 노즐(249a 내지 249c)과 마찬가지로 매니폴드(209)에 설치해도 좋다.
또한 주로 상기 배기계 및 전술한 산소 비함유 가스 공급계에 의해 처리실(201) 내에 산소 비함유의 분위기를 생성하는 분위기 생성부가 구성된다. 배기계는 처리실(201) 내를 진공 배기하는 것에 의해 배기계 단독으로 또는 처리실(201) 내의 웨이퍼(200)에 대하여 산소 비함유 가스를 공급하는 산소 비함유 가스 공급계와 협동하여 처리실(201) 내의 분위기를 산소 비함유의 분위기로 한다.
매니폴드(209)의 하방에는 매니폴드(209)의 하단 개구를 기밀하게 폐색 가능한 노구(爐口) 개체(蓋體)로서의 씰 캡(219)이 설치된다. 씰 캡(219)은 매니폴드(209)의 하단에 수직 방향 하측으로부터 당접(當接)되도록 구성된다. 씰 캡(219)은 예컨대 스텐레스 등의 금속으로 이루어지고, 원반 형상으로 형성된다. 씰 캡(219)의 상면에는 매니폴드(209)의 하단과 당접하는 씰 부재로서의 O링(220b)이 설치된다. 씰 캡(219)의 처리실(201)과 반대측에는 후술하는 보트(217)를 회전시키는 회전 기구(267)가 설치된다. 회전 기구(267)의 회전축(255)은 씰 캡(219)을 관통하여 보트(217)에 접속된다. 회전 기구(267)는 보트(217)를 회전시키는 것에 의해 웨이퍼(200)를 회전시키도록 구성된다. 씰 캡(219)은 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성된다. 보트 엘리베이터(115)는 씰 캡(219)을 승강시키는 것에 의해 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하도록 구성된다. 즉 보트 엘리베이터(115)는 보트(217) 및 보트(217)에 지지되는 웨이퍼(200)를 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성된다.
기판 지지구로서의 보트(217)는 예컨대 석영이나 탄화실리콘 등의 내열성 재료로 이루어지고, 복수 매의 웨이퍼(200)를 수평 자세로 또한 서로 중심을 맞춘 상태에서 정렬시켜서 다단으로 지지하도록 구성된다. 보트(217)의 하부에는 예컨대 석영이나 탄화실리콘 등의 내열성 재료로 이루어지는 단열 부재(218)가 설치되고, 히터(207)로부터의 열이 씰 캡(219)측에 전달되기 어렵도록 구성된다. 또한 단열 부재(218)는 석영이나 탄화실리콘 등의 내열성 재료로 이루어지는 복수 매의 단열판과, 이들 단열판을 수평 자세로 다단으로 지지하는 단열판 홀더에 의해 구성해도 좋다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치되고, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)로의 통전 상태를 조정하는 것에 의해 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 구성된다. 온도 센서(263)는 노즐(249a 내지 249c)과 마찬가지로 L자형으로 구성되고, 반응관(203)의 내벽을 따라 설치된다.
도 3에 도시되듯이 제어부(제어 수단)인 컨트롤러(121)는 CPU(121a)(Central Processing Unit), RAM(121b)(Random Access Memory), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성된다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 개재하여 CPU(121a)와 데이터 교환 가능하도록 구성된다. 컨트롤러(121)에는 예컨대 터치패널 등으로서 구성된 입출력 장치(122)가 접속된다.
기억 장치(121c)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(121c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 박막 형성 등의 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피 등이 판독 가능하도록 격납된다. 프로세스 레시피는 후술하는 박막 형성 공정 등의 기판 처리 공정에서의 각 순서를 컨트롤러(121)에 실행시켜 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여 단순히 프로그램이라고도 부른다. 본 명세서에서 프로그램이라는 단어를 이용한 경우는 프로세스 레시피 단체(單體)만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우 또는 그 양방을 포함하는 경우가 있다. RAM(121b)는 CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.
I/O 포트(121d)는 전술한 MFC(241a 내지 241l), 밸브(243a 내지 243l), 압력 센서(245), APC밸브(244), 진공 펌프(246), 온도 센서(263), 히터(207), 정합기(272), 고주파 전원(273), 회전 기구(267), 보트 엘리베이터(115) 등에 접속된다.
CPU(121a)는 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행하는 것과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성된다. 그리고 CPU(121a)는 판독한 프로세스 레시피의 내용을 따르도록 MFC(241a 내지 241l)에 의한 각종 가스의 유량 조정 동작, 밸브(243a 내지 243l)의 개폐 동작, APC밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC밸브(244)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작, 정합기(272)에 의한 임피던스 조정 동작, 고주파 전원(273)의 전력 공급 등을 제어하도록 구성된다.
컨트롤러(121)는 전용의 컴퓨터로서 구성되는 경우에 한정되지 않고, 범용의 컴퓨터로서 구성되어도 좋다. 예컨대 전술한 프로그램을 격납한 외부 기억 장치(123)[예컨대 자기(磁氣) 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD등의 광(光) 디스크, MO 등의 광자기 디스크, USB메모리나 메모리 카드 등의 반도체 메모리]를 준비하고, 이러한 외부 기억 장치(123)를 이용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해 본 실시 형태에 따른 컨트롤러(121)를 구성할 수 있다. 단, 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(123)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 인터넷이나 전용 회선 등의 통신 수단을 이용하여 외부 기억 장치(123)를 개재하지 않고 프로그램을 공급해도 좋다. 기억 장치(121c)나 외부 기억 장치(123)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 본 명세서에서 기록 매체라는 단어를 이용한 경우는 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우 또는 그 양방을 포함하는 경우가 있다.
(2) 박막 형성 공정
다음으로 전술한 기판 처리 장치의 처리로(202)를 이용하여 반도체 장치(반도체 디바이스)의 제조 공정의 일 공정으로서 기판 상에 박막을 형성(성막)하는 시퀀스예에 대하여 설명한다. 이하의 설명에서 기판 처리 장치를 구성하는 각(各) 부(部)의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태에서는, 기판으로서의 웨이퍼(200)에 대하여 소정 원소로서의 실리콘(Si), 탄소(C) 및 할로겐 원소를 포함하고 소정 원소와 탄소의 화학 결합(Si-C결합)을 포함하는 원료 가스를 공급하는 공정; 웨이퍼(200)에 대하여 산화 가스를 공급하는 공정; 및 웨이퍼(200)에 대하여 촉매 가스를 공급하는 공정;을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 실리콘(Si), 산소(O) 및 탄소(C)를 포함하는 박막을 형성하는 공정을 수행한다.
이때 원료 가스를 공급하는 공정을 촉매 가스를 공급하는 공정을 실시한 상태에서 수행하고, 산화 가스를 공급하는 공정을 촉매 가스를 공급하는 공정을 실시한 상태에서 수행한다.
또한 본 실시 형태에서는, 박막을 형성하는 공정에서의 웨이퍼(200)의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 제1 불순물을 제거하는 공정; 및 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 제1 온도로 열처리한 후의 상기 박막 중으로부터 제1 불순물과는 다른 제2 불순물을 제거하는 공정;을 더 수행한다. 이 열처리는 산소 비함유의 분위기 하에서 수행된다.
또한 본 실시 형태에서 각 공정은 논 플라즈마의 분위기 하에서 수행된다.
본 실시 형태에서는 형성하는 박막의 조성비가 화학량론 조성 또는 화학량론 조성과는 다른 소정의 조성비가 되도록 하는 것을 목적으로 하여, 형성하는 박막을 구성하는 복수의 원소를 포함하는 복수 종류의 가스의 공급 조건을 제어한다. 예컨대 형성하는 박막을 구성하는 복수의 원소 중 적어도 하나의 원소가 다른 원소보다 화학량론 조성에 대하여 과잉이 되도록 하는 것을 목적으로 하여 공급 조건을 제어한다. 이하, 형성하는 박막을 구성하는 복수의 원소의 비율, 즉 박막의 조성비를 제어하면서 성막을 수행하는 시퀀스예에 대하여 설명한다.
이하, 본 실시 형태의 성막 시퀀스를 도 4, 도 5의 (a)를 이용하여 구체적으로 설명한다.
여기서는, 웨이퍼(200)에 대하여 원료 가스로서 BTCSM가스를 공급하는 공정을 웨이퍼(200)에 대하여 촉매 가스로서 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행하고, 웨이퍼(200)에 대하여 산화 가스로서 H2O가스를 공급하는 공정을 웨이퍼(200)에 대하여 촉매 가스로서 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행하고, 이들 공정을 포함하는 사이클을 소정 횟수(n회) 수행하는 것에 의해 웨이퍼(200) 상에 Si, O 및 C를 포함하는 박막으로서 실리콘산탄화막(이하, SiOC막이라고도 부른다)을 형성하는 공정을 수행하고, 웨이퍼(200)에 대하여 산소 비함유 가스로서 N2가스를 공급하는 것에 의해 생성된 산소 비함유의 분위기 하에서 SiOC막을 형성하는 공정에서의 웨이퍼(200)의 온도보다 높은 제1 온도로 SiOC막을 열처리하는 것에 의해 SiOC막 중으로부터 제1 불순물을 제거하고, 웨이퍼(200)에 대하여 산소 비함유 가스로서 N2가스를 공급하는 것에 의해 생성된 산소 비함유의 분위기 하에서 제1 온도 이상의 제2 온도로 SiOC막을 열처리하는 것에 의해 제1 온도로 열처리한 후의 SiOC막 중으로부터 제1 불순물과는 다른 제2 불순물을 제거하는 예에 대하여 설명한다. 또한 이 SiOC막을 C를 포함하는 SiO막 또는 C가 도프(첨가)된 SiO막이라고도 부른다.
본 명세서에서 「웨이퍼」라는 단어를 이용한 경우는 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등과의 적층체(집합체)」를 의미하는 경우, 즉 표면에 형성된 소정의 층이나 막 등을 포함시켜서 웨이퍼라고 칭하는 경우가 있다. 또한 본 명세서에서 「웨이퍼의 표면」이라는 단어를 이용한 경우는 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최표면(最表面)」을 의미하는 경우가 있다.
본 명세서에서 「웨이퍼에 대하여 소정의 가스를 공급한다」라고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」라는 것을 의미하는 경우나, 「웨이퍼 상에 형성되는 층이나 막 등에 대하여, 즉 적층체로서의 웨이퍼의 최표면에 대하여 소정의 가스를 공급한다」라는 것을 의미하는 경우가 있다. 또한 본 명세서에서 「웨이퍼 상에 소정의 층(또는 막)을 형성한다」라고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면) 상에 소정의 층(또는 막)을 직접 형성한다」라는 것을 의미하는 경우나, 「웨이퍼 상에 형성되는 층이나 막 등의 상, 즉 적층체로서의 웨이퍼의 최표면 상에 소정의 층(또는 막)을 형성한다」라는 것을 의미하는 경우가 있다.
본 명세서에서 「기판」이라는 단어를 이용한 경우도 「웨이퍼」라는 단어를 이용한 경우와 마찬가지이며, 그 경우, 상기 설명에서 「웨이퍼」를 「기판」으로 치환하여 생각하면 좋다.
(웨이퍼 차지 및 보트 로드)
복수 매의 웨이퍼(200)가 보트(217)에 장전(裝塡)(웨이퍼 차지)되면, 도 1에 도시되듯이 복수 매의 웨이퍼(200)를 지지한 보트(217)는 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서 씰 캡(219)은 O링(220b)을 개재하여 매니폴드(209)의 하단을 밀봉한 상태가 된다.
(압력 조정 및 온도 조정)
처리실(201) 내가 원하는 압력(진공도)이 되도록 진공 펌프(246)에 의해 진공 배기된다. 이때 처리실(201) 내의 압력은 압력 센서(245)로 측정되고, 이 측정된 압력 정보에 기초하여 APC밸브(244)가 피드백 제어된다(압력 조정). 진공 펌프(246)는 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안은 상시 작동시킨 상태를 유지한다. 또한 처리실(201) 내의 웨이퍼(200)가 원하는 온도가 되도록 히터(207)에 의해 가열된다. 이때 처리실(201) 내가 원하는 온도 분포가 되도록 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)로의 통전 상태가 피드백 제어된다(온도 조정). 히터(207)에 의한 처리실(201) 내의 가열은 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안은 계속해서 수행된다. 단, 후술하는 바와 같이 실온으로 웨이퍼(200)에 대한 처리를 수행하는 경우에는 히터(207)에 의한 처리실(201) 내의 가열은 수행하지 않아도 좋다. 계속해서 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 시작한다. 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안은 계속해서 수행된다.
(SiOC막 형성 공정)
그 후 다음 2개의 스텝, 즉 스텝1a, 스텝2a를 순차 실행한다.
[스텝1a](BTCSM가스+피리딘 가스 공급)
밸브(243a)를 열고 가스 공급관(232a) 내에 BTCSM가스를 흘린다. BTCSM가스는 MFC(241a)에 의해 유량 조정되어 가스 공급공(250a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대하여 BTCSM가스가 공급된다(BTCSM가스 공급). 이때 동시에 밸브(243j)를 열고 가스 공급관(232j) 내에 N2가스 등의 불활성 가스를 흘린다. N2가스는 MFC(241j)에 의해 유량 조정되어 BTCSM가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한 밸브(243c)를 열고 가스 공급관(232c) 내에 피리딘 가스를 흘린다. 피리딘 가스는 MFC(241c)에 의해 유량 조정되어 가스 공급공(250c)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대하여 피리딘 가스가 공급된다(피리딘 가스 공급). 이때 동시에 밸브(243l)를 열고 가스 공급관(232l) 내에 N2가스 등의 불활성 가스를 흘린다. N2가스는 MFC(241l)에 의해 유량 조정되어 피리딘 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한 버퍼실(237) 내나 노즐(249b) 내로의 BTCSM가스 및 피리딘 가스의 침입을 방지하기 위해서 밸브(243k)를 열고 가스 공급관(232k) 내에 N2가스를 흘린다. N2가스는 가스 공급관(232b), 노즐(249b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1Pa 내지 13,330Pa, 바람직하게는 133Pa 내지 2,666Pa의 범위 내의 압력으로 한다. MFC(241a)로 제어하는 BTCSM가스의 공급 유량은 예컨대 1sccm 내지 2,000sccm, 바람직하게는 10sccm 내지 1,000sccm의 범위 내의 유량으로 한다. MFC(241c)로 제어하는 피리딘 가스의 공급 유량은 예컨대 1sccm 내지 2,000sccm, 바람직하게는 10sccm 내지 1,000sccm의 범위 내의 유량으로 한다. MFC(241j 내지 241l)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. BTCSM가스 및 피리딘 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간[조사(照射) 시간]은 예컨대 1초 내지 100초, 바람직하게는 5초 내지 60초의 범위 내의 시간으로 한다.
이때 히터(207)의 온도는 웨이퍼(200)의 온도가 예컨대 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도가 될 수 있는 온도로 설정한다. BTCSM가스 공급 시에 촉매 가스를 공급하지 않는 경우, 웨이퍼(200)의 온도가 250℃ 미만이 되면 웨이퍼(200) 상에 BTCSM이 화학 흡착하기 어려워져 실용적인 성막 레이트를 얻지 못하는 경우가 있다. 본 실시 형태와 같이 촉매 가스로서의 피리딘 가스를 공급하는 것에 의해 웨이퍼(200)의 온도를 250℃ 미만으로 해도 이를 해소하는 것이 가능해진다. 피리딘 가스의 존재 하에서 웨이퍼(200)의 온도를 150℃ 이하, 또한 바람직하게는 100℃ 이하로 하는 것에 의해 웨이퍼(200)에 가해지는 열량을 저감할 수 있어, 웨이퍼(200)가 받는 열 이력의 제어를 양호하게 수행할 수 있다. 피리딘 가스의 존재 하에서는 웨이퍼(200)의 온도가 실온 이상의 온도라면, 웨이퍼(200) 상에 BTCSM을 충분히 흡착시킬 수 있어, 충분한 성막 레이트를 얻을 수 있다. 따라서 웨이퍼(200)의 온도는 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도로 하는 것이 좋다.
전술한 조건 하에서 웨이퍼(200)에 대하여 BTCSM가스를 공급하는 것에 의해 웨이퍼(200)[표면의 하지막(下地膜)] 상에 제1층으로서 예컨대 1원자층 미만 내지 수원자층 정도의 두께의 C 및 Cl을 포함하는 실리콘 함유층(Si함유층)이 형성된다. C 및 Cl을 포함하는 Si함유층은 C 및 Cl을 포함하는 실리콘층(Si층)이어도 좋고, BTCSM가스의 흡착층이어도 좋고, 그 양방을 포함해도 좋다.
C 및 Cl을 포함하는 Si층이란 Si에 의해 구성되고 C 및 Cl을 포함하는 연속적인 층 외에 불연속적인 층이나 이들이 중첩되어 이루어지는 C 및 Cl을 포함하는 실리콘 박막(Si박막)도 포함하는 총칭이다. Si에 의해 구성되고 C 및 Cl을 포함하는 연속적인 층을 C 및 Cl을 포함하는 Si박막이라고 부르는 경우도 있다. C 및 Cl을 포함하는 Si층을 구성하는 Si는 C나 Cl과의 결합이 완전히 분리되지 않은 것 외에 C나 Cl과의 결합이 완전히 분리된 것도 포함한다.
BTCSM가스의 흡착층은 BTCSM가스의 가스 분자가 연속적인 흡착층 외에 불연속적인 흡착층도 포함한다. 즉 BTCSM가스의 흡착층은 BTCSM분자로 구성되는 1분자층 또는 1분자층 미만의 두께의 흡착층을 포함한다. BTCSM가스의 흡착층을 구성하는 BTCSM[(SiCl3)2CH2]분자는 도 14의 (a)에 화학 구조식을 나타내는 것뿐만 아니라, Si와 C의 결합이 일부 분리된 것이나 Si와 Cl의 결합이 일부 분리된 것도 포함한다. 즉 BTCSM가스의 흡착층은 BTCSM분자의 화학 흡착층이나 BTCSM분자의 물리 흡착층을 포함한다.
여기서 1원자층 미만의 두께의 층이란 불연속적으로 형성되는 원자층을 의미하고, 1원자층의 두께의 층이란 연속적으로 형성되는 원자층을 의미한다. 또한 1분자층 미만의 두께의 층이란 불연속적으로 형성되는 분자층을 의미하고, 1분자층의 두께의 층이란 연속적으로 형성되는 분자층을 의미한다. 또한 C 및 Cl을 포함하는 Si함유층은 C 및 Cl을 포함하는 Si층과 BTCSM가스의 흡착층의 양방을 포함할 수 있지만, 전술한 바와 같이 C 및 Cl을 포함하는 Si함유층에 대해서는 「1원자층」, 「수원자층」 등의 표현을 이용한다.
웨이퍼(200) 상에 형성되는 제1층으로서의 C 및 Cl을 포함하는 Si함유층의 두께가 수원자층을 초과하면, 후술하는 스텝2a에서의 산화의 작용이 제1층의 전체에 전달되지 않는다. 또한 웨이퍼(200) 상에 형성 가능한 제1층의 두께의 최소값은 1원자층 미만이다. 따라서 제1층의 두께는 1원자층 미만 내지 수원자층 정도로 하는 것이 바람직하다. 제1층의 두께를 1원자층 이하, 즉 1원자층 또는 1원자층 미만으로 하는 것에 의해 후술하는 스텝2a에서의 산화 반응의 작용을 상대적으로 높일 수 있고, 스텝2a에서의 산화 반응에 소요되는 시간도 단축할 수 있다. 스텝1a에서의 제1층의 형성에 소요되는 시간도 단축할 수 있다. 결과적으로 1사이클당의 처리 시간을 단축할 수 있어, 토탈에서의 처리 시간을 단축하는 것도 가능해진다. 즉 성막 레이트를 높이는 것도 가능해진다. 또한 제1층의 두께를 1원자층 이하로 하는 것에 의해 막 두께 균일성의 제어성을 높이는 것도 가능해진다.
BTCSM가스가 자기(自己)분해(열분해)하는 조건 하, 즉 BTCSM의 열분해 반응이 발생하는 조건 하에서는 웨이퍼(200) 상에 Si가 퇴적하는 것에 의해 C 및 Cl을 포함하는 Si층이 형성된다. BTCSM가스가 자기분해(열분해)하지 않는 조건 하, 즉 BTCSM의 열분해 반응이 발생하지 않는 조건 하에서는 웨이퍼(200) 상에 BTCSM가스가 흡착하는 것에 의해 BTCSM가스의 흡착층이 형성된다. 웨이퍼(200) 상에 BTCSM가스의 흡착층을 형성하는 것보다 웨이퍼(200) 상에 C 및 Cl을 포함하는 Si층을 형성하는 것이 성막 레이트를 높게 할 수 있어 바람직하다. 단, 본 실시 형태에서는 웨이퍼(200)의 온도를 예컨대 150℃ 이하의 저온으로 하기 때문에 웨이퍼(200) 상에 C 및 Cl을 포함하는 Si층이 형성되는 것보다 웨이퍼(200) 상에 BTCSM가스의 흡착층이 형성되는 것이 우위가 될 가능성이 있다. 또한 촉매 가스를 공급하지 않는 경우에는 BTCSM가스의 흡착층에서는 웨이퍼(200) 표면 등의 하지에 대한 결합이나 BTCSM분자끼리의 결합이 화학 흡착보다 약한 물리 흡착의 상태가 우위가 될 가능성이 있다. 즉 촉매 가스를 공급하지 않는 경우에는 BTCSM가스의 흡착층은 그 대부분이 BTCSM가스의 물리 흡착층으로 구성될 가능성이 있다.
촉매 가스로서의 피리딘 가스는 웨이퍼(200)의 표면에 존재하는 O-H결합의 결합력을 약화시켜 BTCSM가스의 분해를 촉진시키고, BTCSM분자의 화학 흡착에 의한 제1층의 형성을 촉진시킨다. 즉 도 6의 (a)에 도시되듯이 예컨대 웨이퍼(200)의 표면에 존재하는 O-H결합에 촉매 가스로서의 피리딘 가스가 작용하여 O-H 사이의 결합력을 약화시킨다. 결합력이 약해진 H와 BTCSM가스의 Cl이 반응하는 것에 의해 염화수소(HCl) 가스가 생성되어 탈리하고, Cl이 탈리된 BTCSM분자(할로겐화물)가 웨이퍼(200) 등의 표면에 화학 흡착한다. 즉 웨이퍼(200) 등의 표면에 BTCSM가스의 화학 흡착층이 형성된다. 피리딘 가스가 O-H 사이의 결합력을 약화시키는 것은 피리딘 분자 중의 고립 전자쌍를 가지는 N원자가 H를 끌어당기는 작용을 갖기 때문이다. N원자 등을 포함하는 소정의 화합물이 H를 끌어당기는 작용의 크기는 예컨대 전술한 산해리 상수(pKa)를 지표 중 하나로 할 수 있다.
전술한 바와 같이 pKa는 산으로 수소 이온이 방출되는 해리 반응에서의 평형 상수Ka를 부의 상용대수로 나타낸 상수이며, pKa가 큰 화합물은 H를 끌어당기는 힘이 강하다. 예컨대 pKa가 5 이상의 화합물을 촉매 가스로서 이용하는 것에 의해 BTCSM가스의 분해를 촉진시켜서 제1층의 형성을 촉진시킬 수 있다. 한편, 촉매 가스의 pKa가 과도하게 크면, BTCSM분자로부터 인발(引拔)된 Cl과 촉매 가스가 결합하고, 이에 의해 염화암모니아(NH4Cl) 등의 염(鹽)(Salt: 이온 화합물)이 발생하여 파티클의 원인이 되는 경우가 있다. 이를 억제하기 위해서는 촉매 가스의 pKa를 11 정도 이하, 바람직하게는 7 이하로 하는 것이 바람직하다. 피리딘 가스는 pKa가 약 5.67로 비교적 크고, H를 끌어당기는 힘이 강하다. 또한 pKa가 7 이하이기 때문에 파티클도 발생하기 어렵다.
이상과 같이 촉매 가스로서의 피리딘 가스를 BTCSM가스와 함께 공급하는 것에 의해 예컨대 150℃ 이하의 저온 조건 하에서도 BTCSM가스의 분해를 촉진하여, BTCSM가스의 물리 흡착층의 형성이 아닌 화학 흡착층의 형성이 우세가 되도록 제1층을 형성할 수 있다.
또한 이상과 같이 BTCSM가스 등과 같은 Si, C 및 할로겐 원소를 포함하고 Si-C결합을 포함하는 원료 가스를 이용하는 것에 의해 예컨대 150℃ 이하의 비교적 저온의 조건 하에서도 제1층 중에 C를 취입할 수 있다. 이 C를 포함하는 제1층이 그 후에 수행되는 스텝2a에서 산화되어, 예컨대 C를 고농도로 포함하는 실리콘산탄화층(SiOC층) 또는 이러한 SiOC층이 적층되어 이루어지고 C를 고농도로 포함하는 SiOC막을 형성할 수 있다. 또한 SiOC층이나 SiOC막 중의 C농도를 정밀도 좋게 제어할 수 있다.
여기서 저온 조건 하에서 촉매 가스를 이용하여 얻어지는 SiO막에서는 1% 농도의 불산(1% HF수용액)에 대한 웨트 에칭 레이트(이후 WER이라고도 부른다)가 예컨대 약 600Å/min이다. 저온 조건 하에서 플라즈마를 이용하여 얻어지는 SiO막이어도 이러한 WER은 예컨대 약 200Å/min이다. 산화로 내에서 실리콘 웨이퍼를 열산화하여 얻어지는 열 산화막에서 WER이 예컨대 약 60Å/min인 것을 보면, 저온 조건 하에서 성막된 SiO막의 WER은 3배 이상 높다. 이와 같이 높은 수치의 WER은 이들 SiO막의 에칭 내성이 예컨대 열 산화막보다 열화된 것을 나타낸다. 에칭 내성의 향상을 위해서는 예컨대 C 등을 포함하는 SiO막, 즉 SiOC막을 형성하는 것이 바람직하다.
이 경우 비교적 고온, 예컨대 600℃ 내지 800℃에서의 성막 등에서는 SiOC막은 예컨대 이하와 같은 방법으로 형성할 수 있다. 즉 HCDS가스나 BDEAS가스 등을 이용하여 Si함유층을 형성하는 공정이나 이를 산화 가스로 산화하여 실리콘산화층(SiO층)으로 하는 공정과 함께, 예컨대 프로필렌(C3H6) 가스 등의 탄화수소계 가스를 열이나 플라즈마로 여기하는 등으로 하여 웨이퍼(200)에 대하여 공급하는 공정을 마련한다. 이에 의해 Si함유층 또는 SiO층 중에 C가 취입되어 SiOC층이 형성되고, 결과적으로 SiOC막을 형성할 수 있다.
하지만 본 실시 형태와 같이, 예컨대 150℃ 이하의 비교적 저온으로 성막을 수행하는 경우에는 층 중에 C를 취입하는 것이 어려워 SiOC막을 형성하는 것이 곤란하다. 즉 형성되는 박막에서 충분한 탄소 농도(C농도)를 얻지 못해 예컨대 C를 거의 포함하지 않는 SiO막이 형성되는 경우가 있다. 이 때문에 에칭 내성을 충분히 높이는 것이 곤란한 경우가 있다.
그래서 본 실시 형태에서는 원료 가스로서 예컨대 BTCSM가스 등과 같은 알킬렌할로실란계 원료 가스, 즉 Si, C 및 할로겐 원소를 포함하고 Si-C결합을 포함하는 원료 가스를 이용했다. 이에 의해 웨이퍼(200) 상에 초기층으로서 제1층을 형성하는 단계에서 제1층 중에 C를 취입할 수 있어 충분한 C농도를 가지는 SiOC층이나 SiOC막을 형성할 수 있다. 또한 SiOC층이나 SiOC막 중의 C농도를 정밀도 좋게 제어할 수 있다.
(잔류 가스 제거)
제1층으로서의 C 및 Cl을 포함하는 Si함유층이 웨이퍼(200) 상에 형성된 후, 밸브(243a)를 닫고 BTCSM가스의 공급을 정지한다. 또한 밸브(243c)를 닫고 피리딘 가스의 공급을 정지한다. 이때 배기관(231)의 APC밸브(244)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 또는 제1층의 형성에 기여한 후의 BTCSM가스 및 피리딘 가스를 처리실(201) 내로부터 배제한다(잔류 가스 제거). 또한 밸브(243j 내지 243l)는 연 상태로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 제1층의 형성에 기여한 후의 BTCSM가스 및 피리딘 가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
이때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝2a에서 악영향이 발생하지 않는다. 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝2a에서 악영향이 발생하지 않을 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
Si, C 및 할로겐 원소를 포함하고 Si-C결합을 포함하는 원료 가스로서는 BTCSM가스 외에 BTCSE가스, TCDMDS가스 및 DCTMDS가스 등을 이용해도 좋다. 촉매 가스로서는 피리딘 가스 외에 아미노피리딘 가스, 피콜린 가스, 루티딘 가스, 피페라진 및 피페리딘 가스 등의 환 형상 아민계 가스를 이용해도 좋고, 또한 TEA가스, DEA가스, MEA가스, TMA가스, MMA가스 등의 쇄 형상 아민계 가스를 이용해도 좋고, 또한 NH3가스 등의 비아민계 가스를 이용해도 좋다. 불활성 가스로서는 N2가스 외에 Ar가스, He가스, Ne가스, Xe가스 등의 희가스를 이용해도 좋다.
[스텝2a](H2O가스+피리딘 가스 공급)
스텝1a가 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 밸브(243b)를 열고 가스 공급관(232b)에 H2O가스를 흘린다. H2O가스는 MFC(241b)에 의해 유량 조정되어 가스 공급공(250b)으로부터 버퍼실(237) 내에 공급되고 가스 공급공(250d)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때 논 플라즈마의 분위기 하에서 웨이퍼(200)에 대하여 H2O가스가 공급된다(H2O가스 공급). 이때 동시에 밸브(243k)를 열고 가스 공급관(232k) 내에 불활성 가스로서의 N2가스를 흘린다. N2가스는 MFC(241k)에 의해 유량 조정되어 H2O가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한 스텝1a에서의 피리딘 가스의 공급과 마찬가지로 웨이퍼(200)에 대하여 피리딘 가스를 공급한다(피리딘 가스 공급).
또한 노즐(249a) 내로의 H2O가스 및 피리딘 가스의 침입을 방지하기 위해서 밸브(243j)를 열고 가스 공급관(232j) 내에 N2가스를 흘린다. N2가스는 가스 공급관(232a), 노즐(249a)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1Pa 내지 13,330Pa, 바람직하게는 133Pa 내지 2,666Pa의 범위 내의 압력으로 한다. MFC(241b)로 제어하는 H2O가스의 공급 유량은 예컨대 1,000sccm 내지 10,000sccm, 바람직하게는 10sccm 내지 1,000sccm의 범위 내의 유량으로 한다. MFC(241c)로 제어하는 피리딘 가스의 공급 유량은 예컨대 1sccm 내지 2,000sccm, 바람직하게는 10sccm 내지 1,000sccm의 범위 내의 유량으로 한다. MFC(241j 내지 241l)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. H2O가스 및 피리딘 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1초 내지 100초, 바람직하게는 5초 내지 60초의 범위 내의 시간으로 한다. 히터(207)의 온도는 웨이퍼(200)의 온도가 스텝1a에서의 웨이퍼(200)의 온도와 마찬가지의 온도대, 즉 예컨대 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도가 되도록 설정한다.
처리실(201) 내에 공급된 H2O가스는 열로 활성화되고, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대하여 열로 활성화된 H2O가스가 공급된다. 즉 처리실(201) 내에 흘리는 가스는 열적으로 활성화된 H2O가스이며, 처리실(201) 내에는 BTCSM가스는 흘리지 않는다. 따라서 H2O가스는 기상(氣相) 반응을 일으키지 않고, 활성화된 상태에서 웨이퍼(200)에 대하여 공급되고, 스텝1a에서 웨이퍼(200) 상에 형성된 제1층(C 및 Cl을 포함하는 Si함유층)의 적어도 일부와 반응한다. 이에 의해 제1층은 논 플라즈마로 열적으로 산화되어, Si, O 및 C를 포함하는 제2층, 즉 SiOC층으로 변화한다.
촉매 가스로서의 피리딘 가스는 H2O가스가 포함하는 O-H결합의 결합력을 약화시켜 H2O가스의 분해를 촉진시키고, H2O가스와 제1층의 반응을 촉진시킨다. 즉 도 6의 (b)에 도시되듯이 H2O가스가 포함하는 O-H결합에 촉매로서의 피리딘 가스가 작용하여 O-H 사이의 결합력을 약화시킨다. 결합력이 약화된 H와 웨이퍼(200) 상에 형성된 제1층이 포함하는 Cl이 반응하는 것에 의해, HCl가스가 생성되어 탈리하고, H가 탈리된 H2O가스의 O가 Cl이 탈리되어 적어도 C의 일부가 잔류한 제1층의 Si와 결합한다.
또한 피리딘 가스의 공급을 실시한 상태에서 H2O가스를 공급하는 공정(H2O가스와 피리딘 가스를 공급하는 공정)에서는 원하는 막 조성 등에 따라 공급하는 피리딘 가스의 공급량을 적절히 조정할 수 있다. 피리딘 가스의 공급량을 증가시키면 피리딘 가스의 작용이 높아져 H2O가스의 산화력이 향상되고, Si-C결합이 절단되어 C가 탈리하기 쉬워져 결과적으로 SiOC층 중의 C농도가 저하된다. 피리딘 가스의 공급량을 저하시키면 피리딘 가스의 작용이 약화되어 H2O가스의 산화력이 저하되어 Si-C결합이 유지되기 쉬워져 결과적으로 SiOC층 중의 C농도가 높아진다. 따라서 피리딘 가스의 공급량을 적절히 조정하는 것에 의해 SiOC층 중, 나아가서는 SiOC층이 적층되어 이루어지는 SiOC막 중의 C농도나, 실리콘 농도(Si농도)나, 산소 농도(O농도) 등을 상대적으로 변화한다.
또한 촉매 가스의 공급을 실시한 상태에서 산화 가스를 공급하는 공정(산화 가스와 촉매 가스를 공급하는 공정)에서 공급하는 촉매 가스의 공급량의 조정은 전술한 촉매 가스의 공급을 실시한 상태에서 원료 가스를 공급하는 공정(원료 가스와 촉매 가스를 공급하는 공정)에서 공급하는 촉매 가스의 공급량의 조정은 독립해서 별개로 수행할 수 있다. 즉 양(兩) 공정에서의 촉매 가스의 공급량이 동일해지도록 각각 조정해도 좋고, 달라지도록 각각 조정해도 좋다.
또한 촉매 가스의 공급량이나 유량 등을 다른 수치로 설정한 프로세스 레시피(처리 순서나 처리 조건이 기재된 프로그램)를 미리 복수 준비해두는 것에 의해 촉매 가스의 공급량의 조정이 용이해진다. 오퍼레이터(조작원)는 원하는 막 조성 등에 따라 적절한 프로세스 레시피를 적절히 선택하여 성막 처리를 실행하면 좋다.
또한 예컨대 150℃ 이하의 저온 조건 하에서 형성된 SiOC층에는 수분(H2O)이나 염소(Cl) 등의 불순물(제1 불순물)이나 탄화수소 화합물 등의 탄화수소(CxHy)계의 불순물(제2 불순물)이 혼입되기 쉽다. 따라서 이러한 SiOC층이 적층되어 이루어지는 SiOC막 중에도 수분이나 Cl 등의 불순물이나 CxHy계의 불순물이 다량 포함되는 경우가 있다. 수분 등의 불순물은 예컨대 산화 가스로서 이용한 H2O가스나 처리실(201) 내에 웨이퍼(200)를 반입할 때에 외부로부터 침입한 수분 등에서 유래한다. Cl 등의 불순물은 예컨대 BTCSM분자 중의 Cl 등에서 유래한다. CxHy계의 불순물은 예컨대 BTCSM분자 중의 C, H나 피리딘 분자 중의 C, H에서 유래한다. 즉 피리딘은 그 일부가 분해되는 경우도 있고, 이 경우 피리딘은 반응의 속도를 변화시키지만 화학 반응의 전후에서 그 자체의 일부가 변화하므로 엄밀하게는 촉매가 아니다. 하지만 그 경우에도 피리딘은 그 대부분이 분해되지 않는다. 즉 그 경우에도 피리딘은 반응의 속도를 변화시켜 화학 반응의 전후에서 그 자체의 대부분이 변화되지 않으므로 실질적으로 촉매로서 작용한다. 본 명세서에서는 본 실시 형태의 반응계에서의 피리딘과 같이 그 일부는 분해되는 경우도 있지만, 대부분은 분해되지 않고, 실질적으로 촉매로서 작용하는 물질을 촉매라고 칭한다.
(잔류 가스 제거)
그 후 밸브(243b)를 닫고 H2O가스의 공급을 정지한다. 또한 밸브(243c)를 닫고 피리딘 가스의 공급을 정지한다. 이때 배기관(231)의 APC밸브(244)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 또는 반응에 기여한 후의 H2O가스나 피리딘 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다(잔류 가스 제거). 또한 밸브(243j 내지 243l)는 연 상태로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 제2층의 형성에 기여한 후의 H2O가스나 피리딘 가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
이때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝1a에서 악영향이 발생하지 않는다. 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝1a에서 악영향이 발생하지 않을 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
산화 가스로서는 H2O가스 외에 과산화수소(H2O2) 가스, 수소(H2) 가스+산소(O2) 가스, H2가스+오존(O3) 가스 등을 이용해도 좋다. 또한 수소(H)를 함유하지 않는 가스, 즉 O2가스 등을 단독으로 이용해도 좋다. 촉매 가스로서는 피리딘 가스 외에 전술한 각종의 아민계 가스 또는 비아민계 가스를 이용해도 좋다. 불활성 가스로서는 N2가스 외에 전술한 각종의 희가스를 이용해도 좋다.
또한 본 발명자들에 의하면, 본 실시 형태의 가스계 및 조건 범위 내에서 종합적으로 판단하면, 각 공정을 통해 촉매 가스로서 보다 바람직한 것은 피리딘 가스라고 생각된다. 이어서 TEA가스가 바람직하고, 그 다음으로 피페리딘 가스가 바람직한 것으로 생각된다.
(소정 횟수 실시)
전술한 스텝1a, 스텝2a를 1사이클로 하여 이 사이클을 1회 이상, 즉 소정 횟수(n회) 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 SiOC막을 성막할 수 있다. 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉 1사이클당 형성하는 SiOC층의 두께를 원하는 막 두께보다 작게 하여 전술한 사이클을 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다.
이때 각 스텝에서의 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어하는 것에 의해 SiOC층에서의 각 원소 성분, 즉 Si성분, O성분 및 C성분의 비율, 즉 Si농도, O농도 및 C농도를 미조정(微調整)할 수 있어, SiOC막의 조성비를 보다 치밀하게 제어할 수 있다.
또한 사이클을 복수 회 수행하는 경우, 적어도 2사이클째 이후의 각 스텝에서 「웨이퍼(200)에 대하여 소정의 가스를 공급한다」라고 기재한 부분은 「웨이퍼(200) 상에 형성되는 층에 대하여, 즉 적층체로서의 웨이퍼(200)의 최표면에 대하여 소정의 가스를 공급한다」라는 것을 의미하고, 「웨이퍼(200) 상에 소정의 층을 형성한다」라고 기재한 부분은 「웨이퍼(200) 상에 형성되는 층 상, 즉 적층체로서의 웨이퍼(200)의 최표면 상에 소정의 층을 형성한다」라는 것을 의미한다. 이 점은 전술한 바와 같다. 또한 이 점은 후술하는 다른 실시 형태에서도 마찬가지이다.
(SiOC막 개질 공정)
이상과 같이 형성된 SiOC막은 예컨대 150℃ 이하의 저온 조건 하에서 형성된 막이며, 전술한 바와 같이 예컨대 수분이나 Cl 등의 불순물이나 CxHy계의 불순물이 혼입되는 경우가 있다. SiOC막에 이들 불순물이 혼입되면, SiOC막의 에칭 내성이 저하되고 또한 유전율이 높아지는 경우가 있어, 막 중에 C를 첨가한 효과를 얻지 못하는 경우가 있다.
그래서 본 실시 형태에서는, SiOC막을 형성하는 공정에서의 웨이퍼(200)의 온도보다 높은 제1 온도로 SiOC막을 열처리하는 것에 의해 SiOC막 중으로부터 제1 불순물(수분이나 Cl 등의 불순물)을 제거하는 공정(제1 열처리 공정); 및 제1 온도 이상의 제2 온도로 SiOC막을 열처리하는 것에 의해 제1 온도로 열처리한 후의 SiOC막 중으로부터 제1 불순물과는 다른 제2 불순물(CxHy계의 불순물)을 제거하는 공정(제2 열처리 공정);을 수행하고, SiOC막 중에서의 복수 종류의 불순물을 적어도 2단계로 제거하는 개질 처리를 수행한다. 즉 SiOC막을 개질하는 처리, 이른바 어닐링 처리를 2단계로 수행한다. 이하, 이 SiOC막 개질 공정의 시퀀스예에 대하여 설명한다.
(압력 조정 및 온도 조정)
처리실(201) 내가 원하는 압력(진공도)이 되도록 APC밸브(244)를 피드백 제어하면서 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기한다(압력 조정). 또한 처리실(201) 내의 웨이퍼(200)가 원하는 온도, 즉 제1 온도가 되도록 히터(207)에 의해 가열된다. 이때 처리실(201) 내가 원하는 온도 분포가 되도록 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)로의 통전 상태가 피드백 제어된다(온도 조정). 이 공정에서도 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 계속해둔다.
또한 이때 처리실(201) 내에 산소 비함유 가스로서의 N2가스를 공급하여 처리실(201) 내를 산소 비함유의 분위기로 한다. 이때 불활성 가스 공급관(232j 내지 232l) 중 적어도 어느 하나 또는 모두 사용하여 N2가스를 공급할 수 있다. 여기서는 예컨대 불활성 가스 공급관(232j 내지 232l)을 모두 사용하여 N2가스를 공급한다. 즉 밸브(243j 내지 243l)를 열고 불활성 가스 공급관(232j 내지 232l) 내에 N2가스를 흘린다. 불활성 가스 공급관(232j 내지 232l) 내를 흐른 N2가스는 MFC(241j 내지 241l)에 의해 유량 조정되어 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이에 의해 처리실(201) 내가 N2가스 분위기, 즉 산소 비함유의 분위기가 된다. 산소 비함유 가스로서의 N2가스는 이후 열처리 가스로서도 작용한다.
(제1 열처리)
처리실(201) 내가 원하는 압력을 가지는 N2가스 분위기가 되고 또한 웨이퍼(200)의 온도가 원하는 온도, 즉 제1 온도가 되면, 이러한 상태를 소정 시간 보지하고, 웨이퍼(200) 상에 형성된 SiOC막에 대하여 제1 열처리를 수행한다.
이때 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 133Pa 내지 101,325Pa(1Torr 내지 760Torr), 바람직하게는 10,132Pa 내지 101,325Pa(76Torr 내지 760Torr)의 범위 내의 압력으로 한다. MFC(241j 내지 241l)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. 웨이퍼(200) 상의 SiOC막에 대한 열처리 시간은 예컨대 1분 내지 60분, 바람직하게는 1분 내지 30분, 보다 바람직하게는 1분 내지 10분의 범위 내의 시간으로 한다.
이때 히터(207)의 온도는 웨이퍼(200)의 온도가 예컨대 전술한 SiOC막을 형성하는 공정에서의 웨이퍼(200)의 온도보다 높은 제1 온도가 될 수 있는 온도로 설정한다. 구체적으로는 웨이퍼(200)의 온도가 실온 내지 150℃보다 높은 온도이며, 예컨대 300℃ 이상 450℃ 이하, 바람직하게는 300℃ 이상 400℃ 이하, 보다 바람직하게는 300℃ 이상 350℃ 이하의 범위 내의 온도가 될 수 있는 온도로 설정한다. 이러한 온도 범위는 SiOC막 중으로부터 제1 불순물로서의 수분이나 Cl 등의 불순물을 원하지 않는 반응(SiOC막의 산화 등)을 발생시키지 않고 효율적으로 또한 충분히 탈리시켜서 제거하는 것을 고려한 후에 결정된다.
즉 도 17의 (a) 및 도 17의 (b)에 도시되듯이 웨이퍼(200)의 온도가 300℃를 하회(下回)하면, SiOC막 중으로부터 수분(H2O)이나 Cl 등의 불순물, 특히 수분을 탈리시켜서 제거하는 것이 어려워져 SiOC막의 개질 효과가 저하된다. 예컨대 웨이퍼(200)의 온도를 150℃ 이하로 하면, SiOC막 중으로부터 수분이나 Cl 등의 불순물은 거의 탈리하지 않는다는 사실을 도 17의 (a) 및 도 17의 (b)를 통해서 알 수 있다. 웨이퍼(200)의 온도를 300℃ 이상으로 하는 것에 의해 SiOC막 중으로부터 수분이나 Cl 등의 불순물을 충분히 탈리시켜서 제거하는 것이 가능해진다.
단, 웨이퍼(200)의 온도가 450℃를 상회(上回)하면, SiOC막 중으로부터 수분이나 Cl 등이 탈리할 때에 수분과 Cl이 반응하는 것에 의해 SiOC막이 산화되는 경우가 있다. SiOC막이 산화되는 것에 의해 SiOC막의 막 수축률이 커진다. 또한 수분과 Cl이 반응할 때에 HCl이 생성되고, 이 HCl에 의해 SiOC막 중에 포함되는 Si-Cl결합이나 Si-H결합 등이 분리되는 경우도 있다. 이들 결합이 분리되면 SiOC막 중에 불필요한 흡착 사이트가 생성되고, 이 흡착 사이트로의 SiOC막 중으로부터 탈리한 물질(탈리 물질)의 재흡착을 초래한다. 즉 이들 결합이 분리되어 댕글링 본드(미결합수)를 가지게 된 Si에 SiOC막 중으로부터의 탈리 물질이 흡착한다. 이와 같이 하여 형성된 Si와 탈리 물질의 결합은 불안정하고 약하며, 이 탈리 물질은 불순물로서 SiOC막 중에 잔존한다. 탈리 물질로서는 수분이나 Cl이나 CxHy계의 불순물이나 이들이 분해한 물질 등을 예로 들 수 있다.
또한 이 온도대, 즉 450℃를 상회하는 온도대에서는 도 17의 (c)에 도시되듯이 SiOC막 중으로부터 CxHy계의 불순물도 탈리하지만, 이 탈리한 CxHy계의 불순물이 SiOC막 중을 통과할 때에 Cl과 반응하는 것에 의해 SiOC막 중에서의 흡착 사이트로의 C의 재흡착을 야기한다. 즉 CxHy계의 불순물과 Cl의 반응에 의해 CxHy계의 불순물에서 유래하는 C가 SiOC막을 구성하는 어느 하나의 원소(원자), 예컨대 Si의 댕글링 본드에 흡착한다. 이와 같이 하여 형성된 C와 Si의 결합은 불안정하고 약하며, 이에 따라 CxHy계의 불순물에서 유래하는 C는 SiOC막을 구성하는 성분으로 되지 않고, 불순물로서 SiOC막 중에 잔존한다. 또한 SiOC막 중의 흡착 사이트에 C가 재흡착할 때는 C가 C 단독으로 이 흡착 사이트에 재흡착하는 경우도 있고, C가 CxHy의 형태로 이 흡착 사이트에 재흡착하는 경우도 있다.
이에 따라 이 온도대, 즉 450℃를 상회하는 온도대에서는 이들의 원하지 않는 반응에 의해 SiOC막의 막 수축률이 커지고, 또한 SiOC막 중으로부터 불순물을 충분히 탈리시켜서 제거할 수 없게 된다. 그리고 결과적으로 SiOC막의 k값을 충분히 낮출 수 없게 된다.
웨이퍼(200)의 온도를 300℃ 이상 450℃ 이하로 하는 것에 의해 이 원하지 않는 반응을 억제하면서 SiOC막 중으로부터 수분이나 Cl 등의 불순물을 충분히 탈리시켜서 제거하는 것이 가능해진다. 즉 SiOC막 중으로부터 수분이나 Cl 등이 탈리할 때의 수분과 Cl의 반응에 의한 SiOC막의 산화를 억제하고, SiOC막의 막 수축률의 증대를 억제하는 것이 가능해진다. 또한 수분과 Cl의 반응에 의해 생성되는 HCl에 의한 SiOC막 중의 Si-Cl결합이나 Si-H결합 등의 분리를 억제하고, 불필요한 흡착 사이트의 생성과 이 흡착 사이트로의 탈리 물질의 재흡착을 억제하는 것이 가능해진다. 또한 SiOC막 중으로부터 CxHy계의 불순물이 탈리할 때의 CxHy계의 불순물과 Cl의 반응에 의한 C의 재흡착을 억제하는 것이 가능해진다. 또한 도 17의 (c)에 도시되듯이 특히 웨이퍼(200)의 온도가 400℃ 정도일 때에 CxHy계의 불순물의 탈리량이 피크가 된다. 따라서 웨이퍼(200)의 온도를 400℃ 이하, 바람직하게는 350℃ 이하로 하는 것에 의해 CxHy계의 불순물의 탈리를 억제할 수 있다. 즉 CxHy계의 불순물의 탈리량을 적게 할 수 있다. 이에 의해 SiOC막으로부터 탈리하는 CxHy계의 불순물의 절대량을 저감할 수 있어, CxHy계의 불순물과 Cl의 반응에 의한 C의 재흡착을 한층 더 억제할 수 있다.
즉 웨이퍼(200)의 온도를 이러한 온도대, 즉 300℃ 이상 450℃ 이하의 온도대로 하는 것에 의해 SiOC막의 막 수축률을 작게 할 수 있어, SiOC막 중으로부터 탈리한 C나 CxHy를 포함하는 탈리 물질의 SiOC막 중의 흡착 사이트로의 재흡착을 억제할 수 있고, SiOC막 중으로부터 불순물, 특히 수분이나 Cl 등의 불순물을 충분히 탈리시켜서 제거하는 것이 가능해진다.
또한 전술한 바와 같이 웨이퍼(200)의 온도를 이러한 온도대, 즉 300℃ 이상 450℃ 이하의 온도대로 하는 것에 의해 SiOC막 중으로부터 수분이나 Cl 등의 불순물이 탈리하여 제거될 뿐만 아니라, CxHy계의 불순물의 적어도 일부도 탈리하여 제거된다. 또한 그 때, 이러한 온도대라면, SiOC막 중으로부터 탈리한 CxHy계의 불순물과 Cl의 반응에 의한 SiOC막 중의 흡착 사이트로의 C의 재흡착을 억제할 수 있다. 즉 이러한 온도대로 하는 것에 의해 SiOC막 중으로부터 한 번 탈리시킨 CxHy계의 불순물에서의 C가 SiOC막 중의 흡착 사이트에 재흡착하는 것을 억제하는 것이 가능해진다.
이상으로부터 웨이퍼(200)의 온도는 300℃ 이상 450℃ 이하, 바람직하게는 300℃ 이상 400℃ 이하, 보다 바람직하게는 300℃ 이상 350℃ 이하의 범위 내의 온도로 하는 것이 좋다.
전술한 조건 하에서 SiOC막에 대하여 제1 열처리를 수행하는 것에 의해 전술한 원하지 않는 반응을 억제하면서 SiOC막 중의 수분이나 Cl 등의 불순물을 SiOC막 중으로부터 충분히 탈리시켜서 제거할 수 있다. 또한 전술한 원하지 않는 반응을 억제하면서 SiOC막 중의 CxHy계의 불순물의 적어도 일부를 SiOC막 중으로부터 탈리시켜서 제거할 수 있다. SiOC막 중에서의 이들의 불순물이 SiOC막 중으로부터 제거되는 것에 의해 SiOC막이 개질되어 제1 열처리를 수행하기 전의 SiOC막보다 SiOC막의 에칭 내성이 높아지고 또한 유전율이 저하되어, SiOC막의 막질(막 특성)을 향상시킬 수 있다.
단, 제1 열처리 공정이 종료된 단계, 즉 SiOC막 중으로부터 수분이나 Cl 등의 불순물을 충분히 제거시킨 단계에서는 SiOC막 중에 CxHy계의 불순물이 잔류하는 경우가 있다. 즉 이러한 온도대에서는 SiOC막 중으로부터 수분이나 Cl 등의 불순물이나 CxHy계의 불순물이 탈리하여 제거되지만, CxHy계의 불순물보다 수분이나 Cl 등의 불순물이 먼저 제거되고, 수분이나 Cl 등의 불순물이 거의 제거된 단계에서는 SiOC막 중에 CxHy계의 불순물이 여전히 잔류하는 경우가 있다. 그리고 이 단계에서는 SiOC막 중에 잔류하는 CxHy계의 불순물이 원인으로 SiOC막의 k값을 충분히 낮추지 못하는 경우가 있다. 그래서 후술하는 제2 열처리 공정에서 제1 온도 이상의 제2 온도로 SiOC막을 열처리하는 것에 의해 제1 온도로 열처리한 후의 SiOC막 중으로부터 SiOC막 중에 잔류하는 CxHy계의 불순물을 제거한다. 그리고 결과적으로 SiOC막의 k값을 충분히 낮출 수 있다.
(제2 열처리)
제1 열처리 종료 후, 즉 SiOC막 중으로부터 수분이나 Cl 등의 불순물을 충분히 탈리시켜서 제거시킨 후, 웨이퍼(200)의 온도를 제1 온도로부터 제2 온도로 변경한다. 제2 온도는 제1 온도 이상의 온도로 한다. 즉 제2 온도는 제1 온도보다 높은 온도로 하거나 제1 온도와 동등한 온도로 한다. 처리실(201) 내의 분위기는 제1 열처리 공정과 마찬가지의 원하는 압력을 가지는 N2가스 분위기로 유지한다.
웨이퍼(200)의 온도가 원하는 온도, 즉 제2 온도가 되면, 이 상태를 소정 시간 보지하고, 제1 열처리가 수행된 SiOC막에 대하여 제2 열처리를 수행한다. 즉 제1 온도로 제1 열처리를 수행한 후의 SiOC막에 대하여 제2 온도로 제2 열처리를 수행한다.
이때 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 133Pa 내지 101,325Pa(1Torr 내지 760Torr), 바람직하게는 10,132Pa 내지 101,325Pa(76Torr 내지 760Torr)의 범위 내의 압력으로 한다. MFC(241j 내지 241l)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. 웨이퍼(200) 상의 SiOC막에 대한 열처리 시간은 예컨대 1분 내지 120분, 바람직하게는 1분 내지 60분, 보다 바람직하게는 1분 내지 30분의 범위 내의 시간으로 한다.
이때 히터(207)의 온도는 웨이퍼(200)의 온도가 예컨대 전술한 제1 열처리 공정에서의 제1 온도 이상의 제2 온도가 될 수 있는 온도로 설정한다. 구체적으로는 웨이퍼(200)의 온도가 예컨대 300℃ 이상 900℃ 이하, 바람직하게는 350℃ 이상 700℃ 이하, 보다 바람직하게는 400℃ 이상 700℃ 이하, 또한 바람직하게는 450℃ 이상 600℃ 이하의 범위 내의 온도가 될 수 있는 온도로 설정한다. 이러한 온도 범위는 SiOC막 중으로부터 제2 불순물로서의 CxHy계의 불순물을 효율적으로 또한 충분히 탈리시켜서 제거하는 것이나, 웨이퍼(200)가 받는 열 부하나 열 이력 등을 고려한 후에 결정된다.
즉 도 17의 (c)에 도시되듯이 웨이퍼(200)의 온도가 300℃를 하회하면, SiOC막 중으로부터 C2H2 등의 CxHy계의 불순물을 탈리시켜서 제거하는 것이 어려워져 SiOC막의 개질 효과가 저하된다. 예컨대 웨이퍼(200)의 온도를 200℃ 이하로 하면, SiOC막 중으로부터 CxHy계의 불순물은 거의 탈리하지 않는다는 사실을 도 17의 (c)를 통해서 알 수 있다. 웨이퍼(200)의 온도를 300℃ 이상으로 하는 것에 의해 SiOC막 중으로부터 CxHy계의 불순물을 충분히 탈리시켜서 제거하는 것이 가능해진다. 단, 웨이퍼(200)의 온도를 300℃로 한 경우에는 SiOC막 중으로부터 CxHy계의 불순물을 충분히 탈리시킬 때까지 시간이 걸리는 경우가 있다. 웨이퍼(200)의 온도를 350℃ 이상으로 하는 것에 의해 SiOC막 중으로부터 CxHy계의 불순물을 충분히 탈리시킬 때까지의 시간을 단축하는 것이 가능해진다. 또한 도 17의 (c)에 도시되듯이 특히 웨이퍼(200)의 온도가 400℃ 정도일 때에 CxHy계의 불순물의 탈리량이 피크가 된다. 따라서 웨이퍼(200)의 온도를 400℃ 이상으로 하는 것에 의해 CxHy계의 불순물의 탈리를 촉진시키는 것이 가능해진다. 즉 SiOC막 중으로부터 CxHy계의 불순물을 효율적으로 탈리시킬 수 있다. 또한 SiOC막 중으로부터 CxHy계의 불순물을 충분히 탈리시킬 때까지의 시간을 한층 더 단축하는 것도 가능해진다.
또한 제2 열처리 공정을 수행하는 단계에서는 SiOC막 중에서의 수분이나 Cl 등의 불순물은 이미 제거되어, 전술과 같은 원하지 않는 반응은 발생하지 않는다. 즉 수분과 Cl의 반응에 의한 SiOC막의 산화, 그것에 의한 SiOC막의 막 수축률의 증대, 수분과 Cl의 반응에 의한 HCl의 생성, HCl에 의한 SiOC막 중의 Si-Cl결합이나 Si-H결합 등의 분리, 그에 따른 불필요한 흡착 사이트의 생성, 이 흡착 사이트로의 탈리 물질의 재흡착, CxHy계의 불순물과 Cl의 반응에 의한 흡착 사이트로의 C의 재흡착 등의 원하지 않는 반응은 발생하지 않는다. 이는 제2 온도는 원하지 않는 반응이 발생할 수 있는 온도대(450℃를 상회하는 온도대)를 포함하지만 제2 열처리 공정을 수행하는 단계에서는 원하지 않는 반응을 발생시키는 물질(수분이나 Cl 등)이 발생하지 않기 때문이다. 또한 웨이퍼(200)의 온도를 450℃ 이상으로 해도 SiOC막 중으로부터의 CxHy계의 불순물의 탈리를 촉진시킬 수 있어 바람직하다. 웨이퍼(200)의 온도를 450℃ 이상으로 하는 것에 의해 웨이퍼(200)의 온도를 300℃ 내지 350℃로 하는 경우보다 SiOC막 중으로부터의 CxHy계의 불순물의 탈리를 촉진시킬 수 있다.
또한 웨이퍼(200)의 온도가 900℃를 초과하면 열 부하가 지나치게 커져, 웨이퍼(200) 상에 형성되는 반도체 디바이스의 상기 특성 등에 영향을 미칠 우려가 있다. 웨이퍼(200)의 온도를 적어도 900℃ 이하로 하는 것에 의해, 이 열 부하에 의한 상기 특성 등에 대한 영향을 억제하는 것이 가능해진다. 또한 열처리 대상의 SiOC막이 형성된 웨이퍼(200)가 메모리 디바이스에 적합한 경우에는 900℃ 정도의 열에도 견딜 수 있다. 또한 이러한 웨이퍼(200)가 로직 디바이스에 적합해도 700℃ 정도의 열에도 견딜 수 있다. 웨이퍼(200)의 온도를 한층 더 600℃ 이하로 하면, 보다 확실하게 디바이스 구조 등의 열 손상을 회피하는 것이 용이해진다.
이상으로부터 웨이퍼(200)의 온도는 300℃ 이상 900℃ 이하, 바람직하게는 350℃ 이상 700℃ 이하, 보다 바람직하게는 400℃ 이상 700℃ 이하, 또한 바람직하게는 450℃ 이상 600℃ 이하의 범위 내의 온도로 하는 것이 좋다. 또한 제2 온도는 제1 온도 이상의 온도로 하면 좋다. 즉 제2 온도는 제1 온도보다 높은 온도로 해도 좋고, 제1 온도와 동등한 온도로 해도 좋다. 예컨대 제1 온도를 300℃ 내지 400℃로 하고, 제2 온도를 450℃ 내지 600℃로 해도 좋다. 이 경우 전술한 원하지 않는 반응을 보다 확실하게 방지하는 것이 가능해진다. 또한 예컨대 제1 온도 및 제2 온도를 동일한 온도, 예컨대 400℃ 내지 450℃의 범위 내의 온도로 해도 좋다. 제1 온도 및 제2 온도를 400℃ 내지 450℃의 범위 내의 온도로 하면, 전술한 원하지 않는 반응을 억제하면서 동일한 온도로, 즉 웨이퍼(200)의 온도를 변경하지 않고 제1 열처리와 제2 열처리를 연속적으로 수행하는 것이 가능해진다.
전술한 조건 하에서 SiOC막에 대하여 제2 열처리를 수행하는 것에 의해, 전술한 원하지 않는 반응을 억제하면서 SiOC막 중의 CxHy계의 불순물을 SiOC막 중으로부터 충분히 탈리시켜서 제거할 수 있다. SiOC막 중에서의 이 불순물이 SiOC막 중으로부터 제거되는 것에 의해 SiOC막이 한층 더 개질되어 제1 열처리를 수행한 후이며 제2 열처리를 수행하기 전의 SiOC막보다 한층 더 SiOC막의 에칭 내성이 높아지고, 또한 유전율이 저하되어 SiOC막의 막질(막 특성)을 한층 더 향상시킬 수 있다. 본 실시 형태의 기법에 의하면, SiOC막의 유전율(k값)을 2.7 정도까지 저하시킬 수 있다는 것을 확인했다.
이와 같이 본 실시 형태에서는 우선 원하지 않는 반응이 발생하지 않는 제1 온도로, SiOC막을 열처리하는 것에 의해 원하지 않는 반응을 발생시키지 않고, SiOC막 중으로부터 제1 불순물인 수분이나 Cl 등의 불순물을 제거한다. 그리고 SiOC막 중으로부터 제1 불순물인 수분이나 Cl 등의 불순물을 제거한 후에 원하지 않는 반응이 발생할 수 있는 온도대를 포함하는 제2 온도로, 원하지 않는 반응을 발생시키는 물질이 존재(발생)하지 않는 분위기 하에서 SiOC막을 열처리하는 것에 의해, 원하지 않는 반응을 발생시키지 않고 제1 온도로 열처리한 후의 SiOC막 중으로부터 제2 불순물인 CxHy계의 불순물을 제거한다.
즉 본 실시 형태에서는 우선 원하지 않는 반응이 발생하지 않는 온도대(제1 온도)로 SiOC막을 열처리하는 것에 의해, 원하지 않는 반응을 발생시키지 않고 SiOC막 중으로부터 원하지 않는 반응을 발생시키는 물질인 수분이나 Cl 등의 불순물(제1 불순물)을 제거한다. 그리고 SiOC막 중으로부터 원하지 않는 반응을 발생시키는 물질인 수분이나 Cl 등의 불순물(제1 불순물)을 제거한 후에 원하지 않는 반응이 발생할 수 있는 온도대를 포함하는 온도대(제2 온도)로, 원하지 않는 반응을 발생시키는 물질인 수분이나 Cl 등의 불순물(제1 불순물)이 존재(발생)하지 않는 분위기 하에서 SiOC막을 열처리하는 것에 의해 원하지 않는 반응을 발생시키지 않고, 원하지 않는 반응이 발생하지 않는 온도대(제1 온도)로 열처리한 후의 SiOC막 중으로부터 CxHy계의 불순물(제2 불순물)을 제거한다.
본 실시 형태에서의 이러한 열처리를 2단계 열처리(다단계 열처리)라고도 칭할 수 있다. 또한 2단계 어닐링(다단계 어닐링)이나, 2단계 개질 처리(다단계 개질 처리)나, 2단계 불순물 제거 처리(다단계 불순물 제거 처리) 등이라고도 칭할 수 있다.
또한 제1 열처리 공정 및 제2 열처리 공정에서는 처리실(201) 내를 산소 비함유 가스로서의 N2가스에 의해 산소 비함유의 분위기로 하기 때문에 전술과 같은 성막 온도보다 높은 온도로 열처리를 수행해도 SiOC막 중의 O농도가 원하는 농도를 초과하여 높아지는 것, 즉 SiOC막의 산화가 과도하게 진행되는 것을 억제할 수 있다. 또한 처리실(201) 내를 산소 비함유의 분위기로 하기 때문에 산화의 진행 등에 따라 SiOC막 중의 C농도가 원하는 농도 미만으로 저하되는 것, 즉 SiOC막 중으로부터 C가 탈리하는 것을 억제할 수 있다. 이때 N2가스 등의 산소 비함유 가스는 열처리 가스로서 작용한다고도 할 수 있다. 또한 N2가스 등은 SiOC막 중으로부터 탈리한 불순물을 운반하는 캐리어라고도 할 수 있다. 즉 산소 비함유 가스는 이들 불순물의 SiOC막 중이나 처리실(201) 내로부터의 배출을 촉진시키고, 이에 의해 SiOC막의 개질을 촉진시키는 어닐링 가스로서 작용한다고도 할 수 있다.
또한 처리실(201) 내를 산소 비함유의 분위기로 하기 위해서는, 예컨대 N2가스 등의 산소 비함유 가스를 웨이퍼(200)에 대하여 공급하지 않고, 산소 비함유의 분위기를 생성하는 분위기 생성부로서의 배기계에 의해 처리실(201) 내를 진공 배기해도 좋다. 이에 의해 산소(O) 성분을 포함한 대부분의 성분이 처리실(201) 내의 분위기로부터 배기 및 제거된다. 단, 전술한 바와 같이 처리실(201) 내를 배기하면서 또한 N2가스 등의 산소 비함유 가스를 웨이퍼(200)에 대하여 공급하는 것에 의해 예컨대 처리실(201) 내에 잔류하는 O성분의 배기를 한층 더 촉진시켜, 처리실(201) 내를 산소 비함유의 분위기로 하는 것이 한층 더 용이해진다. 또한 처리실(201)을 구성하는 처리 용기의 내벽이나 외부로부터 침입한 웨이퍼(200)로부터 O성분을 포함한 아웃 가스가 발생해도 N2가스에 의한 희석 효과로 처리실(201) 내의 산소 비함유의 분위기가 유지되기 쉽다. 이와 같이 산소 비함유의 분위기란 처리 대상의 SiOC막에 영향을 주지 않을 정도로 처리실(201) 내의 분위기 중의 O농도가 저하된 상태를 포함한다.
또한 SiOC막 중의 불순물을 제거하는 SiOC막의 개질 처리(어닐링 처리)는 주로 웨이퍼(200)의 온도가 원하는 온도로 안정적으로 유지되는 열처리의 기간 중에 수행된다. 단, 전술한 웨이퍼(200)의 온도를 조정하는 공정(성막 온도로부터 제1 온도로 변경하는 공정, 제1 온도로부터 제2 온도로 변경하는 공정 등)에서 웨이퍼(200)를 승온시킬 때 또는 후술하는 처리실(201) 내를 퍼지하는 공정에서 웨이퍼(200)를 강온시킬 때도 SiOC막 중의 불순물의 제거가 진행될 수 있는 온도로 웨이퍼(200)의 온도가 유지되는 동안은 SiOC막의 개질 처리는 진행될 수 있다. 따라서 SiOC막을 개질하는 공정이란 주로 SiOC막을 열처리하는 공정을 가리키지만, 웨이퍼(200)의 온도를 조정하는 공정 및 처리실(201) 내를 퍼지하는 공정 중 적어도 일부의 기간을 SiOC막을 개질하는 공정에 포함시켜서 생각해도 좋다. 바꿔 말하면, SiOC막을 개질하는 공정이란 웨이퍼(200)의 온도가 개질 처리에 필요한 온도에 도달하고 나서 상기 원하는 온도로 소정 시간 유지되고, 개질 처리에 필요한 온도 미만에 도달하기 직전까지의 기간을 가리킨다.
산소 비함유 가스로서는 N2가스 외에 Ar가스, He가스, Ne가스, Xe가스 등의 희가스를 이용해도 좋다.
(퍼지 및 대기압 복귀)
SiOC막 중의 수분이나 Cl 등의 불순물이나 CxHy계의 불순물이 SiOC막 중으로부터 제거되고, SiOC막을 개질하는 처리가 이루어지면, 밸브(243j 내지 243l)를 연 상태로 하여 불활성 가스 공급관(232j 내지 232l) 각각으로부터 불활성 가스로서의 N2가스를 처리실(201) 내에 공급하고, 배기관(231)으로부터 배기한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내가 불활성 가스로 퍼지되어, 처리실(201) 내에 잔류하는 가스나 SiOC막으로부터 탈리한 불순물 등의 물질을 포함하는 가스 등이 처리실(201) 내로부터 제거된다(퍼지). 그 후 처리실(201) 내의 분위기가 불활성 가스로 치환되어(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
또한 웨이퍼(200)의 온도가 예컨대 200℃ 미만, 바람직하게는 실온 정도의 온도가 되도록 웨이퍼(200)를 강온시킨다. 즉 히터(207)로의 통전 상태를 조정하여 또는 히터(207)로의 통전을 정지하여, 웨이퍼(200)의 온도를 저하시킨다. 이러한 웨이퍼(200)의 강온을 상기 퍼지 및 대기압 복귀와 병행하여 수행하는 것에 의해 N2가스 등의 퍼지 가스의 냉각 효과에 의해 보다 단시간에 웨이퍼(200)의 온도를 소정 온도까지 저하시킬 수 있다.
(보트 언로드 및 웨이퍼 디스차지)
그 후 보트 엘리베이터(115)에 의해 씰 캡(219)이 하강되어 매니폴드(209)의 하단이 개구되는 것과 함께, 처리 완료된 웨이퍼(200)가 보트(217)에 지지된 상태에서 매니폴드(209)의 하단으로부터 반응관(203)의 외부에 반출(보트 언로드)된다. 그 후 처리 완료된 웨이퍼(200)는 보트(217)로부터 취출된다(웨이퍼 디스차지).
(3) 본 실시 형태에 따른 효과
본 실시 형태에 의하면, 이하에 나타내는 1개 또는 복수의 효과를 갖는다.
(a) 본 실시 형태의 성막 시퀀스에 의하면, SiOC막 형성 공정의 스텝1a에서 웨이퍼(200)에 대하여 피리딘 가스의 공급을 실시한 상태에서 BTCSM가스를 공급한다. 이와 같이 소정 원소(Si), C 및 할로겐 원소(Cl)를 포함하고 소정 원소(Si)와 C의 화학 결합(Si-C결합)을 포함하는 원료 가스, 특히 1분자 중에 C, Cl 및 적어도 2개의 Si를 포함하고 Si-C결합을 포함하는 원료 가스를 이용하는 것에 의해, 고농도로 C가 함유된 막, 즉 높은 C농도를 가지는 SiOC막을 형성하는 것이 가능해진다. 또한 SiOC막 중의 C농도를 정밀도 좋게 제어할 수 있다. 따라서 예컨대 에칭 내성이 높은 SiOC막을 얻을 수 있다.
(b) 또한 본 실시 형태의 성막 시퀀스에 의하면, SiOC막 개질 공정에서 SiOC막을 형성하는 공정에서의 웨이퍼(200)의 온도보다 높은 제1 온도로 SiOC막을 열처리하는 것에 의해 SiOC막 중으로부터 제1 불순물(수분이나 Cl 등의 불순물)을 제거하는 공정(제1 열처리 공정)과, 제1 온도 이상의 제2 온도로 SiOC막을 열처리하는 것에 의해 제1 온도로 열처리한 후의 SiOC막 중으로부터 제1 불순물과는 다른 제2 불순물(CxHy계의 불순물)을 제거하는 공정(제2 열처리 공정)을 수행한다. 이에 의해 예컨대 150℃ 이하의 저온 조건 하에서 형성된 SiOC막에 수분이나 Cl 등의 불순물이나 CxHy계의 불순물이 혼입되는 경우에도 이러한 불순물을 SiOC막 중으로부터 제거하여 SiOC막을 개질할 수 있다. 따라서 SiOC막 개질 공정을 수행하기 전의 증착된(as depo) 상태의 SiOC막보다 SiOC막의 에칭 내성을 향상시키고, 또한 SiOC막의 유전율을 저하시킬 수 있다. 즉 SiOC막의 막질을 향상시킬 수 있다.
(c) 또한 본 실시 형태의 성막 시퀀스에 의하면, SiOC막 형성 공정 및 SiOC막 개질 공정의 일련의 처리를 수행하는 것에 의해 포러스상(狀)의 막을 형성하는 것이 가능해진다. 즉 SiOC막을 포러스화할 수 있다. SiOC막 형성 공정에서 형성된 SiOC막 중에는 적어도 Si-C결합과 Si-O결합이 존재한다. Si와 C의 결합 거리는 Si와 O의 결합 거리보다 크다. 따라서 SiO2막에 비하면, SiOC막은 막 중으로의 Si-C결합의 도입에 의해 원자 사이의 거리가 커져 막 밀도가 엉성해진다. 또한 SiOC막 중에는 Si-C-Si결합이 존재하는 경우도 있어, 이 경우 막 밀도가 한층 더 엉성해진다. 이 막 밀도가 엉성해지는 부분에는 미소한 공(孔, pore), 즉 미소한 공간이 발생한다고도 할 수 있다. 즉 SiOC막 형성 공정에서 형성된 SiOC막은 증착된 상태에서 포러스상의 막이 된다. 또한 SiOC막 개질 공정에서 SiOC막 중으로부터 수분이나 Cl 등의 불순물이나 CxHy계의 불순물이 탈리할 때, 이들 불순물이 제거된 부분에는 미소한 공(pore), 즉 미소한 공간이 발생한다. 즉 SiOC막 개질 공정에 의해 개질된 SiOC막은 증착된 상태의 SiOC막보다 포러스화가 한층 더 진행된 포러스상의 막이 된다. 그리고 전술한 처리 조건에서 제1 열처리 공정 및 제2 열처리 공정을 수행하는 것에 의해 SiOC막의 포러스 상태를 유지하는 것이 가능해진다. 또한 전술한 원하지 않는 반응이 발생하면, SiOC막의 막 수축률이 커져, SiOC막의 포러스 상태를 유지하는 것이 어려워진다. 따라서 SiOC막의 포러스 상태를 유지하기 위해서도 전술한 처리 조건으로 제1 열처리 공정 및 제2 열처리 공정을 수행하는 것이 바람직하다. SiOC막을 포러스 상태의 막으로 하는 것에 의해 SiOC막 개질 공정을 수행하기 전의 증착된 상태의 SiOC막보다 SiOC막의 유전율을 저하시킬 수 있다. 즉 SiOC막의 막질을 향상시킬 수 있다.
(d) 또한 본 실시 형태의 성막 시퀀스에 의하면, SiO2막의 유전율(k값)보다 낮은 유전율(k값)을 가지는 SiOC막을 형성할 수 있다. 즉 본 실시 형태의 성막 시퀀스에 의하면, SiOC막 형성 공정에 의해 포러스상의 SiOC막을 형성하고, SiOC막 개질 공정에 의해 SiOC막을 한층 더 포러스화하는 것과 함께 그 포러스 상태를 유지한다. 또한 SiOC막 개질 공정에 의해 SiOC막 중으로부터 수분이나 Cl 등의 불순물이나 CxHy계의 불순물을 제거한다. 수분(H2O) 등의 불순물은 영구 쌍극자 모멘트를 가지기 때문에, 전장(電場)에 따라 방향을 바꾸고 유전율을 높이는 물질이며, 이들 불순물의 제거는 유전율 저하에 크게 공헌한다. 이에 의해, 즉 SiOC막의 포러스화와, 유전율을 높이는 물질의 제거에 의해, SiO2막의 유전율보다 낮은 유전율을 가지는 SiOC막을 얻을 수 있다. 본 실시 형태의 성막 시퀀스에 의하면, SiOC막의 유전율을 3.0 이하, 구체적으로는 2.68까지 저하시킬 수 있다는 사실을 확인했다.
한편, 트랜지스터나, 차세대 메모리로서 개발되는 ReRAM이나 MRAM에는 저온 성막, 저WER(고에칭 내성), 저유전율 등을 만족시키는 박막으로서 예컨대 실리콘 질화막(SiN막)에 C를 첨가한 실리콘탄질화막(SiCN막)이나, 이러한 막 중에 또한 O를 첨가한 실리콘산탄질화막(SiOCN막) 등의 박막을 이용할 수 있다. 한편, 이들 박막의 에칭 내성을 한층 더 향상시키고 유전율을 한층 더 저하시키기 위해서는 막 중의 C농도나 O농도를 증가시켜 N농도를 저하시킬 필요가 있다. 하지만 예컨대 각종 가스를 교호(交互)적으로 공급하여 성막하는 전술과 같은 방법 또한 저온 영역에서 N농도를 예컨대 불순물 레벨로 억제하여 고농도의 C를 막 중에 함유시키는 것은 곤란하다.
본 실시 형태에서는 SiOC막의 성막 시, 예컨대 150℃ 이하의 저온으로 처리를 수행한다. 이러한 저온의 조건 하에서도 Si, C 및 Cl을 포함하고 Si-C결합을 포함하는 원료 가스를 이용하는 것에 의해 박막 중의 C농도를 높이거나 정밀도 좋게 제어할 수 있다.
(4) 본 실시 형태의 변형예
다음으로 본 실시 형태의 변형예에 대하여 도 5의 (b) 및 도 7을 이용하여 설명한다.
(변형예)
전술한 촉매 가스의 공급을 실시한 상태에서 원료 가스를 공급하는 공정에서는 공급하는 원료 가스의 종류를 선택하는 것에 의해 SiOC층 중의 나아가서는 SiOC층이 적층되어 이루어지는 SiOC막 중의 C농도를 제어할 수 있다.
즉 촉매 가스의 공급을 실시한 상태에서 원료 가스를 공급하는 공정에서는 원료 가스로서 분자 구조가 각각 다른 복수 종류의 원료 가스 중에서 특정의 원료 가스를 선택하여 공급하는 것에 의해 SiOC층이나 SiOC막 중의 C농도를 제어할 수 있다.
복수 종류의 원료 가스 중에서 특정의 원료 가스를 선택하여 공급하기 위해서는 분자 구조가 각각 다른 복수 종류의 원료 가스를 각각 공급하는 복수의 공급 라인 중에서 특정의 공급 라인을 선택하는 것에 의해 특정의 원료 가스를 공급할 수 있다. 전술한 바와 같이 본 실시 형태의 성막 시퀀스의 예에서는 BTCSM가스 공급 라인, TCDMDS가스 공급 라인 등 중에서 BTCSM가스 공급 라인을 선택하는 것에 의해 특정의 원료 가스로서 BTCSM가스를 공급한다. 또한 도 5의 (b)에 도시되듯이 본 실시 형태의 변형예의 성막 시퀀스예에서는 BTCSM가스 공급 라인, TCDMDS가스 공급 라인 등 중에서 TCDMDS가스 공급 라인을 선택하는 것에 의해 특정의 원료 가스로서 TCDMDS가스를 공급한다. 이와 같이 BTCSM가스 대신에 TCDMDS가스를 이용하는 것에 의해 전술한 실시 형태에서 얻어지는 SiOC막의 C농도와는 다른 C농도의 SiOC막을 얻을 수 있다.
여기서 웨이퍼(200)에 대한 TCDMDS가스의 공급 순서에 대하여 이하에 설명한다. 그 외에 H2O가스나 피리딘 가스 등의 공급 순서 및 처리 조건은 전술한 실시 형태와 마찬가지이므로 설명을 생략한다.
밸브(243d)를 열고 가스 공급관(232d) 내에 TCDMDS가스를 흘린다. TCDMDS가스는 MFC(241d)에 의해 유량 조정되어 가스 공급공(250a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대하여 TCDMDS가스가 공급된다(TCDMDS가스 공급). 이때 동시에 밸브(243j)를 열고 가스 공급관(232j) 내에 N2가스 등의 불활성 가스를 흘린다. N2가스는 MFC(241j)에 의해 유량 조정되어 TCDMDS가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
피리딘 가스는 TCDMDS가스와 함께 웨이퍼(200)에 대하여 공급되는 것에 의해 웨이퍼(200)의 표면에 존재하는 O-H결합의 결합력을 약화시키고, TCDMDS가스의 분해를 촉진하고, TCDMDS분자의 화학 흡착에 의한 C 및 Cl을 포함하는 Si함유층의 형성을 촉진시킨다. 이와 같이 피리딘 가스는 TCDMDS가스에 대해서도 전술한 BTCSM가스의 경우와 마찬가지의 촉매 작용을 한다.
또한 이때의 처리실(201) 내의 압력, 원료 가스, 촉매 가스, N2가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는 예컨대 전술한 실시 형태의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 할 수 있다. 또한 TCDMDS가스나 피리딘 가스를 공급할 때는 전술한 실시 형태와 마찬가지로 사용하지 않는 노즐(249b) 내나 버퍼실(237) 내로의 가스의 침입을 방지하는 N2가스 공급을 수행한다.
선택되는 원료 가스의 종류에 의해 SiOC막 중의 C농도의 제어가 가능해지는 하나의 요인으로서는 예컨대 각 원료 가스의 분자 구조 중에서의 C의 배치의 차이를 생각해볼 수 있다. 즉 1분자 중에 Si-C-Si결합이나 Si-C-C-Si결합을 포함하는 알킬렌할로실란계 원료 가스인 BTCSM가스나 BTCSE가스 등은 C가 Si에 협입(狹入)된 분자 구조를 이룬다. 이에 따라 남은 Si의 결합수에 다량의 Cl이 결합된 상태가 유지된다. 예컨대 BTCSM가스나 BTCSE가스는 모두 Si의 4개의 결합수 중 3개의 결합수에 Cl이 결합된다. 분자 중에 다수 포함되는 Cl은 BTCSM가스나 BTCSE가스 등의 반응성을 향상시킬 것으로 생각된다. 이에 의해 BTCSM가스나 BTCSE가스 등을 사용하는 것에 의해 예컨대 SiOC막의 성막 레이트가 향상된다. 또한 함유되는 Cl에 의해 BTCSM가스나 BTCSE가스 등의 반응성 및 성막 레이트가 향상되는 것에 의해 BTCSM가스나 BTCSE가스 등을 이용한 성막 처리의 사용가능한 조건 범위(프로세스 윈도우)도 확장된다. 이와 같이 광범위한 프로세스 윈도우 내에서 예컨대 원하는 C농도가 얻어지는 성막 조건을 선택할 수 있기 때문에, 결과적으로 SiOC막 중의 C농도를 높이는 것이 용이해진다. 또한 SiOC막 중의 C농도의 제어성도 향상시킬 수 있다. 또한 BTCSM가스 중에 포함되는 C의 수는 예컨대 TCDMDS가스 등에 비해 적지만, 이 점은 SiOC막 중의 C농도의 향상에 불리하게는 작용하지 않을 것으로 생각된다. 본 발명자들은 BTCSM가스를 이용한 경우가 TCDMDS가스를 이용한 경우보다 C농도의 향상을 비교적 도모하기 쉽다는 것을 확인했다.
또한 메틸기 등의 알킬기가 Si에 결합된 알킬할로실란계 원료 가스인 TCDMDS가스나 DCTMDS가스 등은 클로로실란계 원료 가스의 일부의 클로로기가 메틸기로 치환된 바뀐 분자 구조를 이룬다. 이와 같이 가스 분자 중의 Cl의 수가 감소할수록 이들 TCDMDS가스나 DCTMDS가스 등에서는 반응이 비교적 천천히 진행되어 보다 치밀한 SiOC막을 얻기 쉽다. 이로 따라 예컨대 C농도를 적절하게 억제한 SiOC막이어도 높은 에칭 내성을 유지하기 쉽다. 또한 TCDMDS가스와 DCTMDS가스의 비교에서는 분자 중에 메틸기, 즉 C를 다수 포함하는 DCTMDS가스가 막 중으로의 C의 취입량에 유리하게 작용한다는 사실을 확인했다.
이상으로부터 상기 공정을 수행할 때, BTCSM가스나 BTCSE가스 등을 선택하여 공급하는 것에 의해 예컨대 보다 고농도의 C를 포함하는 SiOC층을 형성할 수 있다. 또는 TCDMDS가스나 DCTMDS가스 등을 선택하여 공급하는 것에 의해 에칭 내성을 유지하면서 C농도를 적절하게 억제한 SiOC층을 형성할 수 있다. 즉 복수 종류의 원료 가스 중에서 특정의 원료 가스를 선택하여 공급하는 것에 의해 SiOC층 중, 즉 SiOC막 중의 C농도를 정밀도 좋게 제어할 수 있다.
마찬가지로 촉매 가스의 공급을 실시한 상태에서 산화 가스를 공급하는 공정에서도 원하는 막 조성 등에 따라 공급하는 촉매 가스의 종류를 적절히 선택할 수 있다. 전술한 바와 같이 각각 다른 분자 구조를 가지는 촉매 가스는 예컨대 촉매 작용의 강도도 다를 것으로 생각된다. 촉매 가스의 종류의 선택에 의해 SiOC막의 막 조성 등의 제어가 가능해지는 하나의 요인으로서는 이러한 촉매 작용의 강도의 차이가 가능성으로서 생각된다. 예컨대 촉매 작용의 지표가 되는 pKa값이 큰 촉매 가스를 선택하는 것에 의해 산화 가스의 산화력이 향상하여 Si-C결합이 절단되고, C농도가 저하되는 경향이 강해지는 경우가 있다. 또한 예컨대 pKa가 작은 촉매 가스를 선택하는 것에 의해 산화 가스의 산화력이 저하되어 Si-C결합이 유지되고, C농도가 높아지는 경향이 강해지는 경우가 있다. 또한 SiOC막의 막 조성 등의 제어가 가능해지는 것 외의 요인으로서는 각종 촉매 가스나 생성되는 염 등의 촉매 반응에 영향을 미치는 각종 물질의 중기압의 차이 또는 이들 pKa값이나 중기압의 차이 등이 합쳐진 복합 요인 등이 생각된다. 이와 같이 분자 구조가 각각 다른 복수 종류의 촉매 가스 중에서 특정의 촉매 가스를 선택하여 공급하는 것에 의해 예컨대 SiOC층이나 SiOC막 중의 C농도를 제어할 수 있다. 이때 분자 구조가 각각 다른 복수 종류의 촉매 가스를 각각 공급하는 복수의 공급 라인 중에서 특정의 공급 라인을 선택하는 것에 의해 특정의 촉매 가스를 공급할 수 있다. 전술한 바와 같이 본 실시 형태의 성막 시퀀스의 예에서는 피리딘 가스 공급 라인, TEA가스 공급 라인 등 중에서 피리딘 가스 공급 라인을 선택하는 것에 의해 특정의 촉매 가스로서 피리딘 가스를 공급한다.
또한 촉매 가스의 공급을 실시한 상태에서 산화 가스를 공급하는 공정에서 공급하는 촉매 가스의 종류는 전술한 촉매 가스의 공급을 실시한 상태에서 원료 가스를 공급하는 공정에서 공급하는 촉매 가스의 종류와 동일하게 해도 좋고, 다르게 해도 좋다.
또한 상기 원료 가스나 촉매 가스의 종류를 선택하는 경우에 SiOC막 중의 C농도를 제어하는 것에 의해 Si농도 및 O농도도 상대적으로 변화시켜도 좋다. 즉 SiOC막의 조성을 전체적으로 변화시켜도 좋고, 또한 SiOC막의 조성을 전체적으로 제어하는 것을 목적으로 하여 상기 원료 가스의 종류를 선택해도 좋다.
또한 전술한 스텝1a, 스텝2a 사이클을 복수 회 수행할 때, 그 도중에 원료 가스나 촉매 가스의 종류를 변경해도 좋다. 또한 스텝1a, 스텝2a 사이클을 복수 회 수행할 때, 그 도중에 전술한 촉매 가스의 공급량을 변경해도 좋다.
즉 원료 가스의 종류를 변경하는 경우에는 SiOC막을 형성하는 공정에서 스텝1a, 스텝2a 사이클을 복수 회 수행하고, 이 사이클을 복수 회 수행할 때, 그 도중에 촉매 가스의 공급을 실시한 상태에서 원료 가스를 공급하는 공정에서 공급하는 원료 가스의 종류를 변경한다.
이에 의해 SiOC막 중의 C농도를 막 두께 방향에서 변화시킬 수 있다. 또한 원하는 막 조성 등에 따라 원료 가스의 종류의 변경을 1회만 수행해도 좋고, 복수 회 수행해도 좋다. 또한 이용하는 원료 가스는 2종류이어도 좋고, 3종류 이상이어도 좋다. 이용하는 원료 가스의 조합은 Si, C 및 할로겐 원소를 포함하고 Si-C결합을 포함하는 원료 가스 중에서 임의로 선택할 수 있다. 원료 가스의 사용 순서는 임의다.
또한 촉매 가스의 종류를 변경하는 경우에는 SiOC막을 형성하는 공정에서 스텝1a, 스텝2a 사이클을 복수 회 수행하고, 이 사이클을 복수 회 수행할 때, 그 도중에 촉매 가스의 공급을 실시한 상태에서 산화 가스를 공급하는 공정에서 공급하는 촉매 가스의 종류를 변경한다.
이에 의해 SiOC막 중의 C농도를 막 두께 방향에서 변화시킬 수 있다. 또한 원하는 막 조성 등에 따라 촉매 가스의 종류의 변경을 1회만 수행해도 좋고, 복수 회 수행해도 좋다. 또한 이용하는 촉매 가스는 2종류이어도 좋고, 3종류 이상이어도 좋다. 이용하는 촉매 가스의 조합이나 순서는 임의다.
또한 촉매 가스의 공급량을 변경하는 경우에는 SiOC막을 형성하는 공정에서 스텝1a, 스텝2a 사이클을 복수 회 수행하고, 이 사이클을 복수 회 수행할 때, 그 도중에 촉매 가스의 공급을 실시한 상태에서 산화 가스를 공급하는 공정에서 공급하는 촉매 가스의 공급량을 변경한다.
이에 의해 SiOC막 중의 C농도를 막 두께 방향에서 변화시킬 수 있다. 또한 원하는 막 조성 등에 따라 촉매 가스의 공급량을 소유량으로부터 대유량으로 변경해도 좋고, 대유량으로부터 소유량에 변경해도 좋다. 또한 원하는 막 조성 등에 따라 이들 촉매 가스의 공급량의 변경을 1회만 수행해도 좋고, 복수 회 수행해도 좋다. 이때 촉매 가스의 공급량을 소유량으로부터 대유량으로 또는 대유량으로부터 소유량으로 단계적으로 상승 또는 하강시켜도 좋고 또는 적절히 임의의 조합으로 상하로 변화시켜도 좋다.
이상과 같이 SiOC막 중의 C농도를 막 두께 방향에서 변화시키는 것에 의해 SiOC막의 C농도나 기타의 Si농도나 O농도를 막 두께 방향에서 적절히 제어하고, 원하는 조성을 가지는 SiOC막이 한층 더 얻기 쉬워진다. 따라서 예컨대 막 두께 방향에서 에칭 내성의 다른 SiOC막을 얻을 수 있다.
전술한 바와 같이 본 실시 형태 및 변형예의 기판 처리 장치는 복수의 원료 가스 공급 라인을 구비하고, 분자 구조가 각각 다른 복수 종류의 원료 가스 중에서 특정의 원료 가스를 선택하여 공급 가능하도록 구성된다. 또한 본 실시 형태 및 변형예의 기판 처리 장치는 복수의 촉매 가스 공급 라인을 구비하고, 분자 구조가 각각 다른 복수 종류의 촉매 가스 중에서 특정의 촉매 가스를 선택하여 공급 가능하도록 구성된다. 이들에 의해 원하는 막 조성 등에 따라 복수 종류의 가스 중에서 특정의 원료 가스나 촉매 가스를 선택하여 공급하는 것이 용이해진다. 따라서 1대의 기판 처리 장치에 의해 다양한 조성비와 막질의 SiOC막을 범용적으로 또한 재현성 좋게 형성할 수 있다. 또한 가스종의 추가나 교체 등의 경우에 장치 운용의 자유도를 확보할 수 있다.
또한 본 실시 형태 및 변형예의 기판 처리 장치에서는 전술한 각종 박막의 성막에 이용되는 프로세스 레시피(처리 순서나 처리 조건이 기재된 프로그램)를 원료 가스나 촉매 가스의 종류마다, 즉 다른 가스계마다 복수의 프로세스 레시피를 미리 복수 준비할 수 있다. 또한 본 실시 형태 및 변형예의 기판 처리 장치에서는 촉매 가스의 공급량이나 유량 등을 다른 수치로 설정한 복수의 프로세스 레시피를 미리 복수 준비해도 좋다. 즉 본 실시 형태 및 변형예의 기판 처리 장치에서는 다른 처리 조건마다 복수의 프로세스 레시피를 복수 준비해둘 수 있다. 이들에 의해 원하는 막 조성 등에 따라 복수 종류의 가스 중에서 특정의 원료 가스나 촉매 가스를 선택하여 공급하고, 또한 촉매 가스의 공급량 등을 조정하는 것이 용이해진다. 오퍼레이터는 복수의 프로세스 레시피 중에서 원하는 막 조성 등에 따라 적절한 프로세스 레시피를 적절히 선택하여 성막 처리를 실행하면 된다. 따라서 1대의 기판 처리 장치에서 다양한 조성비와 막질의 SiOC막을 범용적으로 또한 재현성 좋게 형성할 수 있다. 또한 오퍼레이터의 조작 부담(처리 순서나 처리 조건의 입력 부담 등)을 저감할 수 있어, 조작 오류를 회피하면서 기판 처리를 신속히 시작할 수 있다.
(다른 변형예)
전술한 실시 형태에서는 SiOC막 형성 공정과 SiOC막 개질 공정을 처리에 따른 웨이퍼(200)를 동일한 처리실(201) 내에 수용한 상태에서 수행했다. 본 변형예에서는 SiOC막 형성 공정과 SiOC막 개질 공정을 처리에 따른 웨이퍼(200)를 각각 다른 처리실 내에 수용하여 수행한다.
즉 도 7에 도시되듯이 예컨대 SiOC막 형성 공정을 전술한 실시 형태와 마찬가지로 본 실시 형태의 기판 처리 장치(이하, 제1 기판 처리부라고도 부른다)가 구비하는 처리실(201)(이하, 제1 처리실이라고도 부른다) 내에서 수행한다. 제1 기판 처리부를 구성하는 각 부의 동작은 제1 제어부에 의해 제어된다. 그리고 전술한 스텝1a, 스텝2a와 마찬가지의 스텝1b, 스텝2b를 포함하는 사이클을 소정 횟수 실시한 후, 처리실(201) 내의 퍼지 및 대기압 복귀, 보트 언로드, 웨이퍼 디스차지를 순차 실행한다.
계속해서 보트(217)로부터 취출된 웨이퍼(200) 상에 형성된 SiOC막을 열처리하는 공정, 즉 SiOC막 개질 공정을 처리실(201)과는 다른 처리실 내에서 수행한다. 이러한 처리실로서는 예컨대 전술한 실시 형태와 마찬가지의 기판 처리 장치이며, SiOC막 형성 공정을 수행한 장치와는 다른 기판 처리 장치(이하, 제2 기판 처리부라고도 부른다)가 구비하는 처리실(이하, 제2 처리실이라고도 부른다)을 이용할 수 있다. 제2 기판 처리부를 구성하는 각 부의 동작은 제2 제어부에 의해 제어된다. 제2 기판 처리부에서는 제1 기판 처리부에서 전술한 실시 형태의 SiOC막 형성 공정을 수행할 때와 마찬가지로 웨이퍼 차지, 보트 로드를 순차 실행한다. 또한 전술한 실시 형태의 SiOC막 개질 공정을 수행할 때와 마찬가지로 압력 조정, 온도 조정을 수행한다. 그 후는 전술한 실시 형태와 마찬가지로 제1 열처리, 제2 열처리, 퍼지, 대기압 복귀, 보트 언로드 및 웨이퍼 디스차지를 순차 실행한다.
상기 경우에서 주로 SiOC막을 형성하는 제1 기판 처리부와 SiOC막을 열처리하는 제2 기판 처리부에 의해 기판 처리 시스템이 구성된다.
이상과 같이 SiOC막 형성 공정과 SiOC막 개질 공정은 동일한 처리실(201) 내(In-Situ)에서도 수행할 수 있고, 각각 다른 처리실(제1 처리실 및 제2 처리실) 내(Ex-Situ)에서도 수행할 수 있다. In-Situ에서 양 공정을 수행하면, 도중에 웨이퍼(200)가 대기 폭로되지 않고, 웨이퍼(200)를 진공 하에 둔 상태에서 일관하여 처리를 수행할 수 있다. 따라서 한층 더 안정된 성막 처리를 수행할 수 있다. Ex-Situ에서 양 공정을 수행하면, 각각의 처리실 내의 온도를 예컨대 각 공정에서의 처리 온도 또는 그와 가까운 온도로 미리 설정해둘 수 있고, 온도 조정에 소요되는 시간을 단축할 수 있다. 따라서 한층 더 생산 효율을 높일 수 있다.
SiOC막을 열처리하는 처리실은 전술한 실시 형태의 기판 처리 장치와는 다른 장치, 예컨대 어닐링 처리 전용기(열처리로)와 같은 장치가 구비하는 처리실이어도 좋다.
또한 기판 처리 시스템은 제1 기판 처리부와 제2 기판 처리부가 전술한 바와 같이 각각 독립된 장치(스탠드얼론 형 장치) 군(群)으로서 구성되어도 좋고, 제1 기판 처리부와 제2 기판 처리부가 동일한 플랫폼에 탑재된 1개의 장치(클러스터형 장치)로서 구성되어도 좋다. 이러한 기판 처리 시스템에서도 예컨대 도 4, 도 5의 (a)의 시퀀스에서의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 박막을 형성할 수 있다.
이상 설명한 바와 같은 각종 변형예에 의해서도 전술한 실시 형태와 마찬가지의 효과를 얻을 수 있다.
<제2 실시 형태>
다음으로 본 발명의 제2 실시 형태에 대하여 설명한다.
(1) 박막 형성 공정
전술한 실시 형태에서는 촉매 가스의 공급을 실시한 상태에서 산화 가스를 공급하는 공정에서 산화 가스로서 산소(O)를 포함하는 가스(산소 함유 가스)를 임의로 선택하여 공급했다. 또한 촉매 가스로서 고립 전자쌍를 가지는 N을 포함하고, pKa가 5 내지 11 정도의 가스를 임의로 선택하여 공급했다. 본 실시 형태에서는 산화 가스로서 O3가스를 이용하고, 촉매 가스로서 예컨대 TEA가스 등의 아민계 촉매 가스를 이용한다. 본 실시 형태에서도 전술한 실시 형태와 마찬가지로 도 1, 도 2에 도시하는 기판 처리 장치를 이용한다. 이하의 설명에서 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태에서는, 기판으로서의 웨이퍼(200)에 대하여 소정 원소로서의 실리콘(Si), 탄소(C) 및 할로겐 원소를 포함하고 소정 원소와 탄소의 화학 결합(Si-C결합)을 포함하는 원료 가스를 공급하는 공정; 웨이퍼(200)에 대하여 산화 가스를 공급하는 공정; 및 웨이퍼(200)에 대하여 촉매 가스를 공급하는 공정;을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 실리콘(Si), 산소(O) 및 탄소(C)를 포함하는 박막을 형성하는 공정을 수행한다.
이때, 원료 가스를 공급하는 공정을 촉매 가스를 공급하는 공정을 실시하지 않는 상태에서 수행하고, 산화 가스를 공급하는 공정을 촉매 가스를 공급하는 공정을 실시한 상태에서 수행한다.
또한 본 실시 형태에서는, 박막을 형성하는 공정에서의 웨이퍼(200)의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 제1 불순물을 제거하는 공정; 및 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 제1 온도로 열처리한 후의 상기 박막 중으로부터 제1 불순물과는 다른 제2 불순물을 제거하는 공정;을 더 수행한다. 이 열처리는 산소 비함유의 분위기 하에서 수행한다.
또한 본 실시 형태에서 각 공정은 논 플라즈마의 분위기 하에서 수행된다.
이하, 본 실시 형태의 성막 시퀀스를 도 8, 도 9의 (a)를 이용하여 구체적으로 설명한다.
여기서는, 웨이퍼(200)에 대하여 원료 가스로서 BTCSM가스를 공급하는 공정; 웨이퍼(200)에 대하여 산화 가스로서 O3가스를 공급하는 공정; 및 웨이퍼(200)에 대하여 아민계 촉매 가스로서 TEA가스를 공급하는 공정;을 포함하는 사이클을 소정 횟수(n회) 수행하는 것에 의해 웨이퍼(200) 상에 Si, O 및 C를 포함하는 박막으로서 실리콘산탄화막(SiOC막)을 형성하는 공정을 수행하고, 웨이퍼(200)에 대하여 산소 비함유 가스로서 N2가스를 공급하는 것에 의해 생성된 산소 비함유의 분위기 하에서 SiOC막을 형성하는 공정에서의 웨이퍼(200)의 온도보다 높은 제1 온도로 SiOC막을 열처리하는 것에 의해 SiOC막 중으로부터 제1 불순물을 제거하고, 웨이퍼(200)에 대하여 산소 비함유 가스로서 N2가스를 공급하는 것에 의해 생성된 산소 비함유의 분위기 하에서 제1 온도 이상의 제2 온도로 SiOC막을 열처리하는 것에 의해 제1 온도로 열처리한 후의 SiOC막 중으로부터 제1 불순물과는 다른 제2 불순물을 제거하는 예에 대하여 설명한다.
이때, BTCSM가스를 공급하는 공정에서는 웨이퍼(200)에 대한 BTCSM가스의 공급을 TEA가스 등의 촉매 가스의 웨이퍼(200)에 대한 공급을 정지한 상태에서 수행하고, O3가스를 공급하는 공정에서는 웨이퍼(200)에 대한 O3가스의 공급을 웨이퍼(200)에 대한 아민계 촉매 가스로서의 TEA가스의 공급을 실시한 상태에서 수행한다.
본 실시 형태의 시퀀스예와 같이, 산화 가스로서 O3가스를 이용하고, 촉매 가스로서 TEA가스 등의 아민계 촉매 가스를 이용하는 것에 의해 O3가스의 산화력을 현저하게 높일 수 있다. 따라서 예컨대 원료 가스를 공급하는 공정에서 TEA가스 등의 촉매 가스를 공급하지 않아도 O3가스를 공급하는 공정에서 충분한 반응성을 얻을 수 있어 SiOC막을 형성할 수 있다.
(SiOC막 형성 공정)
웨이퍼 차지, 보트 로드, 압력 조정 및 온도 조정 후, 다음 2개의 스텝1c, 스텝2c를 순차 실행한다.
[스텝1c](BTCSM가스 공급)
전술한 실시 형태와 마찬가지의 순서로 웨이퍼(200)에 대하여 BTCSM가스를 공급한다. 이때 예컨대 밸브(243i)를 닫은 상태로 하여 웨이퍼(200)에 대한 BTCSM가스의 공급을 TEA가스의 공급을 정지한 상태에서 수행한다. 또한 밸브(243c, 243i)를 닫은 상태로 하여 웨이퍼(200)에 대한 BTCSM가스의 공급을 TEA가스를 비롯한 아민계 촉매 가스의 공급을 정지한 상태, 즉 아민계 촉매 가스를 공급하지 않는 상태에서 수행한다. 즉 웨이퍼(200)에 대한 BTCSM가스의 공급을 수행할 때는 아민계 촉매 가스를 비롯한 촉매 가스의 공급을 수행하지 않는다.
또한 버퍼실(237) 내, 노즐(249b, 249c) 내로의 BTCSM가스의 침입을 방지하기 위해서 밸브(243k, 243l)를 열고 가스 공급관(232k, 232l) 내에 N2가스를 흘린다. N2가스는 가스 공급관(232b, 232c), 노즐(249b, 249c) 및 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1Pa 내지 13,330Pa, 바람직하게는 133Pa 내지 2,666Pa의 범위 내의 압력으로 한다. MFC(241a)로 제어하는 BTCSM가스의 공급 유량은 예컨대 1sccm 내지 2,000sccm의 범위 내의 유량으로 한다. MFC(241j 내지 241l)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. BTCSM가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1초 내지 100초, 바람직하게는 5초 내지 60초의 범위 내의 시간으로 한다.
이때 히터(207)의 온도는 웨이퍼(200)의 온도가 예컨대 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도가 될 수 있는 온도로 설정한다. 전술한 바와 같이 BTCSM가스 공급 시에 촉매 가스를 공급하지 않는 경우에는 웨이퍼(200)의 온도가 250℃ 미만이 되면 웨이퍼(200) 상에 BTCSM이 화학 흡착하기 어려워져 실용적인 성막 레이트가 얻을 수 없는 경우가 있다. 본 실시 형태에서는 후술하는 바와 같이 다음에 수행하는 스텝2a에서 O3가스와 TEA가스를 조합하여 이용하는 것에 의해 웨이퍼(200)의 온도를 250℃ 미만으로 해도 이를 해소하는 것이 가능해진다. 다음에 수행하는 스텝2a를 전제로 하여 웨이퍼(200)의 온도를 150℃ 이하, 또한 100℃ 이하로 하는 것에 의해 웨이퍼(200)에 가해지는 열량을 저감할 수 있어, 웨이퍼(200)가 받는 열 이력의 제어를 양호하게 수행할 수 있다. 이때 실온 이상의 온도라면 충분한 성막 레이트를 얻을 수 있다. 따라서 웨이퍼(200)의 온도는 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도로 하는 것이 좋다.
전술한 조건 하에서 웨이퍼(200)에 대하여 BTCSM가스를 공급하는 것에 의해 웨이퍼(200)(표면의 하지막) 상에 제1층으로서 예컨대 1원자층 미만 내지 수원자층 정도의 두께의 C 및 Cl을 포함하는 Si함유층이 형성된다. 전술한 바와 같이 예컨대 150℃ 이하의 저온 조건 하에서는 C 및 Cl을 포함하는 Si함유층으로서 열분해가 불충분한 물리 흡착에 의한 BTCSM가스의 흡착층, 즉 BTCSM가스의 물리 흡착층이 주로 형성된다고 생각된다.
이와 같이 주로 열분해가 불충분한 BTCSM분자의 흡착층에 의해 C 및 Cl을 포함하는 Si함유층이 구성되면, 이 Si함유층이 웨이퍼(200) 상 등에 정착하기 어려운 것 외에 이후에 수행하는 산화 처리에 의해 강고한 결합을 포함하는 SiOC층이 되기 어렵다. 즉 BTCSM가스의 공급 시에 촉매 가스를 공급하지 않는 경우에는 예컨대 그 후의 산화 처리로 촉매 가스를 공급해도 C 및 Cl을 포함하는 Si함유층의 산화 반응이 진행되기 어려워지는 경우가 있다. 따라서 성막 레이트가 저하되거나 처음부터 성막되지 않을 우려가 있다.
이에 따라 이러한 저온 조건 하에서는 전술한 실시 형태와 같이 예컨대 원료 가스를 공급하는 공정과, 산화 가스를 공급하는 공정의 양방에서 예컨대 암모니아(NH3) 가스나 TEA가스 등의 고립 전자쌍을 가지는 N을 포함하는 촉매 가스를 공급한다. 이에 의해 원료 가스 공급 시에 원료 가스의 열분해 반응을 촉진시킬 수 있다. 즉 이러한 촉매 가스는 웨이퍼의 표면에 존재하는 O-H결합의 결합력을 약화시켜 원료 가스의 분해를 촉진시키고, 원료 가스 분자의 화학 흡착에 의한 C 및 Cl을 포함하는 Si함유층의 형성을 촉진시킨다.
한편, 본 실시 형태에서는 다음에 수행하는 스텝2c에서만 촉매 가스를 사용한다. 이때 소정의 산화 가스, 즉 O3가스와, 소정의 촉매 가스, 즉 TEA가스 등의 아민계 촉매 가스를 조합하는 것에 의해 산화 가스의 산화력을 현저하게 높일 수 있다. 이에 의해 예컨대 스텝1c와 같이 TEA가스 등의 촉매 가스를 사용하지 않는 경우에서 BTCSM가스의 열분해가 불충분해도 C 및 Cl을 포함하는 Si함유층의 산화 반응을 보다 확실하게 진행시켜, 하지나 인접하는 분자나 원자 등과의 강고한 결합을 포함하는 SiOC층을 형성할 수 있다.
또한 이에 의해 적어도 원료 가스 공급 공정에서 반응 기구가 복잡한 촉매 가스를 이용한 반응계를 거칠 필요가 없어진다. BTCSM가스 공급 시에 촉매 가스를 공급한 경우에 촉매 반응에 의해 발생하는 염이 파티클원이 되는 것도 회피할 수 있다. 따라서 성막 프로세스의 구축이 용이해진다. 또한 예컨대 BTCSM가스 공급 공정에서 촉매 가스를 이용하지 않는 것에 의해 촉매 가스의 사용량이 저감되어 제조비를 삭감할 수 있다.
(잔류 가스 제거)
그 후 전술한 실시 형태와 마찬가지의 순서로 BTCSM가스의 공급을 정지하고, 처리실(201) 내로부터의 잔류 가스의 제거를 수행한다.
[스텝2c](O3가스+TEA가스 공급)
스텝1c가 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 밸브(243g)를 열고 가스 공급관(232g) 내에 O3가스를 흘린다. O3가스는 MFC(241g)에 의해 유량 조정되어 가스 공급공(250b)으로부터 버퍼실(237) 내에 공급되고, 가스 공급공(250d)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때 논 플라즈마의 분위기 하에서 웨이퍼(200)에 대하여 O3가스가 공급된다(O3가스 공급). 이때 동시에 밸브(243k)를 열고 가스 공급관(232k) 내에 불활성 가스로서의 N2가스를 흘린다. N2가스는 MFC(241k)에 의해 유량 조정되어 O3가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한 밸브(243i)를 열고 가스 공급관(232i) 내에 TEA가스를 흘린다. TEA가스는 MFC(241i)에 의해 유량 조정되어 가스 공급공(250c)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대하여 TEA가스가 공급된다(TEA가스 공급). 이때 동시에 밸브(243l)를 열고 가스 공급관(232l) 내에 N2가스 등의 불활성 가스를 흘린다. N2가스는 MFC(241l)에 의해 유량 조정되어 TEA가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때 노즐(249a) 내로의 O3가스 및 TEA가스의 침입을 방지하기 위해서 밸브(243j)를 열고 가스 공급관(232j) 내에 N2가스를 흘린다. N2가스는 가스 공급관(232a), 노즐(249a)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1Pa 내지 13,330Pa, 바람직하게는 133Pa 내지 2,666Pa의 범위 내의 압력으로 한다. MFC(241g)로 제어하는 O3가스의 공급 유량은 예컨대 1,000sccm 내지 10,000sccm의 범위 내의 유량으로 한다. 또한 MFC(241i)로 제어하는 TEA가스의 공급 유량은 예컨대 O3가스의 공급 유량(sccm)/TEA가스의 공급 유량(sccm)과 비례하여 0.01 내지 100, 보다 바람직하게는 0.05 내지 10의 범위 내가 되는 유량으로 한다. MFC(241j 내지 241l)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. O3가스 및 TEA가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1초 내지 100초, 바람직하게는 5초 내지 60초의 범위 내의 시간으로 한다. 히터(207)의 온도는 웨이퍼(200)의 온도가 스텝1c에서의 BTCSM가스의 공급 시와 마찬가지의 온도대, 즉 예컨대 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도가 되도록 설정한다.
처리실(201) 내에 공급된 O3가스는 열로 활성화되어, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대하여 열로 활성화된 O3가스가 공급된다. 즉 처리실(201) 내에 흘리는 가스는 열적으로 활성화된 O3가스이며, 처리실(201) 내에는 BTCSM가스는 흘리지 않는다. 따라서 O3가스는 기상 반응을 일으키지 않고 활성화된 상태에서 웨이퍼(200)에 대하여 공급되고, 스텝1c에서 웨이퍼(200) 상에 형성된 제1층(C 및 Cl을 포함하는 Si함유층)의 적어도 일부와 반응한다. 이에 의해 제1층은 논 플라즈마로 열적으로 산화되어 Si, O 및 C를 포함하는 제2층, 즉 SiOC층으로 변화한다.
여기서 아민계 촉매 가스로서의 TEA가스는 O3가스의 분해를 촉진시켜 O3가스의 산화력을 향상시키고, O3가스와 C 및 Cl을 포함하는 Si함유층과의 반응을 촉진시킨다. 본 발명자들은 O3가스와 TEA가스를 조합하는 것에 의해 통상의 촉매 반응에서 예측되는 범위 이상으로 O3가스의 산화력이 향상된다는 것을 발견했다. 전술한 바와 같이 BTCSM가스의 공급 시에 촉매 가스를 공급하지 않고, BTCSM가스의 열분해가 불충분하면, 그 후의 산화 가스의 공급 공정에서 촉매 가스를 공급해도 충분한 반응성을 얻기 어렵다. 하지만 본 발명자들이 예의 연구한 결과, 특히 O3가스와 TEA가스를 함께 공급하는 것에 의해 예컨대 스텝1c에서 C 및 Cl을 포함하는 Si함유층으로서 열분해가 불충분한 물리 흡착에 의한 BTCSM가스의 흡착층, 즉 BTCSM가스의 물리 흡착층이 주로 형성되어도 O3가스와 C 및 Cl을 포함하는 Si함유층과의 산화 반응을 적절하게 진행시킬 수 있다는 것을 발견했다. 이와 같이 TEA가스의 작용에 의해 O3가스의 산화력을 현저하게 높일 수 있어, BTCSM가스의 물리 흡착층에 대해서도 이러한 층의 산화 처리를 보다 확실하게 수행하여, 하지나 인접하는 분자나 원자 등과의 강고한 결합을 포함하는 SiOC층을 형성할 수 있다는 것을 구명(究明)했다.
(잔류 가스 제거)
그 후 밸브(243g)를 닫고 O3가스의 공급을 정지한다. 또한 밸브(243i)를 닫고 TEA가스의 공급을 정지한다. 그리고 전술한 실시 형태와 마찬가지의 순서로 처리실(201) 내로부터의 잔류 가스의 제거를 수행한다.
(소정 횟수 실시)
전술한 스텝1c, 스텝2c를 1사이클로 하여 이 사이클을 1회 이상, 즉 소정 횟수(n회) 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 SiOC막을 성막할 수 있다. 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉 1사이클당 형성하는 SiOC층의 두께를 원하는 막 두께보다 작게 하여 전술한 사이클을 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다.
(SiOC막 개질 공정)
본 실시 형태에서도 저온 조건 하에서 형성된 SiOC막 중에는 수분이나 Cl 등의 불순물이나 CxHy계의 불순물이 다량 포함되는 경우가 있다. 따라서 전술한 실시 형태와 마찬가지의 순서 및 처리 조건으로 압력 조정, 온도 조정, 제1 열처리, 제2 열처리, 퍼지 및 대기압 복귀를 수행하여 SiOC막 중의 불순물을 제거하고, SiOC막을 개질한다. 이에 의해 SiOC막 개질 공정을 수행하기 전의 SiOC막보다 고에칭 내성으로 저유전율의 SiOC막을 얻을 수 있다.
또한 본 실시 형태에서 저온 조건 하에서 형성된 SiOC막 중에 포함되는 수분 등의 불순물은 예컨대 처리실(201) 내에 웨이퍼(200)를 반입할 때에 외부에서 침입한 수분 등에서 유래한다. Cl 등의 불순물은 예컨대 BTCSM분자 중의 Cl 등에서 유래한다. CxHy계의 불순물은 예컨대 BTCSM분자 중의 C, H나 TEA분자 중의 C, H에서 유래한다. 즉 TEA는 피리딘과 마찬가지로 그 일부가 분해되는 경우도 있지만, 대부분은 분해되지 않고, 실질적으로 촉매로서 작용한다. 본 명세서에서는 본 실시 형태의 반응계에서의 TEA와 같이 그 일부는 분해되는 경우도 있지만, 대부분은 분해되지 않고, 실질적으로 촉매로서 작용하는 물질을 촉매라고 칭한다.
그 후 전술한 실시 형태와 마찬가지의 순서로 보트 언로드 및 웨이퍼 디스차지를 수행하고, 본 실시 형태의 성막 처리를 종료한다.
(2) 본 실시 형태에 따른 효과
본 실시 형태에 의하면, 전술한 실시 형태와 마찬가지의 효과를 갖는 것 외에 이하에 나타내는 1개 또는 복수의 효과를 갖는다.
(a) 본 실시 형태의 성막 시퀀스에 의하면, 스텝1c에서 웨이퍼(200)에 대한 BTCSM가스의 공급을 웨이퍼(200)에 대한 촉매 가스의 공급을 정지한 상태에서 수행한다. 이에 의해 성막 프로세스를 간소화할 수 있다. 또한 BTCSM가스 공급 시에 촉매 가스를 공급한 경우에 발생하는 염이 발생하지 않아 파티클을 억제할 수 있다. 또한 촉매 가스의 사용량을 억제하여 제조비를 저감할 수 있다.
(b) 또한 본 실시 형태의 성막 시퀀스에 의하면, 스텝2c에서 웨이퍼(200)에 대한 O3가스의 공급을 웨이퍼(200)에 대한 TEA가스의 공급을 실시한 상태에서 수행한다. 이에 의해 O3가스의 산화력을 현저하게 높일 수 있다. 즉 본 실시 형태의 성막 시퀀스에 의하면, O3가스와 아민계 촉매 가스를 조합하는 것에 의해 통상의 촉매 반응에서 예측 가능한 범위 이상으로 O3가스의 산화력을 높일 수 있다. 따라서 스텝1c에서의 BTCSM가스의 공급 시에 촉매 가스를 공급하지 않아도 C 및 Cl을 포함하는 Si함유층에 대하여 충분한 반응성을 얻을 수 있다. 따라서 충분한 성막 레이트를 유지하면서 C 및 Cl을 포함하는 Si함유층을 산화하여 SiOC층을 형성할 수 있다.
또한 본 발명자들은 O3가스와 조합하는 아민계 촉매 가스로서 TEA가스가 뛰어나고, 다음으로 피리딘 가스, 그 다음으로 피페리딘 가스가 적합하다고 생각한다. 이는 소정 조건 하에서 각 가스를 비교한 바, TEA가스를 이용한 예에서 SiOC막 등의 박막을 형성 가능한 온도 범위가 커진 것 등에 기초한다.
또한 본 실시 형태에 의하면, 전술한 실시 형태와 마찬가지의 효과를 갖지만, 이들 효과는 본 실시 형태보다 전술한 실시 형태에서 보다 현저하게 드러난다는 것이 판명되었다. 즉 이들 효과 중에서도 특히 SiOC막의 유전율 저하의 효과는 O3가스와 TEA가스를 이용하는 본 실시 형태보다 H2O가스와 피리딘 가스를 이용하는 전술한 실시 형태에서 보다 현저하게 드러난다는 것이 판명되었다. 이는 산화 가스로서 H2O가스를 이용하는 것에 의해 산화 가스로서 O3가스를 이용하는 경우보다 SiOC막의 포러스화의 정도가 증가한 것에 기인한다고 생각된다. 즉 산화 가스로서 H2O가스를 이용하는 것에 의해 산화 가스로서 O3가스를 이용하는 경우보다 수분을 다량 포함하는 SiOC막이 형성되고, 이와 같이 수분을 다량 포함하는 SiOC막에 대하여 제1 열처리 및 제2 열처리를 수행하는 것에 의해 SiOC막 중에 미소한 공(pore), 즉 미소한 공간이 보다 많이 발생하여, 포러스화가 보다 진행된 SiOC막이 얻어진 것이 원인이라고 생각된다.
(3) 본 실시 형태의 변형예
다음으로 본 실시 형태의 변형예에 대하여 도 9의 (b), 도 9의 (c)를 이용하여 설명한다.
본 변형예에서는 원료 가스로서 전술과는 다른 클로로실란계 원료 가스 또는 아미노실란계 원료 가스를 이용하여 SiOC막과는 다른 막종의 박막인 실리콘산화막(SiO2막, 이후, SiO막이라고도 부른다)을 형성하는 예에 대하여 설명한다.
도 9의 (b)에 도시되듯이 변형예1의 성막 시퀀스에서는, 웨이퍼(200)에 대하여 소정 원소로서의 실리콘(Si)과 할로겐 원소를 포함하는 원료 가스로서 HCDS가스를 공급하는 공정; 웨이퍼(200)에 대하여 O3가스를 공급하는 공정; 및 웨이퍼(200)에 대하여 TEA가스를 공급하는 공정;을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 박막으로서의 SiO막을 형성하는 공정을 수행하고, 웨이퍼(200)에 대하여 산소 비함유 가스로서 N2가스를 공급하는 것에 의해 생성된 산소 비함유의 분위기 하에서 SiO막을 형성하는 공정에서의 웨이퍼(200)의 온도보다 높은 제1 온도로 SiO막을 열처리하는 것에 의해 SiO막 중으로부터 제1 불순물을 제거하고, 웨이퍼(200)에 대하여 산소 비함유 가스로서 N2가스를 공급하는 것에 의해 생성된 산소 비함유의 분위기 하에서 제1 온도 이상의 제2 온도로 SiO막을 열처리하는 것에 의해 제1 온도로 열처리한 후의 SiO막 중으로부터 제1 불순물과는 다른 제2 불순물을 제거한다.
이때, HCDS가스를 공급하는 공정에서는 웨이퍼(200)에 대한 HCDS가스의 공급을 웨이퍼(200)에 대한 TEA가스 등의 촉매 가스의 공급을 정지한 상태에서 수행하고, O3가스를 공급하는 공정에서는 웨이퍼(200)에 대한 O3가스의 공급을 웨이퍼(200)에 대한 TEA가스의 공급을 실시한 상태에서 수행한다.
여기서 웨이퍼(200)에 대한 HCDS가스의 공급 순서에 대하여 이하에 설명한다. 그 외에 O3가스, TEA가스 등의 공급 순서 및 처리 조건은 전술한 실시 형태와 마찬가지이므로 설명을 생략한다.
밸브(243e)를 열고 가스 공급관(232e) 내에 HCDS가스를 흘린다. HCDS가스는 MFC(241e)에 의해 유량 조정되어 가스 공급공(250a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대하여 HCDS가스가 공급된다(HCDS가스 공급). 이때 동시에 밸브(243j)를 열고 가스 공급관(232j) 내에 N2가스 등의 불활성 가스를 흘린다. N2가스는 MFC(241j)에 의해 유량 조정되어 HCDS가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이와 같이 웨이퍼(200)에 대하여 HCDS가스를 공급하는 것에 의해 웨이퍼(200)(표면의 하지막) 상에 제1층으로서 예컨대 1원자층 미만 내지 수원자층 정도의 두께의 Cl을 포함하는 Si함유층이 형성된다. Cl을 포함하는 Si함유층은 Cl을 포함하는 Si층이어도 좋고, HCDS가스의 흡착층이어도 좋고, 그 양방을 포함해도 좋다.
여기서 Cl을 포함하는 Si층이란 Si에 의해 구성되고 Cl을 포함하는 연속적인 층 외에 불연속적인 층이나, 이들이 중첩되어 이루어지는 Cl을 포함하는 Si박막도 포함하는 총칭이다. 또한 Si에 의해 구성되고 Cl을 포함하는 연속적인 층을 Cl을 포함하는 Si박막이라고 부르는 경우도 있다. 또한 Cl을 포함하는 Si층을 구성하는 Si는 Cl과의 결합이 완전히 분리되지 않은 것 외에 Cl과의 결합이 완전히 분리된 것도 포함한다.
또한 여기서 HCDS가스의 흡착층은 HCDS가스의 가스 분자가 연속적인 흡착층 외에 불연속적인 흡착층도 포함한다. 즉 HCDS가스의 흡착층은 HCDS분자로 구성되는 1분자층 또는 1분자층 미만의 두께의 흡착층을 포함한다. 또한 HCDS가스의 흡착층을 구성하는 HCDS(Si2Cl6)분자는 도 14의 (e)에 화학 구조식을 나타내는 것 만 아니라, Si와 Cl의 결합이 일부 분리된 것도 포함한다.
여기서는 Cl을 포함하는 Si함유층으로서 열분해가 불충분한 물리 흡착에 의한 HCDS가스의 흡착층, 즉 HCDS가스의 물리 흡착층이 주로 형성되는 것으로 생각된다. 그 경우에도 이후 O3가스를 공급하는 공정에서 웨이퍼(200)에 대하여 O3가스와 TEA가스를 공급하는 것에 의해 열로 활성화되고, TEA가스에 의해 산화력이 높아진 O3가스가 제1층(Cl을 포함하는 Si함유층)의 적어도 일부와 반응한다. 이에 의해 제1층은 논 플라즈마로 열적으로 산화되어 Si 및 O를 포함하는 제2층, 즉 실리콘산화층(SiO층)으로 변화한다.
또한 이때의 처리실(201) 내의 압력, 원료 가스, O3가스, TEA가스, N2가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는 예컨대 전술한 실시 형태의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 할 수 있다. 또한 각 가스를 공급할 때는 전술한 실시 형태와 마찬가지로 그 때 사용하지 않는 노즐(249a, 249b, 249c) 등으로의 가스의 침입을 방지하는 N2가스 공급을 적절히 수행한다.
또한 도 9의 (c)에 도시되듯이 변형예2의 성막 시퀀스에서는 소정 원소로서의 실리콘(Si), 탄소(C) 및 질소(N)를 포함하고 소정 원소와 질소의 화학 결합(Si-N결합)을 포함하는 원료 가스로서 BDEAS가스를 이용하는 것에 의해 웨이퍼(200) 상에 박막으로서의 SiO막을 형성하고, N2가스의 분위기 하에서 SiO막에 대하여 개질 처리(제1 열처리, 제2 열처리)를 수행한다. 웨이퍼(200)에 대한 BDEAS가스의 공급 순서에 대해서는 후술한다.
이상과 같이 O3가스와 TEA가스 등의 아민계 촉매 가스를 조합한 산화 처리를 수행하는 것을 전제로 HCDS가스 등의 다른 클로로실란계 원료 가스나, BDEAS가스 등의 아미노실란계 원료 가스 등을 이용하여 SiOC막과는 다른 박막인 SiO막 등을 형성해도 좋다.
또한 BDEAS가스는 처음부터 웨이퍼(200) 등에 흡착되기 쉽고 분해성이나 반응성이 높은 가스다. 그렇기 때문에 저온 조건 하에서도 촉매 가스를 이용하지 않고 단독으로 사용되는 한편, 그 후의 산화 처리에는 플라즈마 상태로 여기된 O2가스 등의 산화 가스가 이용되는 경우가 있다. 도 9의 (c)의 성막 시퀀스에서는 이러한 플라즈마를 이용하여 산화 처리를 수행한 경우와 마찬가지의 효과를 얻을 수 있다. 이로부터 O3가스와 아민계 촉매 가스를 조합하여 이용하는 것에 의해 O3가스의 산화력이 플라즈마를 이용한 경우와 같은 정도로 높아질 가능성이 있을 것으로 추측된다.
이와 같이 저온 조건 하에서 형성된 SiO막 중에는 수분 등의 불순물이 포함될 가능성이 있다. 원료 가스로서 HCDS가스를 이용한 경우에는 SiO막 중에 Cl 등의 불순물이 포함될 가능성도 있다. 원료 가스로서 BDEAS가스를 이용한 경우에는 SiO막 중에 C, H, N등의 불순물이 포함될 가능성도 있다. 전술한 실시 형태와 마찬가지의 순서 및 처리 조건으로 SiO막에 대한 제1 열처리 및 제2 열처리를 수행하여 SiO막 중의 불순물을 제거하고 SiO막을 개질하는 것에 의해, SiO막 개질 공정을 수행하기 전의 SiO막보다 고에칭 내성을 가지고 저유전율의 SiO막을 얻을 수 있다.
이상으로 설명한 바와 같은 각종 변형예에 의해서도 전술한 실시 형태와 마찬가지의 효과를 얻을 수 있다.
<제3 실시 형태>
다음으로 본 발명의 제3 실시 형태에 대하여 설명한다.
(1) 적층막 형성 공정
전술한 실시 형태에서는 스텝1a, 스텝2a 또는 스텝1c, 스텝2c를 포함하는 사이클을 소정 횟수 수행하여 SiOC막을 형성하는 예에 대하여 설명했다. 본 실시 형태에서는 전술한 SiOC막 형성 공정과 함꼐, 웨이퍼(200)에 대하여 촉매 가스의 공급을 실시한 상태에서 전술과는 다른 클로로실란계 원료 가스를 공급하는 스텝과, 웨이퍼(200)에 대하여 촉매 가스의 공급을 실시한 상태에서 산화 가스를 공급하는 스텝을 소정 횟수 수행한다. 이에 의해 SiOC막과 SiOC막과는 다른 막종의 SiO막의 적층막을 형성한다.
이하, 본 실시 형태의 성막 시퀀스를 도 10, 도 11을 이용하여 설명한다. 본 실시 형태에서도 전술한 실시 형태와 마찬가지로 도 1, 도 2에 도시하는 기판 처리 장치를 이용한다. 또한 이하의 설명에서 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태의 성막 시퀀스에서는, 웨이퍼(200)에 대하여 소정 원소로서의 실리콘(Si) 및 할로겐 원소를 포함하는 원료 가스로서 HCDS가스를 공급하는 공정을 웨이퍼(200)에 대하여 촉매 가스로서 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행하고(스텝1d), 웨이퍼(200)에 대하여 산화 가스로서 H2O가스를 공급하는 공정을 웨이퍼(200)에 대하여 촉매 가스로서 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행하고(스텝2d), 이 공정을 포함하는 세트를 소정 횟수 수행하는 것에 의해 Si 및 O를 포함하는 제1 박막으로서의 SiO막을 형성하는 공정; 및 웨이퍼(200)에 대하여 소정 원소로서의 실리콘(Si), 탄소(C) 및 할로겐 원소를 포함하고 소정 원소와 탄소의 화학 결합(Si-C결합)을 포함하는 원료 가스로서 BTCSM가스를 공급하는 공정을 웨이퍼(200)에 대하여 촉매 가스로서 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행하고(스텝1e), 웨이퍼(200)에 대하여 산화 가스로서 H2O가스를 공급하는 공정을 웨이퍼(200)에 대하여 촉매 가스로서 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행하고(스텝2e), 이 공정을 포함하는 세트를 소정 횟수 수행하는 것에 의해 Si, O 및 C를 포함하는 제2 박막으로서의 SiOC막을 형성하는 공정;을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 SiO막과 SiOC막과의 적층막을 형성하는 공정을 수행하고, 웨이퍼(200)에 대하여 산소 비함유 가스로서 N2가스를 공급하는 것에 의해 생성된 산소 비함유의 분위기 하에서 적층막을 형성하는 공정에서의 웨이퍼(200)의 온도보다 높은 제1 온도로 적층막을 열처리하는 것에 의해 적층막 중으로부터 제1 불순물을 제거하고, 웨이퍼(200)에 대하여 산소 비함유 가스로서 N2가스를 공급하는 것에 의해 생성된 산소 비함유의 분위기 하에서 제1 온도 이상의 제2 온도로 적층막을 열처리하는 것에 의해 제1 온도로 열처리한 후의 적층막 중으로부터 제1 불순물과는 다른 제2 불순물을 제거하는 예에 대하여 설명한다.
(SiO막 형성 공정)
웨이퍼 차지, 보트 로드, 압력 조정 및 온도 조정 후, 다음 2개의 스텝1d, 스텝2d을 순차 실행한다.
[스텝1d](HCDS가스+피리딘 가스 공급)
전술한 도 9의 (b)의 변형예와 마찬가지의 순서로 웨이퍼(200)에 대하여 HCDS가스를 공급한다(HCDS가스 공급). 또한 전술한 실시 형태와 마찬가지의 순서로 웨이퍼(200)에 대하여 피리딘 가스를 공급한다(피리딘 가스 공급).
이와 같이 피리딘 가스가 HCDS가스와 함께 웨이퍼(200)에 대하여 공급되는 것에 의해 웨이퍼(200)의 표면에 존재하는 O-H결합의 결합력을 약화시켜 HCDS가스의 분해를 촉진하고, HCDS분자의 화학 흡착에 의한 Cl을 포함하는 Si함유층(제1층)의 형성을 촉진시킨다. 이와 같이 피리딘 가스는 HCDS가스에 대해서도 전술한 BTCSM가스 등의 경우와 마찬가지의 촉매 작용을 한다.
또한 이때의 처리실(201) 내의 압력, 원료 가스, 촉매 가스, N2가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는 예컨대 전술한 실시 형태의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 할 수 있다. 또한 HCDS가스나 피리딘 가스를 공급할 때는 전술한 실시 형태와 마찬가지로 사용되지 않는 노즐(249b) 등으로의 가스의 침입을 방지하는 N2가스 공급을 수행한다.
(잔류 가스 제거)
제1층으로서의 Cl을 포함하는 Si함유층이 웨이퍼(200) 상에 형성된 후, 전술한 실시 형태와 마찬가지의 순서로 HCDS가스와 피리딘 가스의 공급을 정지하고, 처리실(201) 내로부터의 잔류 가스의 제거를 수행한다.
[스텝2d](H2O가스+피리딘 가스 공급)
스텝1d가 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 전술한 실시 형태와 마찬가지의 공급 순서로 웨이퍼(200)에 대하여 H2O가스와 피리딘 가스를 공급한다. 웨이퍼(200)에 대하여 공급된 H2O가스는 열로 활성화되고 피리딘 가스에 의해 그 분해가 촉진되어 스텝1d에서 웨이퍼(200) 상에 형성된 제1층(Cl을 포함하는 Si함유층)의 적어도 일부와 반응한다. 이에 의해 제1층은 논 플라즈마로 열적으로 산화되어 Si 및 O를 포함하는 제2층, 즉 실리콘산화층(SiO층)으로 변화한다.
또한 이때의 처리실(201) 내의 압력, 산화 가스, 촉매 가스, N2가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는 예컨대 전술한 실시 형태의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 할 수 있다. 또한 H2O가스나 피리딘 가스를 공급할 때는 전술한 실시 형태와 마찬가지로 사용되지 않는 노즐(249a) 등으로의 가스의 침입을 방지하는 N2가스 공급을 수행한다.
(잔류 가스 제거)
그 후 전술한 실시 형태와 마찬가지의 순서로 H2O가스와 피리딘 가스의 공급을 정지하고, 처리실(201) 내로부터의 잔류 가스의 제거를 수행한다.
(소정 횟수 실시)
전술한 스텝1d, 스텝2d를 1세트로 하여 이 세트를 1회 이상(소정 횟수) 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 SiO막을 성막할 수 있다. 또한 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉 1세트당 형성하는 SiO층의 두께를 원하는 막 두께보다 작게 하여 전술한 세트를 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다.
(SiOC막 형성 공정)
SiOC막 형성 공정에서는 전술한 실시 형태의 스텝1a, 스텝2a와 마찬가지의 순서 및 처리 조건으로 스텝1e, 스텝2e를 순차 실행한다. 스텝1e, 스텝2e를 1세트로 하여 이 세트를 1회 이상(소정 횟수) 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 SiOC막을 성막할 수 있다.
(소정 횟수 실시)
전술한 스텝1d, 스텝2d를 1세트로 하여 이 세트를 소정 횟수 수행하는 SiO막 형성 공정과, 스텝1e, 스텝2e를 1세트로 하여 이 세트를 소정 횟수 수행하는 SiOC막 형성 공정을 1사이클로 하여 이 사이클을 1회 이상(소정 횟수) 수행하는 것에 의해, 웨이퍼(200) 상에 SiO막과 SiOC막의 적층막이 형성된다. 이때 SiO막 형성 공정과 SiOC막 형성 공정을 어느 것부터 시작해도 상관없다.
도 11의 (a)에 도시되듯이 SiO막 형성 공정과 SiOC막 형성 공정을 포함하는 사이클을 1회 수행하는 것에 의해 SiO막과 SiOC막이 각각 1층씩 적층되어 이루어지는 적층막(스택 막)을 형성할 수 있다.
또한 도 11의 (b)에 도시되듯이 SiO막 형성 공정과 SiOC막 형성 공정을 포함하는 사이클을 복수 회 수행하는 것에 의해 SiO막과 SiOC막이 각각 복수 적층되어 이루어지는 적층막(라미네이트 막)을 형성할 수 있다. 도 11의 (b)는 SiO막 형성 공정과 SiOC막 형성 공정을 포함하는 사이클을 2회 반복하는 예를 도시한다.
상기 어느 경우에도 SiO막과 SiOC막의 원하는 막 두께 비율 등에 따라 스텝1d, 스텝2d를 포함하는 세트와, 스텝1e, 스텝2e를 포함하는 세트가 1사이클 중에 같은 횟수가 포함되어도 좋고, 각각 다른 횟수가 포함되어도 좋다. 각각의 세트를 같은 횟수씩 교호적으로 수행하는 것에 의해, 각각의 막 두께의 비율이 대략 마찬가지의 SiO막과 SiOC막을 포함하는 적층막으로 할 수 있다. 또한 각각의 세트를 다른 횟수 수행하는 것에 의해 각각의 막 두께의 비율이 다른 SiO막과 SiOC막을 포함하는 적층막으로 할 수 있다.
(적층막 개질 공정)
본 실시 형태에서도 저온 조건 하에서 형성된 SiO막과 SiOC막의 적층막 중에는 수분이나 Cl 등의 불순물이나 CxHy계의 불순물이 다량 포함되는 경우가 있다. 따라서 전술한 실시 형태와 마찬가지의 순서 및 처리 조건으로 압력 조정, 온도 조정, 제1 열처리, 제2 열처리, 퍼지 및 대기압 복귀를 수행하여 적층막 중의 불순물을 제거하고, 적층막을 개질한다. 이에 의해 적층막 개질 공정을 수행하기 전의 적층막보다 고에칭 내성에서 저유전율의 적층막이 얻어진다.
그 후 전술한 실시 형태와 마찬가지의 순서로 보트 언로드 및 웨이퍼 디스차지를 수행하여 본 실시 형태의 성막 처리를 종료한다.
본 실시 형태에 의해서도 전술한 실시 형태와 마찬가지의 효과를 얻을 수 있다.
(2) 본 실시 형태의 변형예
본 실시 형태에서는 촉매 가스와 함께 HCDS가스 및 H2O가스를 이용하여 SiO막을 성막하고, 그 SiO막과 SiOC막의 적층막을 형성하는 예에 대하여 설명했다. 본 실시 형태의 변형예에서는 상기와는 다른 원료 가스 및 플라즈마 상태로 여기한 산화 가스를 이용하는 것에 의해 촉매 가스를 이용하지 않고 SiO막을 성막하고, 그 SiO막과 SiOC막의 적층막을 형성하는 예에 대하여 도 12, 도 13을 이용하여 설명한다.
본 변형예의 성막 시퀀스에서는, 웨이퍼(200)에 대하여 소정 원소로서의 실리콘(Si), 탄소(C) 및 질소(N)를 포함하고 소정 원소와 질소의 화학 결합(Si-N결합)을 포함하는 원료 가스로서 BDEAS가스를 공급하는 공정(스텝1f)과, 웨이퍼(200)에 대하여 플라즈마 상태로 여기한 산화 가스로서 플라즈마 상태로 여기한 O2가스를 공급하는 공정과(스텝2f)을 포함하는 세트를 소정 횟수 수행하는 것에 의해 Si 및 O를 포함하는 제1 박막으로서의 SiO막을 형성하는 공정; 및 웨이퍼(200)에 대하여 소정 원소로서의 실리콘(Si), 탄소(C) 및 할로겐 원소를 포함하고 소정 원소와 탄소의 화학 결합(Si-C결합)을 포함하는 원료 가스로서 BTCSM가스를 공급하는 공정을 웨이퍼(200)에 대하여 촉매 가스로서 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행하고(스텝1g), 웨이퍼(200)에 대하여 산화 가스로서 H2O가스를 공급하는 공정을 웨이퍼(200)에 대하여 촉매 가스로서 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행하고(스텝2g), 이 공정을 포함하는 세트를 소정 횟수 수행하는 것에 의해 Si, O 및 C를 포함하는 제2 박막으로서의 SiOC막을 형성하는 공정;을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 SiO막과 SiOC막과의 적층막을 형성하는 공정을 수행하고, 웨이퍼(200)에 대하여 산소 비함유 가스로서 N2가스를 공급하는 것에 의해 생성된 산소 비함유의 분위기 하에서 적층막을 형성하는 공정에서의 웨이퍼(200)의 온도보다 높은 제1 온도로 적층막을 열처리하는 것에 의해 적층막 중으로부터 제1 불순물을 제거하고, 웨이퍼(200)에 대하여 산소 비함유 가스로서 N2가스를 공급하는 것에 의해 생성된 산소 비함유의 분위기 하에서 제1 온도 이상의 제2 온도로 적층막을 열처리하는 것에 의해 제1 온도로 열처리한 후의 적층막 중으로부터 제1 불순물과는 다른 제2 불순물을 제거하는 예에 대하여 설명한다.
(SiO막 형성 공정)
웨이퍼 차지, 보트 로드, 압력 조정 및 온도 조정 후, 다음 2개의 스텝1f, 스텝2f를 순차 실행한다.
[스텝1f](BDEAS가스 공급)
밸브(243f)를 열고 가스 공급관(232f) 내에 BDEAS가스를 흘린다. BDEAS가스는 MFC(241f)에 의해 유량 조정되어 가스 공급공(250a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대하여 BDEAS가스가 공급된다(BDEAS가스 공급). 이때 동시에 밸브(243j)를 열고 가스 공급관(232j) 내에 N2가스 등의 불활성 가스를 흘린다. N2가스는 MFC(241j)에 의해 유량 조정되어 BDEAS가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한 버퍼실(237) 내, 노즐(249b, 249c) 내로의 BDEAS가스의 침입을 방지하기 위해서 밸브(243k, 243l)를 열고 가스 공급관(232k, 232l) 내에 N2가스를 흘린다. N2가스는 가스 공급관(232k, 232l), 노즐(249b, 249c), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이와 같이 웨이퍼(200)에 대하여 BDEAS가스를 공급하는 것에 의해 웨이퍼(200)(표면의 하지막) 상에 제1층으로서 예컨대 1원자층 미만 내지 수원자층 정도의 두께의 N 및 C를 포함하는 Si함유층이 형성된다. N 및 C를 포함하는 Si함유층은 N 및 C를 포함하는 Si층이어도 좋고, BDEAS가스의 흡착층이어도 좋고, 그 양방을 포함해도 좋다.
여기서 N 및 C를 포함하는 Si층이란 Si에 의해 구성되고 N 및 C를 포함하는 연속적인 층 외에 불연속적인 층이나, 이들이 중첩되어 이루어지는 N 및 C를 포함하는 Si박막도 포함하는 총칭이다. 또한 Si에 의해 구성되고 N 및 C를 포함하는 연속적인 층을 N 및 C를 포함하는 Si박막이라고 부르는 경우도 있다. 또한 N 및 C를 포함하는 Si층을 구성하는 Si는 N과의 결합이 완전히 분리되지 않은 것 외에 N과의 결합이 완전히 분리된 것도 포함한다.
여기서 BDEAS가스의 흡착층은 BDEAS가스의 가스 분자가 연속적인 흡착층 외에 불연속적인 흡착층도 포함한다. 즉 BDEAS가스의 흡착층은 BDEAS분자로 구성되는 1분자층 또는 1분자층 미만의 두께의 흡착층을 포함한다. 또한 BDEAS가스의 흡착층을 구성하는 BDEAS(Si[N(C2H5)2]2H2)분자는 도 14의 (f)에 화학 구조식을 나타내는 것뿐만 아니라, Si와 N, Si와 H, N과 C의 결합이 일부 분리된 것도 포함한다. 즉 BDEAS가스의 흡착층은 BDEAS분자의 화학 흡착층이나, BDEAS분자의 물리 흡착층을 포함한다.
BDEAS가스는 웨이퍼(200) 등에 흡착되기 쉽고 분해성이나 반응성이 높은 가스다. 따라서 예컨대 150℃ 이하의 비교적 저온의 조건 하에서도 예컨대 피리딘 가스 등의 촉매 가스를 이용하지 않고 반응을 진행시켜, 웨이퍼(200) 상에 N 및 C를 포함하는 Si함유층을 형성할 수 있다.
또한 이때의 처리실(201) 내의 압력, 원료 가스, N2가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는 예컨대 전술한 실시 형태의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 할 수 있다.
(잔류 가스 제거)
제1층으로서의 N 및 C를 포함하는 Si함유층이 웨이퍼(200) 상에 형성된 후, 밸브(243f)를 닫고 BDEAS가스의 공급을 정지한다. 또한 전술한 실시 형태와 마찬가지의 순서로 처리실(201) 내로부터의 잔류 가스의 제거를 수행한다.
[스텝2f](O2가스 공급)
스텝1d가 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 밸브(243h)를 열고 가스 공급관(232h) 내에 O2가스를 흘린다. O2가스는 MFC(241h)에 의해 유량 조정되어 가스 공급공(250b)으로부터 버퍼실(237) 내에 공급된다. 이때 제1 봉 형상 전극(269 및 제2 봉 형상 전극(270) 사이에 고주파 전원(273)으로부터 정합기(272)를 개재하여 고주파(RF) 전력을 인가하는 것에 의해 버퍼실(237) 내에 공급된 O2가스는 플라즈마 여기되어 활성종으로서 가스 공급공(250d)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대하여 플라즈마 상태로 여기된 O2가스가 공급된다(O2가스 공급). 이때 동시에 밸브(243k)를 열고 가스 공급관(232k) 내에 불활성 가스로서의 N2가스를 흘린다. N2가스는 MFC(241k)에 의해 유량 조정되어 O2가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한 이때 노즐(249a, 249c) 내로의 O2가스의 침입을 방지하기 위해서 밸브(243j, 243l)을 열고 가스 공급관(232j, 232)l 내에 N2가스를 흘린다. N2가스는 가스 공급관(232a, 232c), 노즐(249a, 249c)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이와 같이 플라즈마를 이용하는 것에 의해 처리실(201) 내의 온도를 예컨대 150℃ 이하와 같은 비교적 낮은 온도대로 해도 예컨대 피리딘 가스 등의 촉매 가스를 이용하지 않고 O2가스를 활성화시키는 것이 가능해진다.
이때 처리실(201) 내에 흘리는 가스는 플라즈마 상태로 여기된 O2가스이며, 예컨대 산소 래디컬(O*) 등의 활성종을 포함한다. 또한 처리실(201) 내에는 BDEAS가스는 흘리지 않는다. 따라서 O2가스는 기상 반응을 일으키지 않고, 활성화된 상태에서 웨이퍼(200)에 대하여 공급되어, 주로 이 활성종에 의해 스텝1f에서 웨이퍼(200) 상에 형성된 N 및 C를 포함하는 Si함유층에 대하여 산화 처리가 수행된다. 이 활성종이 가지는 에너지는 N 및 C를 포함하는 Si함유층 중에 포함되는 Si-N결합, Si-H결합의 결합 에너지보다 높기 때문에 이 활성종의 에너지를 N 및 C를 포함하는 Si함유층에 주는 것에 의해 이 Si함유층 중에 포함되는 Si-N결합, Si-H결합이 분리된다. Si와의 결합이 분리된 N, H 및 N에 결합하는 C는 N 및 C를 포함하는 Si함유층 중으로부터 제거되어, N2, H2, CO2등으로서 배출된다. 또한 N, H와의 결합이 분리되는 것에 의해 남은 Si의 결합수는 활성종에 포함되는 O와 결부되어 Si-O결합이 형성된다. 이와 같이 하여 제1층으로서의 N 및 C를 포함하는 Si함유층은 Si 및 O를 포함하는 제2층, 즉 SiO층으로 변화한다.
또한 이때의 처리실(201) 내의 압력, 산화 가스, N2가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는 예컨대 전술한 실시 형태의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 할 수 있다. 고주파 전원(273)으로부터 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 인가하는 고주파 전력은 예컨대 50W 내지 1,000W의 범위 내의 전력이 되도록 설정한다.
(잔류 가스 제거)
제2층으로서의 SiO층이 웨이퍼(200) 상에 형성된 후, 밸브(243h)를 닫고 O2가스의 공급을 정지한다. 또한 전술한 실시 형태와 마찬가지의 순서로 처리실(201) 내로부터의 잔류 가스의 제거를 수행한다.
(소정 횟수 실시)
전술한 스텝1f, 스텝2f를 1세트로 하여 이 세트를 1회 이상(소정 횟수) 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 SiO막을 성막할 수 있다. 또한 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉 1세트당 형성하는 SiO층의 두께를 원하는 막 두께보다 작게 하여 전술한 세트를 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다.
(SiOC막 형성 공정 및 소정 횟수 실시)
SiOC막 형성 공정 및 각 공정에 대한 소정 횟수의 실시는 전술한 실시 형태와 마찬가지의 순서 및 처리 조건으로 수행할 수 있다. 즉 스텝1f, 스텝2f를 1세트로 하여 이 세트를 소정 횟수 수행하는 SiO막 형성 공정과, 전술한 실시 형태의 스텝1a, 스텝2a와 마찬가지의 스텝1g, 스텝2g를 1세트로 하여 이 세트를 소정 횟수 수행하는 SiOC막 형성 공정을 1사이클로 하여 이 사이클을 1회 이상(소정 횟수) 수행하는 것에 의해, 웨이퍼(200) 상에 SiO막과 SiOC막의 적층막이 형성된다.
도 13의 (a)에 도시되듯이 SiO막 형성 공정과 SiOC막 형성 공정을 포함하는 사이클을 1회 수행하는 것에 의해 SiO막과 SiOC막이 각각 1층씩 적층되어 이루어지는 적층막(스택 막)을 형성할 수 있다.
또한 도 13의 (b)에 도시되듯이 SiO막 형성 공정과 SiOC막 형성 공정을 포함하는 사이클을 복수 회 수행하는 것에 의해 SiO막과 SiOC막이 각각 복수 적층되어 이루어지는 적층막(라미네이트 막)을 형성할 수 있다. 도 13의 (b)는 SiO막 형성 공정과 SiOC막 형성 공정을 포함하는 사이클을 2회 반복하는 예를 도시한다.
본 변형예에서도 SiO막과 SiOC막의 원하는 막 두께 비율 등에 따라 각 스텝1f, 스텝2f, 스텝1g, 스텝2g를 포함하는 각각의 세트의 시작 순서, 각 세트 수 등을 임의로 결정할 수 있다.
(적층막 개질 공정)
본 변형예에서도 저온 조건 하에서 형성된 SiO막과 SiOC막의 적층막 중에는 수분이나 Cl 등의 불순물이나 CxHy계의 불순물이 다량 포함되는 경우가 있다. 따라서 전술한 실시 형태와 마찬가지의 순서 및 처리 조건으로 압력 조정, 온도 조정, 제1 열처리, 제2 열처리, 퍼지 및 대기압 복귀를 수행하여 적층막 중의 불순물을 제거하고, 적층막을 개질한다. 이에 의해 적층막 개질 공정을 수행하기 전의 적층막보다 고에칭 내성을 가지고 저유전율의 적층막이 얻어진다.
그 후 전술한 실시 형태와 마찬가지의 순서로 보트 언로드 및 웨이퍼 디스차지를 수행하고, 본 변형예의 성막 처리를 종료한다.
이상으로 설명한 바와 같은 변형예에 의해서도 전술한 실시 형태와 마찬가지의 효과를 얻을 수 있다.
<다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명했지만, 본 발명은 전술한 실시 형태나 변형예에 한정되지 않고, 그 요지를 일탈하지 않는 범위에서 갖가지 변경이 가능하다.
예컨대 전술한 실시 형태 등에서는 불활성 가스, 퍼지 가스 및 산소 비함유 가스를 모두 동일한 공급계로부터 공급했지만, 불활성 가스 공급계, 퍼지 가스 공급계 및 산소 비함유 가스 공급계 중의 전체 또는 적어도 일부를 별도의 공급계로서 설치해도 좋다. 단, 다만 배기계만에 의해 처리실(201) 내에 산소 비함유의 분위기를 생성하는 경우에는 산소 비함유 가스 공급계를 설치할 필요는 없다.
또한 전술한 실시 형태 등에서는 원료 가스와 함께 촉매 가스를 이용하는 경우에서 스텝2a, 스텝2b, 스텝2d, 스텝2e, 스텝2g 등에서 촉매 가스와 함께 H2O가스 등의 산화 가스를 이용하여 Si함유층을 SiOC층이나 SiO층으로 변화시키는 예에 대하여 설명했다. 하지만 원료 가스와 함께 촉매 가스를 이용하는 경우에서 플라즈마로 여기한 O2가스 등의 산화 가스를 이용하여 Si함유층을 SiOC층이나 SiO층으로 변화시켜도 좋다. 이때의 처리 조건은 예컨대 전술한 실시 형태나 변형예와 마찬가지의 처리 조건으로 할 수 있다.
또한 전술한 실시 형태 등에서는 H2O가스 등의 산화 가스를 이용하여 SiOC막이나 SiO막 등의 실리콘계 박막(Si계 박막)을 형성하는 예에 대하여 설명했지만, 본 발명은 이에 한정되지 않는다. 예컨대 산화 가스 대신에 질소(N) 등을 포함하는 질화 가스를 이용하여 C 및 Cl을 포함하는 Si함유층을 질화시켜 SiCN막 등의 Si계 박막을 형성해도 좋다. 또는 산화 가스나 질화 가스 등을 적절히 조합하여 SiON막이나 SiOCN막 등의 Si계 박막을 형성해도 좋다. 질화 가스로서는 예컨대 암모니아(NH3) 가스, 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8가스, 이들 화합물을 포함하는 가스 등을 이용할 수 있다. 이때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 전술한 실시 형태 등에서는 SiOC막이나 SiO막의 성막에 이용하는 원료 가스로서 클로로실란계 원료 가스를 이용하는 예에 대하여 설명했지만, 클로로실란계 이외의 할로실란계 원료 가스, 예컨대 플루오로실란계 원료 가스나 브로모실란계 원료 가스 등을 이용해도 좋다. 여기서 플루오로실란계 원료 가스란 할로겐기로서의 플루오로기를 포함하는 실란계 원료 가스이며, 적어도 실리콘(Si) 및 불소(F)를 포함하는 원료 가스다. 또한 브로모실란계 원료 가스란 할로겐기로서의 브로모기를 포함하는 실란계 원료 가스이며, 적어도 실리콘(Si) 및 브롬(Br)을 포함하는 원료 가스다. 이때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
트랜지스터의 미세화와 함께, 게이트 전극의 주변 구조로서 이용되는 사이드월 스페이서(SWS) 등을 구성하는 산화막 등의 박막에 대하여 저온 성막, 저유전율, 저WER 등의 요구가 높아지고 있다. 또한 차세대 메모리로서 개발되고 있는 ReRAM이나 MRAM에는 이들 메모리 소자를 둘러싸는 박막을 에칭 스토퍼 등의 보호막으로서 공정이 진행된다. 이러한 공정 후에 성막되는 박막 등에도 예컨대 ReRAM에서는 350℃ 이하, MRAM에서는 250℃ 이하의 저온 성막이 요구되고 있다. 이러한 요구에 대하여 본 발명은 Si, C 및 할로겐 원소를 포함하고 Si-C결합을 포함하는 원료 가스와 산화 가스 등을 이용하여 Si계 박막(SiOC막, SiOCN막, SiCN막) 등의 박막을 형성하는 경우에 바람직하게 적용할 수 있다.
전술한 각 실시 형태나 각 변형예의 기법에 의해 형성한 Si계 박막을 SWS로서 사용하는 것에 의해 리크 전류가 적고 가공성이 뛰어난 디바이스 형성 기술을 제공하는 것이 가능해진다.
또한 전술한 각 실시 형태나 각 변형예의 기법에 의해 형성된 Si계 박막을 에칭 스토퍼로서 사용하는 것에 의해 가공성이 뛰어난 디바이스 형성 기술을 제공하는 것이 가능해진다.
전술한 각 실시 형태나 각 변형예에 의하면, 촉매 가스를 사용하는 경우에는 저온 영역에서도 플라즈마를 이용하지 않고, 이상적 양론비의 Si계 박막을 형성할 수 있다. 또한 플라즈마를 이용하지 않고 Si계 박막을 형성할 수 있기 때문에 예컨대 DPT의 SADP막 등, 플라즈마 데미지를 염려하는 공정에 대한 적응도 가능해진다.
또한 전술한 실시 형태 등에서는 반도체 원소인 Si를 포함하는 실리콘계 박막(SiO막, SiOC막, SiCN막, SiON막, SiOCN막)을 형성하는 예에 대하여 설명했지만, 본 발명은 이에 한정되지 않는다. 예컨대 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈(Ta), 알루미늄(Al), 몰리브덴(Mo) 등의 금속 원소를 포함하는 금속계 박막을 형성하는 경우에도 적용할 수 있다.
예컨대 본 발명은 티타늄산화막(TiO막), 지르코늄산화막(ZrO막), 하프늄산화막(HfO막), 탄탈산화막(TaO막), 알루미늄산화막(AlO막), 몰리브덴산화막(MoO막) 등의 금속산화막을 형성하는 경우에도 바람직하게 적용할 수 있다.
또한 예컨대 본 발명은 티타늄산탄화막(TiOC막), 지르코늄산탄화막(ZrOC막), 하프늄산탄화막(HfOC막), 탄탈산탄화막(TaOC막), 알루미늄산탄화막(AlOC막), 몰리브덴산탄화막(MoOC막) 등의 금속산탄화막을 형성하는 경우에도 바람직하게 적용할 수 있다.
또한 예컨대 본 발명은 티타늄탄질화막(TiCN막), 지르코늄탄질화막(ZrCN막), 하프늄탄질화막(HfCN막), 탄탈탄질화막(TaCN막), 알루미늄탄질화막(AlCN막), 몰리브덴탄질화막(MoCN막) 등의 금속탄질화막을 형성하는 경우에도 바람직하게 적용할 수 있다.
또한 예컨대 본 발명은 티탄산질화막(TiON막), 지르코늄산질화막(ZrON막), 하프늄산질화막(HfON막), 탄탈산질화막(TaON막), 알루미늄산질화막(AlON막), 몰리브덴산질화막(MoON막) 등의 금속산질화막을 형성하는 경우에도 바람직하게 적용할 수 있다.
또한 예컨대 본 발명은 티타늄산탄질화막(TiOCN막), 지르코늄산탄질화막(ZrOCN막), 하프늄산탄질화막(HfOCN막), 탄탈산탄질화막(TaOCN막), 알루미늄산탄질화막(AlOCN막), 몰리브덴산탄질화막(MoOCN막) 등의 금속산탄질화막을 형성하는 경우에도 바람직하게 적용할 수 있다.
이 경우 원료 가스로서 전술한 실시 형태에서의 Si를 포함하는 원료 가스 대신에 금속 원소를 포함하는 원료 가스를 이용하여 전술한 실시 형태와 마찬가지의 시퀀스에 의해 성막을 수행할 수 있다.
예컨대 Ti를 포함하는 금속계 박막(TiO막, TiOC막, TiCN막, TiON막, TiOCN막)을 형성하는 경우에는 Ti를 포함하는 원료 가스로서, Ti, C 및 할로겐 원소를 포함하고 Ti-C결합을 포함하는 원료 가스나, Ti 및 할로겐 원소를 포함하는 원료 가스를 이용할 수 있다. Ti 및 할로겐 원소를 포함하는 원료 가스로서는 예컨대 티타늄테트라클로라이드(TiCl4) 등의 Ti 및 클로로기를 포함하는 원료 가스나, 티타늄테트라플루오라이드(TiF4) 등의 Ti 및 플루오로기를 포함하는 원료 가스를 이용할 수 있다. 산화 가스나 질화 가스나 아민계 촉매 가스나 산소 비함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 이때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Zr을 포함하는 금속계 박막(ZrO막, ZrOC막, ZrCN막, ZrON막, ZrOCN막)을 형성하는 경우에는 Zr을 포함하는 원료 가스로서. Zr, C 및 할로겐 원소를 포함하고 Zr-C결합을 포함하는 원료 가스나, Zr 및 할로겐 원소를 포함하는 원료 가스를 이용할 수 있다. Zr 및 할로겐 원소를 포함하는 원료 가스로서는 예컨대 지르코늄테트라클로라이드(ZrCl4) 등의 Zr 및 클로로기를 포함하는 원료 가스나, 지르코늄테트라플루오라이드(ZrF4) 등의 Zr 및 플루오로기를 포함하는 원료 가스를 이용할 수 있다. 산화 가스나 질화 가스나 아민계 촉매 가스나 산소 비함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 이때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Hf를 포함하는 금속계 박막(HfO막, HfOC막, HfCN막, HfON막, HfOCN막)을 형성하는 경우에는 Hf를 포함하는 원료 가스로서 Hf, C 및 할로겐 원소를 포함하고 Hf-C결합을 포함하는 원료 가스나, Hf 및 할로겐 원소를 포함하는 원료 가스를 이용할 수 있다. Hf 및 할로겐 원소를 포함하는 원료 가스로서는 예컨대 하프늄테트라클로라이드(HfCl4) 등의 Hf 및 클로로기를 포함하는 원료 가스나, 하프늄테트라플루오라이드(HfF4) 등의 Hf 및 플루오로기를 포함하는 원료 가스를 이용할 수 있다. 산화 가스나 질화 가스나 아민계 촉매 가스나 산소 비함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 이때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Ta를 포함하는 금속계 박막(TaO막, TaOC막, TaCN막, TaON막, TaOCN막)을 형성하는 경우에는 Ta를 포함하는 원료 가스로서 Ta, C 및 할로겐 원소를 포함하고 Ta-C결합을 포함하는 원료 가스나, Ta 및 할로겐 원소를 포함하는 원료 가스를 이용할 수 있다. Ta 및 할로겐 원소를 포함하는 원료 가스로서는 예컨대 탄탈펜타클로라이드(TaCl5) 등의 Ta 및 클로로기를 포함하는 원료 가스나, 탄탈펜타플루오라이드(TaF5) 등의 Ta 및 플루오로기를 포함하는 원료 가스를 이용할 수 있다. 산화 가스나 질화 가스나 아민계 촉매 가스나 산소 비함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 이때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Al을 포함하는 금속계 박막(AlO막, AlOC막, AlCN막, AlON막, AlOCN막)을 형성하는 경우에는 Al을 포함하는 원료 가스로서 Al, C 및 할로겐 원소를 포함하고 Al-C결합을 포함하는 원료 가스나, Al 및 할로겐 원소를 포함하는 원료 가스를 이용할 수 있다. Al 및 할로겐 원소를 포함하는 원료 가스로서는 예컨대 알루미늄트리클로라이드(AlCl3) 등의 Al 및 클로로기를 포함하는 원료 가스나, 알루미늄트리플루오라이드(AlF3) 등의 Al 및 플루오로기를 포함하는 원료 가스를 이용할 수 있다. 산화 가스나 질화 가스나 아민계 촉매 가스나 산소 비함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 이때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Mo를 포함하는 금속계 박막(MoO막, MoOC막, MoON막, MoOCN막)을 형성하는 경우에는 Mo를 포함하는 원료 가스로서 Mo, C 및 할로겐 원소를 포함하고 Mo-C결합을 포함하는 원료 가스나, Mo 및 할로겐 원소를 포함하는 원료 가스를 이용할 수 있다. Mo 및 할로겐 원소를 포함하는 원료 가스로서는 예컨대 몰리브덴펜타클로라이드(MoCl5) 등의 Mo 및 클로로기를 포함하는 원료 가스나, 몰리브덴펜타플루오라이드(MoF5) 등의 Mo 및 플루오로기를 포함하는 원료 가스를 이용할 수 있다. 산화 가스나 질화 가스나 아민계 촉매 가스나 산소 비함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 이때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
즉 본 발명은 반도체 원소나 금속 원소 등의 소정 원소를 포함하는 박막을 형성하는 경우에 바람직하게 적용할 수 있다.
또한 이들 각종 박막의 성막에 이용되는 프로세스 레시피(처리 순서나 처리 조건이 기재된 프로그램)는 기판 처리의 내용(형성하는 박막의 막종, 조성비, 막질, 막 두께 등)에 따라 각각 개별로 준비(복수 준비)하는 것이 바람직하다. 그리고 기판 처리를 시작할 때, 기판 처리의 내용에 따라 복수의 프로세스 레시피 중에서 적절한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 구체적으로는 기판 처리의 내용에 따라 개별로 준비된 복수의 프로세스 레시피를 상기 통신 회선이나 상기 프로세스 레시피를 기록한 기록 매체[외부 기억 장치(123)]를 개재하여 기판 처리 장치가 구비하는 기억 장치(121c) 내에 미리 격납(인스톨)해두는 것이 바람직하다. 그리고 기판 처리를 시작할 때, 기판 처리 장치가 구비하는 CPU(121a)가 기억 장치(121c) 내에 격납된 복수의 프로세스 레시피 중에서 기판 처리의 내용에 따라 적절한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 이와 같이 구성하는 것에 의해 1대의 기판 처리 장치로 다양한 막종, 조성비, 막질, 막 두께의 박막을 범용적으로 또한 재현성 좋게 형성할 수 있다. 또한 오퍼레이터의 조작 부담(처리 순서나 처리 조건의 입력 부담 등)을 저감할 수 있어, 조작 오류를 회피하면서 기판 처리를 신속하게 시작할 수 있다.
전술한 프로세스 레시피는 새롭게 작성하는 경우에 한정되지 않고, 예컨대 기판 처리 장치에 이미 인스톨되었던 기존의 프로세스 레시피를 변경하는 것에 의해 준비해도 좋다. 프로세스 레시피를 변경하는 경우에는 변경 후의 프로세스 레시피를 상기 통신 회선이나 상기 프로세스 레시피를 기록한 기록 매체를 개재하여 기판 처리 장치에 인스톨해도 좋다. 또한 기존의 기판 처리 장치가 구비하는 입출력 장치(122)를 조작하여 기판 처리 장치에 이미 인스톨되었던 기존의 프로세스 레시피를 직접 변경해도 좋다.
또한 전술한 실시 형태 등의 성막 시퀀스에서는 SiOC막, SiO막, 적층막 등의 형성을 실온으로 수행하는 예에 대해서도 설명했지만, 이 경우 히터(207)에 의한 처리실(201) 내의 가열을 수행할 필요는 없고, 기판 처리 장치에 히터를 설치하지 않아도 좋다. 이에 의해 기판 처리 장치의 가열계의 구성을 간소화할 수 있어, 기판 처리 장치를 보다 저렴하고 단순한 구조로 할 수 있다. 이 경우 SiOC막, SiO막, 적층막 등의 개질 공정은 SiOC막, SiO막, 적층막 등의 형성 공정을 수행하는 처리실과는 다른 처리실에서 Ex-Situ에서 수행한다.
또한 전술한 실시 형태 등에서는 한 번에 복수 매의 기판을 처리하는 뱃치(batch)식의 기판 처리 장치를 이용하여 박막을 성막하는 예에 대하여 설명했지만, 본 발명은 이에 한정되지 않고, 한 번에 1매 또는 수 매의 기판을 처리하는 매엽식(枚葉式)의 기판 처리 장치를 이용하여 박막을 성막하는 경우에도 바람직하게 적용할 수 있다. 또한 전술한 실시 형태에서는 핫 월형의 처리로를 포함하는 기판 처리 장치를 이용하여 박막을 성막하는 예에 대하여 설명했지만, 본 발명은 이에 한정되지 않고, 콜드 월형의 처리로를 포함하는 기판 처리 장치를 이용하여 박막을 성막하는 경우에도 바람직하게 적용할 수 있다. 이 경우의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 전술한 각 실시 형태 및 각 변형예는 적절히 조합하여 이용할 수 있다. 또한 이때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
[실시예]
(제1 실시예)
본 발명의 실시예로서 전술한 실시 형태에서의 기판 처리 장치를 이용하여 전술한 실시 형태에서의 도 7의 성막 시퀀스에서 웨이퍼 상에 SiOC막을 형성하고, SiOC막의 개질 처리를 수행하여, 각각의 SiOC막의 각종 특성을 평가했다. SiOC막의 개질 처리로서는 제1 열처리를 수행하지 않고, 제2 열처리만 수행했다. 이때 원료 가스로서는 BTCSM가스를 이용하고, 산화 가스로서는 H2O가스를 이용하고, 촉매 가스로서는 피리딘 가스를 이용하고, SiOC막 개질 처리 시의 열처리 가스로서는 N2가스를 이용했다. 처리 조건은 전술한 실시 형태와 마찬가지의 처리 조건으로 했다.
도 16은 본 실시예의 평가 결과를 도시하는 그래프이며, 도 16의 (a)는 열처리 전후에서의 SiOC막의 비유전율을 도시하는 그래프이며, 도 16의 (b)는 열처리 전후에서의 SiOC막의 웨트 에칭 레이트(WER)를 도시하는 그래프이며, 도 16의 (c)는 SiOC막의 WER의 열처리의 온도 의존성을 도시하는 그래프다.
도 16의 (a)의 그래프의 횡축은 SiOC막의 처리 상태를 나타내고, 왼쪽부터 순서대로 웨이퍼의 온도를 60℃로서 성막된 상태에서 열처리를 받지 않은 SiOC막의 예(60℃, as depo), 웨이퍼의 온도를 60℃로서 성막된 후에 N2가스의 분위기 하에서 웨이퍼의 온도를 600℃로서 30분간 열처리된 SiOC막의 예(600℃, 30min, N2, anneal)를 나타낸다. 또한 그래프의 종축은 SiOC막의 비유전율(k value)을 나타낸다. SiOC막의 비유전율이란 진공의 유전율ε0에 대한 SiOC막의 유전율ε의 비교εr=ε/ε0이다.
도 16의 (a)에 의하면, 본 실시예에 따른 열처리 전의 SiOC막의 비유전율은 7.76이라는 것을 알 수 있다. 또한 본 발명자들이 수행한 다른 평가에 의하면, 비교적 고온으로 성막된 SiOC막의 비유전율은 4.5 정도이었다. 본 실시예에 따른 SiOC막의 비유전율은 열처리 전에는 이보다 높다는 것을 알 수 있다. 이에 대하여 본 실시예에 따른 열처리 후의 SiOC막의 비유전율은 3.58이며, 전술한 비교적 고온으로 성막된 SiOC막의 비유전율(4.5 정도)이나, 일반적인 열 산화막의 비유전율(3.9 정도)을 대폭 하회하는 비유전율을 얻어진다는 것을 알 수 있다. 이는 SiOC막의 열처리에 의해 저온 조건 하에서 형성된 SiOC막 중에 포함되어 있었던 수분이나 Cl 등의 불순물 등의 유전율을 높이는 물질이 SiOC막 중으로부터 제거된 것과, SiOC막이 포러스화된 것이 주된 요인인 것으로 생각된다.
도 16의 (b)의 그래프의 횡축은 도 16의 (a)와 마찬가지이며, 왼쪽부터 순서대로 각각 「60℃, as depo」 및 「600℃, 30min, N2, anneal」을 나타낸다. 또한 그래프의 종축은 SiOC막의 1% 농도의 불화수소 함유액(1% HF수용액)에 의한 WER [a.u.]을 나타낸한다. 여기서 WER은 단위 시간당의 에칭 깊이다.
도 16의 (b)에서의 열처리 전의 SiOC막은 그 WER으로부터 비교적 양호한 에칭 내성을 구비한다는 것이 확인되었다. 이러한 SiOC막의 WER은 다른 평가에서 본 발명자들이 저온 조건 하에서 성막한 SiO막의 WER보다 낮은 값에 상당하는 것이 확인되었다. 또한 도 16의 (b)에 의하면, 열처리 후의 SiOC막의 WER은 열처리 전의 SiOC막의 WER의 1/8 이하의 값이라는 것을 알 수 있다. 이는 통상의 열 산화막의 WER보다 낮은 값에 상당하고, 열처리 후의 SiOC막에서는 한층 더 뛰어난 에칭 내성이 얻어진다고 할 수 있다. 이와 같이 SiOC막의 열처리에 의해 SiOC막 중의 불순물이 저감되어, 에칭 내성이 한층 더 향상한다는 것을 알 수 있다.
도 16의 (c)의 그래프의 횡축은 웨이퍼의 온도를 60℃로 하여 성막된 후에 N2가스의 분위기 하에서 30분간 열처리된 SiOC막의 열처리 시의 온도 조건을 도시하고, 왼쪽부터 순서대로 200℃, 300℃, 500℃, 600℃, 630℃의 예를 나타낸다. 또한 그래프의 종축은 도 16의 (b)와 마찬가지로 SiOC막의 1% HF수용액에 의한 WER [a.u.]을 나타낸다.
도 16의 (c)에서 열처리 시의 온도가 200℃인 경우, 그 WER으로부터 개질 처리에 의한 소정의 효과가 얻어진다는 것이 확인되었다. 또한 도 16의 (c)에 의하면, 열처리 시의 온도가 300℃의 경우, 열처리 시의 온도가 200℃일 때의 WER의 약 절반의 WER이 되고, 한층 더 양호한 결과가 얻어진다는 것을 알 수 있다. 또한 열처리 시의 온도가 500℃의 때, WER의 저하가 보다 현저해지고, 이보다 높은 온도, 즉 600℃, 630℃의 결과에 비해 손색이 없는 결과가 얻어진다는 것을 알 수 있다. 열처리 시의 온도가 500℃, 600℃, 630℃일 때의 WER은 모두 열처리 시의 온도가 200℃의 때의 WER의 약 10분의 1 이하가 된다는 것을 알 수 있다. 이로부터 열처리 시의 온도를 적어도 500℃ 이상으로 하는 것에 의해 에칭 내성을 향상시키는 일정 이상의 현저한 효과가 얻어진다는 것 알 수 있다. 또한 500℃ 이상의 온도에서는 WER의 저하 정도가 둔해지지만, 630℃에서는 WER이 한층 더 저하되는 경향을 보인다. 630℃에서의 WER은 500℃에서의 WER의 70% 정도다. 따라서 630℃나 그 이상으로 온도를 높이는 것에 의해 한층 더 뛰어난 에칭 내성이 얻어진다고 예측할 수 있다. 이와 같이 열처리 시의 온도를 높이는 것에 의해 SiOC막의 WER을 저하시키는 효과가 한층 더 높아진다는 것을 알 수 있다.
(제2 실시예)
본 발명의 실시예로서 전술한 실시 형태에서의 기판 처리 장치를 이용하여 전술한 실시 형태에서의 도 7의 성막 시퀀스에서 웨이퍼 상에 SiOC막을 형성하고, SiOC막의 개질 처리를 수행했다. SiOC막의 개질 처리로서 제1 열처리 및 제2 열처리의 양방을 수행한 샘플(샘플1)과, 제1 열처리를 수행하지 않고, 제2 열처리만 수행한 샘플(샘플2)을 준비했다. 그리고 각 샘플의 SiOC막의 각종 특성을 평가했다. 원료 가스로서는 BTCSM가스를 이용하고, 산화 가스로서는 H2O가스를 이용하고, 촉매 가스로서는 피리딘 가스를 이용하고, SiOC막 개질 처리 시의 열처리 가스로서는 N2가스를 이용했다. 샘플1의 SiOC막을 형성할 때의 제1 열처리에서의 웨이퍼의 온도(제1 온도)를 450℃로 하고, 제2 열처리에서의 웨이퍼의 온도(제2 온도)를 600℃로 했다. 샘플2의 SiOC막을 형성할 때의 제2 열처리에서의 웨이퍼의 온도(제2 온도)를 600℃로 했다. 그 이외의 처리 조건은 전술한 실시 형태와 마찬가지의 처리 조건으로 했다.
도 18은 본 실시예의 평가 결과를 도시하는 도면이며, 샘플1의 SiOC막과 샘플2의 SiOC막의 각종 특성[WER, 슈링크율(수축률), 비유전율(k value)]을 비교하여 표로 정리했다.
도 18에 의하면, 샘플1의 SiOC막의 WER은 샘플2의 SiOC막의 WER의 1/17 이하이며, 샘플1의 SiOC막의 WER은 샘플2의 SiOC막의 WER보다 훨씬 작다는 것을 알 수 있다. 또한 샘플2의 SiOC막의 WER도 비교적 작고, 샘플2의 SiOC막도 비교적 양호한 에칭 내성을 가진다는 것을 알 수 있다. 즉 샘플1의 SiOC막의 WER은 그 작은 WER(샘플2의 SiOC막의 WER)보다 한층 더 작고, 샘플1의 SiOC막은 그 양호한 에칭 내성(샘플2의 SiOC막의 에칭 내성)을 한층 더 상회하는 에칭 내성을 가진다는 것을 알 수 있다. 이는 샘플2의 SiOC막의 경우, 제2 열처리에 의해 SiOC막 중에 포함되어 있었던 수분이나 Cl 등의 불순물이 SiOC막 중으로부터 제거된 것에 대해, 샘플1의 SiOC막의 경우, 제1 열처리 및 제2 열처리가 단계적으로 수행된 것에 의해 SiOC막 중에 포함되어 있었던 수분이나 Cl 등의 불순물 외에 CxHy계의 불순물이 SiOC막 중으로부터 충분히 제거된 결과인 것으로 생각된다.
또한 도 18에 의하면, 샘플1의 SiOC막의 슈링크율은 샘플2의 SiOC막의 슈링크율의 9/10정도이며, 샘플1의 SiOC막의 슈링크율은 샘플2의 SiOC막의 슈링크율보다 작다는 것을 알 수 있다. 또한 슈링크율이란 개질 처리 전의 SiOC막에 대한 개질 처리 후의 SiOC막의 수축률, 즉 개질 처리에 의해 SiOC막이 수축하는 비율을 나타낸다. 즉 샘플1의 SiOC막은 샘플2의 SiOC막보다 개질 처리에 의해 수축하지 않는다는 것을 알 수 있다. 반대로 말하면, 샘플2의 SiOC막은 샘플1의 SiOC막보다 개질 처리에 의해 수축한다는 것을 알 수 있다.
샘플1의 SiOC막의 슈링크율이 작은 것은 샘플1의 SiOC막은 제1 열처리 및 제2 열처리가 단계적으로 수행되는 것에 의해, 즉 2단계로 온도의 다른 열처리가 수행되는 것에 의해 SiOC막 중으로부터 탈리된 수분이나 Cl 등에 의한 SiOC막의 산화를 억제할 수 있어, 막 수축률을 억제할 수 있었기 때문이라고 생각된다. 또한 샘플2의 SiOC막의 슈링크율이 큰 것은 샘플2의 SiOC막은 제1 열처리가 수행되지 않고 제2 열처리만이 수행되는 것에 의해 즉 1단계로 비교적 높은 온도로 열처리가 수행되는 것에 의해 SiOC막 중으로부터 탈리된 수분이나 Cl 등에 의해 SiOC막이 산화되어, SiOC막이 수축되기 쉬워졌기 때문이라고 생각된다.
또한 도 18에 의하면, 샘플1의 SiOC막의 비유전율은 2.68이며, 샘플2의 SiOC막의 비유전율은 3.58이며, 샘플1의 SiOC막의 비유전율은 샘플2의 SiOC막의 비유전율보다 작다는 것을 알 수 있다. 또한 샘플2의 SiOC막의 비유전율(3.58)은 일반적인 열 산화막의 비유전율(3.9 정도)을 대폭 하회하는 비유전율이지만, 샘플1의 SiOC막의 비유전율(2.68)은 그보다 더 하회하는 비유전율이라는 것을 알 수 있다.
샘플2의 SiOC막의 비유전율이 일반적인 열 산화막의 비유전율을 대폭 하회한 것은 SiOC막에 대한 제2 열처리에 의해 SiOC막 중에 포함되어 있었던 수분이나 Cl 등의 불순물 등의 유전율을 높이는 물질이 SiOC막 중으로부터 제거된 것과, SiOC막이 포러스화된 것이 주된 요인인 것으로 생각된다. 샘플1의 SiOC막의 비유전율이 일반적인 열 산화막의 비유전율이나 샘플2의 SiOC막의 비유전율을 대폭 하회한 것은 SiOC막에 대한 제1 열처리 및 제2 열처리, 즉 온도를 변경하여 단계적으로 수행되는 열처리에 의해 SiOC막 중에 포함되어 있었던 수분이나 Cl 등의 불순물 등의 유전율을 높이는 물질 외에 CxHy계의 불순물 등의 유전율을 높이는 물질이 SiOC막 중으로부터 충분히 제거된 것과, SiOC막의 포러스화가 한층 더 진행된 것이 주된 요인인 것으로 생각된다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대하여 부기(附記)한다.
(부기1)
본 발명의 일 형태에 의하면,
기판에 대하여 소정 원소, 탄소 및 할로겐 원소를 포함하고 상기 소정 원소와 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 공정과, 상기 기판에 대하여 산화 가스를 공급하는 공정과, 상기 기판에 대하여 촉매 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 산소 및 탄소를 포함하는 박막을 형성하는 공정;
상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 제1 불순물을 제거하는 공정; 및
상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 상기 제1 불순물과는 다른 제2 불순물을 제거하는 공정;
을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기2)
부기1에 기재된 반도체 장치의 제조 방법으로서,
상기 제1 불순물은 수분(H2O) 및 염소(Cl)를 포함하고, 상기 제2 불순물은 탄화수소 화합물(CxHy계의 불순물)을 포함한다.
(부기3)
부기1 또는 부기2에 기재된 반도체 장치의 제조 방법으로서,
상기 제1 온도는 상기 박막 중으로부터 상기 제1 불순물을 제거할 때에 상기 제1 불순물에 의해 상기 박막이 산화되지 않는 온도다.
(부기4)
부기1 내지 부기3 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 제1 온도는 상기 박막 중으로부터 상기 제1 불순물을 제거할 때에 상기 제1 불순물과, 상기 박막 중에 포함되는 상기 제1 불순물과는 다른 불순물이 반응하지 않는 온도다.
(부기5)
부기1 내지 부기4 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 제1 온도는 상기 박막 중으로부터 상기 제1 불순물을 제거할 때에 상기 제1 불순물과, 상기 박막 중에 포함되는 상기 제2 불순물이 반응하지 않는 온도다.
(부기6)
부기1 내지 부기5 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 제1 온도는 300℃ 이상 450℃ 이하의 범위 내의 온도다.
(부기7)
부기1 내지 부기6 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 제1 온도는 300℃ 이상 400℃ 이하의 범위 내의 온도다.
(부기8)
부기1 내지 부기7 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 제1 온도는 300℃ 이상 350℃ 이하의 범위 내의 온도다.
(부기9)
부기1 내지 부기8 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 제2 온도는 300℃ 이상 900℃ 이하의 범위 내의 온도다.
(부기10)
부기1 내지 부기9 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 제2 온도는 350℃ 이상 700℃ 이하의 범위 내의 온도다.
(부기11)
부기1 내지 부기10 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 제2 온도는 400℃ 이상 700℃ 이하의 범위 내의 온도다.
(부기12)
부기1 내지 부기11 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 제2 온도는 450℃ 이상 600℃ 이하의 범위 내의 온도다.
(부기13)
부기1 내지 부기12 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 제2 온도는 상기 제1 온도보다 높은 온도다.
(부기14)
부기1 내지 부기13 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 제2 온도는 상기 제1 온도와 동등한 온도(동일의 온도)다.
(부기15)
부기1 내지 부기14 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 박막을 형성하는 공정에서는 상기 기판의 온도를 실온 이상 150℃ 이하의 온도로 한다.
(부기16)
부기1 내지 부기15 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 박막을 형성하는 공정에서는 상기 기판의 온도를 실온 이상 100℃ 이하의 온도로 한다.
(부기17)
부기1 내지 부기16 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 박막을 형성하는 공정에서는 상기 기판의 온도를 50℃ 이상 100℃ 이하의 온도로 한다.
(부기18)
부기1 내지 부기17 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 소정 원소는 실리콘(Si)을 포함하고, 상기 원료 가스는 Si-C결합, Si-C-Si결합 및 Si-C-C-Si결합으로 이루어지는 군으로부터 선택되는 적어도 1개를 포함한다.
(부기19)
부기1 내지 부기18 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 원료 가스는 비스(트리클로로실릴)메탄[(SiCl3)2CH2] 가스, 1,2-비스(트리클로로실릴)에탄[(SiCl3)2C2H4] 가스, 1,1,2,2-테트라클로로-1,2-디메틸디실란[(CH3)2Si2Cl4] 가스 및 1,2-디클로로-1,1,2,2-테트라메틸디실란[(CH3)4Si2Cl2] 가스로 이루어지는 군으로부터 선택되는 적어도 1개를 포함한다.
(부기20)
부기1 내지 부기19 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 촉매 가스는 아민계 촉매 가스를 포함한다.
(부기21)
부기1 내지 부기20 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 촉매 가스는 트리에틸아민[(C2H5)3N] 가스, 디에틸아민[(C2H5)2NH] 가스, 모노에틸아민(C2H5NH2) 가스, 트리메틸아민[(CH3)3N] 가스, 모노메틸아민[(CH3)NH2] 가스, 피리딘(C5H5N) 가스, 아미노피리딘(C5H6N2) 가스, 피콜린(C6H7N) 가스, 루티딘(C7H9N) 가스, 피페라진(C4H10N2) 가스 및 피페리딘(C5H11N) 가스로 이루어지는 군으로부터 선택되는 적어도 1개를 포함한다.
(부기22)
부기1 내지 부기21 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 촉매 가스는 피리딘(C5H5N) 가스를 포함한다.
(부기23)
부기1 내지 부기22 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 산화 가스는 수증기(H2O가스), 오존(O3) 가스, 과산화수소(H2O2) 가스, 수소(H2) 가스 및 산소(O2) 가스, 수소(H2) 가스 및 오존(O3) 가스로 이루어지는 군으로부터 선택되는 적어도 1개를 포함한다.
(부기24)
부기1 내지 부기23 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 산화 가스는 수증기(H2O가스)를 포함한다.
(부기25)
부기1 내지 부기24 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 제1 불순물을 제거하는 공정 및 상기 제2 불순물을 제거하는 공정에서는 상기 기판에 대하여 산소 비함유 가스를 공급하는 것에 의해 산소 비함유의 분위기 하에서 상기 열처리를 수행한다.
(부기26)
부기1 내지 부기25 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 제1 불순물을 제거하는 공정 및 상기 제2 불순물을 제거하는 공정에서는 상기 기판에 대하여 불활성 가스를 공급하는 것에 의해 불활성 가스 분위기 하에서 상기 열처리를 수행한다.
(부기27)
부기1 내지 부기26 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 박막을 형성하는 공정 및 상기 박막을 열처리하는 공정은 동일한 처리실 내에서 수행된다.
(부기28)
부기1 내지 부기27 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서,
상기 박막을 형성하는 공정 및 상기 박막을 열처리하는 공정은 각각 다른 처리실 내에서 수행된다.
(부기29)
본 발명의 다른 형태에 의하면,
기판에 대하여 소정 원소, 탄소 및 할로겐 원소를 포함하고 상기 소정 원소와 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 공정과, 상기 기판에 대하여 산화 가스를 공급하는 공정과, 상기 기판에 대하여 촉매 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 산소 및 탄소를 포함하는 박막을 형성하는 공정;
상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 제1 불순물을 제거하는 공정; 및
상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 상기 제1 불순물과는 다른 제2 불순물을 제거하는 공정;
을 포함하는 기판 처리 방법이 제공된다.
(부기30)
본 발명의 또 다른 형태에 의하면,
기판을 수용하는 처리실;
상기 처리실 내에 소정 원소, 탄소 및 할로겐 원소를 포함하고 상기 소정 원소와 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 원료 가스 공급계;
상기 처리실 내에 산화 가스를 공급하는 산화 가스 공급계;
상기 처리실 내에 촉매 가스를 공급하는 촉매 가스 공급계;
상기 처리실 내의 기판을 가열하는 히터; 및
상기 처리실 내의 기판에 대하여 상기 원료 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 산화 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 촉매 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 산소 및 탄소를 포함하는 박막을 형성하는 처리와, 상기 박막을 형성하는 처리에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 제1 불순물을 제거하는 처리와, 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 상기 제1 불순물과는 다른 제2 불순물을 제거하는 처리를 수행하도록, 상기 원료 가스 공급계, 상기 산화 가스 공급계, 상기 촉매 가스 공급계 및 상기 히터를 제어하는 제어부;
를 포함하는 기판 처리 장치가 제공된다.
(부기31)
본 발명의 또 다른 형태에 의하면,
기판 상에 박막을 형성하는 제1 기판 처리부와, 상기 박막을 열처리하는 제2 기판 처리부를 포함하는 기판 처리 시스템으로서,
상기 제1 기판 처리부는,
기판을 수용하는 제1 처리실;
상기 제1 처리실 내에 소정 원소, 탄소 및 할로겐 원소를 포함하고 상기 소정 원소와 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 원료 가스 공급계;
상기 제1 처리실 내에 산화 가스를 공급하는 산화 가스 공급계;
상기 제1 처리실 내에 촉매 가스를 공급하는 촉매 가스 공급계; 및
상기 제1 처리실 내의 기판에 대하여 상기 원료 가스를 공급하는 처리와, 상기 제1 처리실 내의 상기 기판에 대하여 상기 산화 가스를 공급하는 처리와, 상기 제1 처리실 내의 상기 기판에 대하여 상기 촉매 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 산소 및 탄소를 포함하는 박막을 형성하는 처리를 수행하도록 상기 원료 가스 공급계, 상기 산화 가스 공급계 및 상기 촉매 가스 공급계를 제어하는 제1 제어부;
를 포함하고,
상기 제2 기판 처리부는,
기판을 수용하는 제2 처리실;
상기 제2 처리실 내의 기판을 가열하는 히터; 및
상기 제2 처리실 내에 상기 박막이 형성된 상기 기판을 수용한 상태에서 상기 박막을 형성하는 처리에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 제1 불순물을 제거하는 처리와, 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 상기 제1 불순물과는 다른 제2 불순물을 제거하는 처리를 수행하도록 상기 히터를 제어하는 제2 제어부;
를 포함하는 기판 처리 시스템이 제공된다.
(부기32)
본 발명의 또 다른 형태에 의하면,
처리실 내의 기판에 대하여 소정 원소, 탄소 및 할로겐 원소를 포함하고 상기 소정 원소와 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 대하여 산화 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 대하여 촉매 가스를 공급하는 순서를 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 산소 및 탄소를 포함하는 박막을 형성하는 순서;
상기 박막을 형성하는 순서에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 제1 불순물을 제거하는 순서; 및
상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 상기 제1 불순물과는 다른 제2 불순물을 제거하는 순서;
를 컴퓨터에 실행하는 프로그램 및 상기 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
본 발명의 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체는 반도체 장치의 제조 분야 등에서 이용할 수 있다.
121: 컨트롤러(제어부) 200: 웨이퍼(기판)
201: 처리실 202: 처리로
203: 반응관 207: 히터
209: 매니폴드 231: 배기관
232a: 제1 원료 가스 공급관 232b: 제1 산화 가스 공급관
232c: 제1 촉매 가스 공급관 244: APC밸브(압력 조정부)

Claims (16)

  1. 기판에 대하여 실리콘과 염소의 화학 결합과, 실리콘과 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 공정과, 상기 기판에 대하여 산화 가스를 공급하는 공정과, 상기 기판에 대하여 촉매 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 실리콘, 산소 및 탄소를 포함하는 박막을 형성하는 공정;
    상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 수분 및 염소를 포함하는제1 불순물을 제거하는 공정; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 탄화수소 화합물을 포함하고 상기 제1 불순물과는 다른 제2 불순물을 제거하는 공정;
    을 포함하는 반도체 장치의 제조 방법.
  2. 삭제
  3. 제1항에 있어서,
    상기 제1 온도는 상기 박막 중으로부터 상기 제1 불순물을 제거할 때에 상기 제1 불순물에 의해 상기 박막이 산화되지 않는 온도인 반도체 장치의 제조 방법.
  4. 제3항에 있어서,
    상기 제1 온도는 상기 박막 중으로부터 상기 제1 불순물을 제거할 때에 수분 과 염소가 반응하지 않는 온도인 반도체 장치의 제조 방법.
  5. 제3항에 있어서,
    상기 제1 온도는 상기 박막 중으로부터 상기 제1 불순물을 제거할 때에 상기 제1 불순물과, 상기 박막 중에 포함되는 상기 제2 불순물이 반응하지 않는 온도인 반도체 장치의 제조 방법.
  6. 제3항에 있어서,
    상기 제1 온도는 300℃ 이상 450℃ 이하의 범위 내의 온도인 반도체 장치의 제조 방법.
  7. 제6항에 있어서,
    상기 제2 온도는 300℃ 이상 900℃ 이하의 범위 내의 온도인 반도체 장치의 제조 방법.
  8. 제7항에 있어서,
    상기 박막을 형성하는 공정에서는 상기 기판의 온도를 실온 이상 150℃ 이하의 온도로 하는 반도체 장치의 제조 방법.
  9. 제1항에 있어서,
    상기 원료 가스는 Si-C결합, Si-C-Si결합 및 Si-C-C-Si결합으로 이루어지는 군(群)으로부터 선택되는 적어도 1개를 포함하는 반도체 장치의 제조 방법.
  10. 제1항에 있어서,
    상기 원료 가스는 비스(트리클로로실릴)메탄[(SiCl3)2CH2] 가스, 1,2-비스(트리클로로실릴)에탄[(SiCl3)2C2H4] 가스, 1,1,2,2-테트라클로로-1,2-디메틸디실란[(CH3)2Si2Cl4] 가스 및 1,2-디클로로-1,1,2,2-테트라메틸디실란[(CH3)4Si2Cl2] 가스로 이루어지는 군으로부터 선택되는 적어도 1개를 포함하는 반도체 장치의 제조 방법.
  11. 제1항에 있어서,
    상기 촉매 가스는 트리에틸아민[(C2H5)3N] 가스, 디에틸아민[(C2H5)2NH] 가스, 모노에틸아민(C2H5NH2) 가스, 트리메틸아민[(CH3)3N] 가스, 모노메틸아민[(CH3)NH2] 가스, 피리딘(C5H5N) 가스, 아미노피리딘(C5H6N2) 가스, 피콜린(C6H7N) 가스, 루티딘(C7H9N) 가스, 피페라진(C4H10N2) 가스 및 피페리딘(C5H11N) 가스로 이루어지는 군으로부터 선택되는 적어도 1개를 포함하는 반도체 장치의 제조 방법.
  12. 제1항에 있어서,
    상기 산화 가스는 수증기(H2O가스), 오존(O3) 가스, 과산화수소(H2O2) 가스, 수소(H2) 가스 및 산소(O2) 가스, 수소(H2) 가스 및 오존(O3) 가스로 이루어지는 군으로부터 선택되는 적어도 1개를 포함하는 반도체 장치의 제조 방법.
  13. 제1항에 있어서,
    상기 제1 불순물을 제거하는 공정 및 상기 제2 불순물을 제거하는 공정에서는 상기 기판에 대하여 산소 비함유 가스를 공급하는 것에 의해 산소 비함유의 분위기 하에서 상기 열처리를 수행하는 반도체 장치의 제조 방법.
  14. 기판을 수용하는 처리실;
    상기 처리실 내에 실리콘과 염소의 화학 결합과, 실리콘과 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 원료 가스 공급계;
    상기 처리실 내에 산화 가스를 공급하는 산화 가스 공급계;
    상기 처리실 내에 촉매 가스를 공급하는 촉매 가스 공급계;
    상기 처리실 내의 기판을 가열하는 히터; 및
    상기 처리실 내의 기판에 대하여 상기 원료 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 산화 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 촉매 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 실리콘, 산소 및 탄소를 포함하는 박막을 형성하는 처리와, 상기 박막을 형성하는 처리에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 수분 및 염소를 포함하는 제1 불순물을 제거하는 처리와, 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 탄화수소 화합물을 포함하고 상기 제1 불순물과는 다른 제2 불순물을 제거하는 처리를 수행하도록, 상기 원료 가스 공급계, 상기 산화 가스 공급계, 상기 촉매 가스 공급계 및 상기 히터를 제어하는 제어부;
    를 포함하는 기판 처리 장치.
  15. 기판 상에 박막을 형성하는 제1 기판 처리부와, 상기 박막을 열처리하는 제2 기판 처리부를 포함하는 기판 처리 시스템으로서,
    상기 제1 기판 처리부는,
    기판을 수용하는 제1 처리실;
    상기 제1 처리실 내에 실리콘과 염소의 화학 결합과, 실리콘과 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 원료 가스 공급계;
    상기 제1 처리실 내에 산화 가스를 공급하는 산화 가스 공급계;
    상기 제1 처리실 내에 촉매 가스를 공급하는 촉매 가스 공급계; 및
    상기 제1 처리실 내의 기판에 대하여 상기 원료 가스를 공급하는 처리와, 상기 제1 처리실 내의 상기 기판에 대하여 상기 산화 가스를 공급하는 처리와, 상기 제1 처리실 내의 상기 기판에 대하여 상기 촉매 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 실리콘, 산소 및 탄소를 포함하는 박막을 형성하는 처리를 수행하도록, 상기 원료 가스 공급계, 상기 산화 가스 공급계 및 상기 촉매 가스 공급계를 제어하는 제1 제어부;
    를 포함하고,
    상기 제2 기판 처리부는,
    기판을 수용하는 제2 처리실;
    상기 제2 처리실 내의 기판을 가열하는 히터; 및
    상기 제2 처리실 내에 상기 박막이 형성된 상기 기판을 수용한 상태에서 상기 박막을 형성하는 처리에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 수분 및 염소를 포함하는 제1 불순물을 제거하는 처리와, 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 탄화수소 화합물을 포함하고 상기 제1 불순물과는 다른 제2 불순물을 제거하는 처리를 수행하도록 상기 히터를 제어하는 제2 제어부;
    를 포함하는 기판 처리 시스템.
  16. 처리실 내의 기판에 대하여 실리콘과 염소의 화학 결합과, 실리콘과 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 단계와, 상기 처리실 내의 상기 기판에 대하여 산화 가스를 공급하는 단계와, 상기 처리실 내의 상기 기판에 대하여 촉매 가스를 공급하는 단계를 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 실리콘, 산소 및 탄소를 포함하는 박막을 형성하는 단계;
    상기 박막을 형성하는 단계에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막 중으로부터 수분 및 염소를 포함하는 제1 불순물을 제거하는 단계; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막 중으로부터 탄화수소 화합물을 포함하고 상기 제1 불순물과는 다른 제2 불순물을 제거하는 단계;
    를 컴퓨터에 실행하는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체.
KR1020167005514A 2013-09-30 2013-09-30 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체 KR101846850B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2013/076571 WO2015045163A1 (ja) 2013-09-30 2013-09-30 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体

Publications (2)

Publication Number Publication Date
KR20160039670A KR20160039670A (ko) 2016-04-11
KR101846850B1 true KR101846850B1 (ko) 2018-04-09

Family

ID=52742360

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167005514A KR101846850B1 (ko) 2013-09-30 2013-09-30 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체

Country Status (5)

Country Link
US (1) US9673043B2 (ko)
JP (1) JP6068661B2 (ko)
KR (1) KR101846850B1 (ko)
CN (1) CN105493248B (ko)
WO (1) WO2015045163A1 (ko)

Families Citing this family (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP5864637B2 (ja) 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6111317B2 (ja) * 2013-03-19 2017-04-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび記録媒体
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6470060B2 (ja) 2015-01-30 2019-02-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6456893B2 (ja) * 2016-09-26 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US20200332415A1 (en) * 2017-08-08 2020-10-22 Applied Materials, Inc. Methods And Apparatus For Deposition Of Low-K Films
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
CN108559975B (zh) * 2018-02-06 2020-08-25 江苏微导纳米科技股份有限公司 空间催化式化学气相沉积设备
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019207864A1 (ja) * 2018-04-27 2019-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR20210022068A (ko) * 2018-07-17 2021-03-02 에이에스엠엘 네델란즈 비.브이. 입자 빔 검사 장치
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US20200040454A1 (en) * 2018-08-06 2020-02-06 Lam Research Corporation Method to increase deposition rate of ald process
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP6608516B2 (ja) * 2018-12-19 2019-11-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP6910387B2 (ja) 2019-03-05 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112420731B (zh) * 2020-11-17 2021-12-17 长江存储科技有限责任公司 在深孔中形成薄膜层的方法及半导体器件的制备方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7329021B2 (ja) 2021-09-14 2023-08-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理システム、およびプログラム
WO2023119726A1 (ja) * 2021-12-24 2023-06-29 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000188333A (ja) * 1998-12-22 2000-07-04 Seiko Epson Corp 半導体装置及びその製造方法
JP2003276110A (ja) * 2002-03-26 2003-09-30 Dainippon Printing Co Ltd 積層体およびその製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
KR100408733B1 (ko) * 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US20030170605A1 (en) * 2002-03-11 2003-09-11 Egan Visual Inc. Vapor deposited writing surfaces
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
JP2006279019A (ja) * 2005-03-03 2006-10-12 Sony Corp 薄膜の形成方法および半導体装置の製造方法
JP4854286B2 (ja) 2005-12-06 2012-01-18 株式会社アルバック 銅配線構造
JP4896041B2 (ja) * 2006-01-17 2012-03-14 株式会社日立国際電気 半導体装置の製造方法
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
JP2008071894A (ja) 2006-09-13 2008-03-27 Tokyo Electron Ltd 成膜方法
US7749574B2 (en) * 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7964441B2 (en) * 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
JP5246843B2 (ja) * 2007-11-07 2013-07-24 株式会社日立国際電気 基板処理装置、ベーキング方法及び半導体装置の製造方法
JP5421736B2 (ja) 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000188333A (ja) * 1998-12-22 2000-07-04 Seiko Epson Corp 半導体装置及びその製造方法
JP2003276110A (ja) * 2002-03-26 2003-09-30 Dainippon Printing Co Ltd 積層体およびその製造方法

Also Published As

Publication number Publication date
CN105493248B (zh) 2018-04-10
JP6068661B2 (ja) 2017-01-25
CN105493248A (zh) 2016-04-13
KR20160039670A (ko) 2016-04-11
WO2015045163A1 (ja) 2015-04-02
JPWO2015045163A1 (ja) 2017-03-09
US9673043B2 (en) 2017-06-06
US20160211135A1 (en) 2016-07-21

Similar Documents

Publication Publication Date Title
KR101846850B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체
KR101555604B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체
KR101670182B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR102319147B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR102186965B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101788440B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101553481B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6457101B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6111317B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび記録媒体

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant