KR102186965B1 - 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 - Google Patents

반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 Download PDF

Info

Publication number
KR102186965B1
KR102186965B1 KR1020140026177A KR20140026177A KR102186965B1 KR 102186965 B1 KR102186965 B1 KR 102186965B1 KR 1020140026177 A KR1020140026177 A KR 1020140026177A KR 20140026177 A KR20140026177 A KR 20140026177A KR 102186965 B1 KR102186965 B1 KR 102186965B1
Authority
KR
South Korea
Prior art keywords
gas
catalyst
supplying
substrate
film
Prior art date
Application number
KR1020140026177A
Other languages
English (en)
Other versions
KR20140114761A (ko
Inventor
요시로 히로세
노리카즈 미즈노
가즈타카 야나기타
신고 오쿠보
Original Assignee
가부시키가이샤 코쿠사이 엘렉트릭
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 코쿠사이 엘렉트릭, 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 가부시키가이샤 코쿠사이 엘렉트릭
Publication of KR20140114761A publication Critical patent/KR20140114761A/ko
Application granted granted Critical
Publication of KR102186965B1 publication Critical patent/KR102186965B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

박막 내의 탄소 농도를 높이거나 고정밀도로 제어한다. 본 발명에 따른 반도체 장치의 제조 방법은, 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 촉매 가스를 공급하는 공정과, 기판에 대하여 산화 가스와 촉매 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 기판 상에 형성하는 공정을 갖는다.

Description

반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램{SEMICONDUCTOR DEVICE MANUFACTURING METHOD, SUBSTRATE PROCESSING APPARATUS AND PROGRAM}
본 발명은 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체에 관한 것이다.
반도체 장치의 제조 공정의 일 공정으로서, 기판에 대하여 예를 들어 실리콘을 포함하는 원료 가스나, 산화 가스 등을 공급하여, 기판 상에 실리콘 산화막 등의 박막을 형성하는 공정이 행해지는 경우가 있다. 그때, 예를 들어 촉매 가스를 사용함으로써 비교적 저온에서의 성막이 가능하게 되어, 반도체 장치가 받는 열 이력 등을 개선할 수 있다.
또한, 기판 상에 박막을 형성할 때, 예를 들어 탄소 등을 박막에 첨가하여, 습식 에칭에 대한 내성을 향상시키는 등 막질의 향상을 도모하는 경우가 있다.
그러나, 비교적 저온의 조건 하에서는, 막 내에 탄소를 도입하는 것이 곤란해져서, 충분한 탄소 농도가 얻어지지 않는 경우나, 탄소 농도를 고정밀도로 제어할 수 없는 경우 등이 있다. 이로 인해, 예를 들어 충분한 에칭 내성을 구비하는 박막을 형성할 수 없는 등의 과제가 발생해 버린다.
본 발명의 목적은, 박막 내의 탄소 농도를 높이거나 고정밀도로 제어할 수 있는 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체를 제공하는 데 있다.
본 발명의 일 형태에 의하면,
기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 촉매 가스를 공급하는 공정과,
상기 기판에 대하여 산화 가스와 촉매 가스를 공급하는 공정
을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면,
기판을 수용하는 처리실과,
상기 처리실 내에 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스를 공급하는 원료 가스 공급계와,
상기 처리실 내에 산화 가스를 공급하는 산화 가스 공급계와,
상기 처리실 내에 촉매 가스를 공급하는 촉매 가스 공급계와,
상기 처리실 내의 기판에 대하여 상기 원료 가스와 촉매 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 산화 가스와 촉매 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 처리를 행하도록 상기 원료 가스 공급계, 상기 산화 가스 공급계 및 상기 촉매 가스 공급계를 제어하는 제어부
를 포함하는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 의하면,
기판 처리 장치의 처리실 내의 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 촉매 가스를 공급하는 수순과,
상기 처리실 내의 상기 기판에 대하여 산화 가스와 촉매 가스를 공급하는 수순,
을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 수순을 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
본 발명에 따르면, 박막 내의 탄소 농도를 높이거나 고정밀도로 제어할 수 있다.
도 1은 본 발명의 제1 실시 형태에서 적절하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 종단면도로 도시하는 도면이다.
도 2는 본 발명의 제1 실시 형태에서 적절하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 도 1의 A-A선에 따른 단면으로 도시하는 도면이다.
도 3은 본 발명의 제1 실시 형태에서 적절하게 사용되는 기판 처리 장치의 컨트롤러의 개략 구성도이다.
도 4는 본 발명의 제1 실시 형태의 성막 시퀀스에서의 성막 플로우를 도시하는 도면이다.
도 5는 본 발명의 제1 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이다.
도 6은 본 발명의 제1 실시 형태의 박막 형성 공정의 촉매 반응의 설명도이며, (a)는 스텝 1a에서의 촉매 반응을 도시하는 도면이고, (b)는 스텝 2a에서의 촉매 반응을 도시하는 도면이다.
도 7은 본 발명의 제1 실시 형태의 변형예의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이며, (a)는 변형예 1을 도시하는 도면이고, (b)는 변형예 2를 도시하는 도면이고, (c)는 변형예 3을 도시하는 도면이다.
도 8은 본 발명의 제2 실시 형태의 성막 시퀀스에서의 성막 플로우를 도시하는 도면이다.
도 9는 본 발명의 제2 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이며, (a)는 스택 막을 형성하는 시퀀스 예를 도시하는 도면이고, (b)는 라미네이트 막을 형성하는 시퀀스 예를 도시하는 도면이다.
도 10은 본 발명의 제2 실시 형태의 변형예의 성막 시퀀스에서의 성막 플로우를 도시하는 도면이다.
도 11은 본 발명의 제2 실시 형태의 변형예의 성막 시퀀스에서의 가스 공급 및 RF 전력 공급의 타이밍을 도시하는 도면이며, (a)는 스택 막을 형성하는 시퀀스 예를 도시하는 도면이고, (b)는 라미네이트 막을 형성하는 시퀀스 예를 도시하는 도면이다.
도 12의 (a) 내지 (f)는 원료 가스로서 사용되는 각종 실란의 화학 구조식을 도시하는 도면이며, 각각 BTCSM, BTCSE, TCDMDS, DCTMDS, HCDS, BDEAS의 화학 구조식을 도시하는 도면이다.
도 13의 (a) 내지 (f)는 촉매 가스로서 사용되는 각종 아민의 명칭, 화학 조성식, 화학 구조식 및 산해리 상수를 도시하는 도면이며, 각각, 환상 아민, TEA, DEA, MEA, TMA, MMA의 명칭, 화학 조성식, 화학 구조식 및 산해리 상수를 도시하는 도면이다.
도 14는 본 발명의 실시예의 결과를 도시하는 도면이며, (a)는 서로 다른 원료 가스, 산화 가스 및 촉매 가스를 사용하여 성막된 SiOC막의 조성비, 굴절률 및 습식 에칭 레이트를 나타내는 데이터 표이고, (b)는 원료 가스로서 TCDMDS 가스를 사용한 예의 그래프이고, (c)는 원료 가스로서 DCTMDS 가스를 사용한 예의 그래프이고, (d)는 원료 가스로서 BTCSM 가스를 사용한 예의 그래프이다.
도 15는 본 발명의 실시예의 결과를 도시하는 도면이며, 소정의 원료 가스와, 서로 다른 산화 가스 및 촉매 가스를 사용하여 성막된 SiOC막의 성막 레이트, 굴절률, 습식 에칭 레이트의 온도 의존성을 나타내는 데이터 표이다.
도 16은 본 발명의 실시예의 결과를 도시하는 도면이며, (a)는 가스 공급을 나타내는 타이밍도이고, (b)는 BTCSM 가스 및 H2O 가스에 대한 피리딘 가스의 효과를, 성막되는 SiOC막의 성막 레이트에 의해 나타내는 그래프이다.
<제1 실시 형태>
이하에, 본 발명의 제1 실시 형태에 대하여 도면을 참조하면서 설명한다.
(1) 기판 처리 장치의 전체 구성
도 1은 본 실시 형태에서 적절하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로(202) 부분을 종단면도로 나타내고 있다. 도 2는 본 실시 형태에서 적절하게 사용되는 종형 처리로의 개략 구성도이며, 처리로(202) 부분을 도 1의 A-A선에 따른 단면도로 나타내고 있다.
도 1에 도시되어 있는 바와 같이, 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 갖는다. 히터(207)는 원통 형상이며, 보유 지지판으로서의 히터 베이스(도시하지 않음)에 지지됨으로써 수직으로 설치되어 있다. 또한, 히터(207)는 후술하는 바와 같이 가스를 열로 활성화(여기)시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는, 히터(207)와 동심원 형상으로 반응관(203)이 배치되어 있다. 반응관(203)은, 예를 들어 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색되고 하단이 개구된 원통 형상으로 형성되어 있다. 반응관(203)의 하방에는, 반응관(203)과 동심원 형상으로, 매니폴드(인렛 플랜지)(209)가 배치되어 있다. 매니폴드(209)는, 예를 들어 스테인리스 등의 금속으로 구성되고, 상단 및 하단이 개구된 원통 형상으로 형성되어 있다. 매니폴드(209)의 상단부는 반응관(203)의 하단부에 계합(係合)되어 있어, 반응관(203)을 지지하도록 구성되어 있다. 또한, 매니폴드(209)와 반응관(203) 사이에는 시일 부재로서의 O링(220a)이 설치되어 있다. 매니폴드(209)가 히터 베이스에 지지됨으로써, 반응관(203)은 수직으로 설치된 상태로 된다. 주로, 반응관(203)과 매니폴드(209)에 의해 처리 용기(반응 용기)가 구성된다. 처리 용기의 통 중공부에는 처리실(201)이 형성되어 있다. 처리실(201)은 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세로 수직 방향으로 다단으로 정렬된 상태로 수용 가능하게 구성되어 있다.
처리실(201) 내에는 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c)이 매니폴드(209)의 측벽을 관통하도록 설치되어 있다. 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c)에는 제1 원료 가스 공급관(232a), 제1 산화 가스 공급관(232b), 제1 촉매 가스 공급관(232c)이 각각 접속되어 있다. 또한, 제1 원료 가스 공급관(232a)에는 복수 개의 원료 가스 공급관(232e, 232f, 232g)이 접속되어 있다. 또한, 제1 산화 가스 공급관(232b)에는 제2 산화 가스 공급관(232d)이 접속되어 있다. 또한, 제1 촉매 가스 공급관(232c)에는 제2 촉매 가스 공급관(232h)이 접속되어 있다. 이와 같이, 반응관(203)에는 3개의 노즐(249a 내지 249c)과, 복수 개의 가스 공급관(232a 내지 232h)이 설치되어 있어, 처리실(201) 내에 복수 종류의 가스를 공급할 수 있도록 구성되어 있다.
제1 원료 가스 공급관(232a)에는 상류 방향으로부터 순서대로, 예를 들어 제1 원료 가스 공급원으로서의 (SiCl3)2CH2(BTCSM) 가스 공급원(242a), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(241a) 및 개폐 밸브인 밸브(243a)가 설치되어 있다. 또한, 제1 원료 가스 공급관(232a)의 밸브(243a)보다 하류측에는, 제2 원료 가스 공급관(232e)이 접속되어 있다. 이 제2 원료 가스 공급관(232e)에는 상류 방향에서부터 순서대로, 예를 들어 제2 원료 가스 공급원으로서의 (CH3)2Si2Cl4(TCDMDS) 가스 공급원(242e), 유량 제어기(유량 제어부)인 MFC(241e) 및 개폐 밸브인 밸브(243e)가 설치되어 있다. 제1 원료 가스 공급관(232a)에서의 제2 원료 가스 공급관(232e)과의 접속 개소보다 하류측에는, 제3 원료 가스 공급관(232f)이 접속되어 있다. 이 제3 원료 가스 공급관(232f)에는 상류 방향으로부터 순서대로, 예를 들어 제3 원료 가스 공급원으로서의 Si2Cl6(HCDS) 가스 공급원(242f), 유량 제어기(유량 제어부)인 MFC(241f) 및 개폐 밸브인 밸브(243f)가 설치되어 있다. 제1 원료 가스 공급관(232a)에서의 제3 원료 가스 공급관(232f)과의 접속 개소보다 하류측에는, 제4 원료 가스 공급관(232g)이 접속되어 있다. 이 제4 원료 가스 공급관(232g)에는 상류 방향으로부터 순서대로, 예를 들어 제4 원료 가스 공급원으로서의 Si[N(C2H5)2]2H2(BDEAS) 가스 공급원(242g), 유량 제어기(유량 제어부)인 MFC(241g) 및 개폐 밸브인 밸브(243g)가 설치되어 있다. 또한, 제1 원료 가스 공급관(232a)에서의 제4 원료 가스 공급관(232g)과의 접속 개소보다 하류측에는, 제1 불활성 가스 공급관(232i)이 접속되어 있다. 이 제1 불활성 가스 공급관(232i)에는 상류 방향으로부터 순서대로, 예를 들어 제1 불활성 가스 공급원으로서의 N2 가스 공급원(242i), 유량 제어기(유량 제어부)인 MFC(241i) 및 개폐 밸브인 밸브(243i)가 설치되어 있다.
또한, 제1 원료 가스 공급관(232a)의 선단부에는 상술한 제1 노즐(249a)이 접속되어 있다. 제1 노즐(249a)은 도 2에 도시되어 있는 바와 같이, 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해 상승되도록 설치되어 있다. 즉, 제1 노즐(249a)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 제1 노즐(249a)은 L자형의 롱 노즐로 구성되어 있고, 그 수평부는 매니폴드(209)의 측벽을 관통하도록 설치되어 있고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승되도록 설치되어 있다. 제1 노즐(249a)의 측면에는 가스를 공급하는 가스 공급 구멍(250a)이 형성되어 있다. 도 2에 도시되어 있는 바와 같이, 가스 공급 구멍(250a)은 반응관(203)의 중심을 향하도록 개구되어 있어, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이 가스 공급 구멍(250a)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다.
주로, 원료 가스 공급관(232a, 232e, 232f, 232g), MFC(241a, 241e, 241f, 241g), 밸브(243a, 243e, 243f, 243g)에 의해 원료 가스 공급계가 구성된다. 또한, 제1 노즐(249a), BTCSM 가스 공급원(242a), TCDMDS 가스 공급원(242e), HCDS 가스 공급원(242f), BDEAS 가스 공급원(242g)을 원료 가스 공급계에 포함시켜 생각해도 된다. 또한, 주로, 제1 불활성 가스 공급관(232i), MFC(241i), 밸브(243i)에 의해, 제1 불활성 가스 공급계가 구성된다. 또한, N2 가스 공급원(242i)을 제1 불활성 가스 공급계에 포함시켜 생각해도 된다. 제1 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
또한, 원료 가스 공급계는 분자 구조가 각각 상이한 복수 종류의 원료 가스를 각각 공급하는 복수의 공급 라인(공급계)의 집합체라고 볼 수도 있다. 즉, 원료 가스 공급계는, 주로 제1 원료 가스 공급관(232a), MFC(241a), 밸브(243a)에 의해 구성되는 BTCSM 가스 공급 라인과, 주로 제2 원료 가스 공급관(232e), MFC(241e), 밸브(243e)에 의해 구성되는 TCDMDS 가스 공급 라인과, 주로 제3 원료 가스 공급관(232f), MFC(241f), 밸브(243f)에 의해 구성되는 HCDS 가스 공급 라인과, 주로 제4 원료 가스 공급관(232g), MFC(241g), 밸브(243g)에 의해 구성되는 BDEAS 가스 공급 라인의 집합체라고 할 수 있다. 이때, 개개의 공급 라인에, 제1 노즐(249a)이나, 대응하는 각 원료 가스 공급원(242a, 242e, 242f, 242g)을 포함시켜 생각해도 된다.
제1 산화 가스 공급관(232b)에는 상류 방향으로부터 순서대로, 예를 들어 제1 산화 가스 공급원으로서의 H2O 가스 공급원(242b), 유량 제어기(유량 제어부)인 MFC(241b) 및 개폐 밸브인 밸브(243b)가 설치되어 있다. 또한, 제1 산화 가스 공급관(232b)의 밸브(243b)보다 하류측에는 제2 산화 가스 공급관(232d)이 접속되어 있다. 이 제2 산화 가스 공급관(232d)에는 상류 방향으로부터 순서대로, 예를 들어 제2 산화 가스 공급원으로서의 O2 가스 공급원(242d), 유량 제어기(유량 제어부)인 MFC(241d) 및 개폐 밸브인 밸브(243d)가 설치되어 있다. 제1 산화 가스 공급관(232b)에서의 제2 산화 가스 공급관(232d)과의 접속 개소보다 하류측에는, 제2 불활성 가스 공급관(232j)이 접속되어 있다. 이 제2 불활성 가스 공급관(232j)에는 상류 방향으로부터 순서대로, 예를 들어 제2 불활성 가스 공급원으로서의 N2 가스 공급원(242j), 유량 제어기(유량 제어부)인 MFC(241j) 및 개폐 밸브인 밸브(243j)가 설치되어 있다. 또한, 제1 산화 가스 공급관(232b)의 선단부에는 상술한 제2 노즐(249b)이 접속되어 있다. 제2 노즐(249b)은 가스 분산 공간인 버퍼실(237) 내에 설치되어 있다.
버퍼실(237)은 도 2에 도시되어 있는 바와 같이, 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에, 또한, 반응관(203) 내벽의 하부로부터 상부에 걸치는 부분에, 웨이퍼(200)의 적재 방향을 따라 설치되어 있다. 즉, 버퍼실(237)은 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르게 설치되어 있다. 버퍼실(237)의 웨이퍼(200)와 인접하는 벽의 단부에는, 가스를 공급하는 가스 공급 구멍(250d)이 형성되어 있다. 가스 공급 구멍(250d)은 반응관(203)의 중심을 향하도록 개구되어 있어, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이 가스 공급 구멍(250d)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다.
제2 노즐(249b)은 도 2에 도시되어 있는 바와 같이, 버퍼실(237)의 가스 공급 구멍(250d)이 형성된 단부와 반대측의 단부에, 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해 상승되도록 설치되어 있다. 즉, 제2 노즐(249b)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 제2 노즐(249b)은 L자형의 롱 노즐로서 구성되어 있고, 그 수평부는 매니폴드(209)의 측벽을 관통하도록 설치되어 있고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승되도록 설치되어 있다. 제2 노즐(249b)의 측면에는 가스를 공급하는 가스 공급 구멍(250b)이 형성되어 있다. 도 2에 도시되어 있는 바와 같이, 가스 공급 구멍(250b)은 버퍼실(237)의 중심을 향하도록 개구되어 있다. 이 가스 공급 구멍(250b)은 버퍼실(237)의 가스 공급 구멍(250d)과 마찬가지로, 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되어 있다. 이 복수의 가스 공급 구멍(250b) 각각의 개구 면적은, 버퍼실(237) 내와 처리실(201) 내의 차압이 작은 경우에는, 상류측(하부)에서부터 하류측(상부)까지, 각각 동일한 개구 면적으로 동일한 개구 피치로 하면 되지만, 차압이 큰 경우에는, 상류측에서 하류측을 향해 각각 개구 면적을 크게 하거나, 개구 피치를 작게 하면 된다.
본 실시 형태에서는, 제2 노즐(249b)의 가스 공급 구멍(250b) 각각의 개구 면적이나 개구 피치를, 상류측으로부터 하류측에 걸쳐 상술한 바와 같이 조절함으로써, 우선, 가스 공급 구멍(250b) 각각으로부터, 유속의 차는 있지만, 유량이 거의 동일한 양인 가스를 분출시킨다. 그리고 이 가스 공급 구멍(250b) 각각으로부터 분출하는 가스를, 일단, 버퍼실(237) 내에 도입하고, 버퍼실(237) 내에서 가스의 유속 차의 균일화를 행하는 것으로 하고 있다. 즉, 제2 노즐(249b)의 가스 공급 구멍(250b) 각각으로부터 버퍼실(237) 내로 분출한 가스는, 버퍼실(237) 내에서 각 가스의 입자 속도가 완화된 후, 버퍼실(237)의 가스 공급 구멍(250d)으로부터 처리실(201) 내에 분출된다. 이에 의해, 제2 노즐(249b)의 가스 공급 구멍(250b) 각각으로부터 버퍼실(237) 내에 분출된 가스는, 버퍼실(237)의 가스 공급 구멍(250d) 각각으로부터 처리실(201) 내에 분출될 때에는, 균일한 유량과 유속을 갖는 가스가 된다.
주로, 산화 가스 공급관(232b, 232d), MFC(241b, 241d), 밸브(243b, 243d)에 의해 산화 가스 공급계가 구성된다. 또한, 제2 노즐(249b), 버퍼실(237), H2O 가스 공급원(242b) 및 O2 가스 공급원(242d)을 산화 가스 공급계에 포함시켜 생각해도 된다. 또한, 주로, 제2 불활성 가스 공급관(232j), MFC(241j), 밸브(243j)에 의해, 제2 불활성 가스 공급계가 구성된다. 또한, N2 가스 공급원(242j)을 제2 불활성 가스 공급계에 포함시켜 생각해도 된다. 제2 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
또한, 산화 가스 공급계는 분자 구조가 각각 상이한 복수 종류의 산화 가스를 각각 공급하는 복수의 공급 라인(공급계)의 집합체라고 볼 수도 있다. 즉, 산화 가스 공급계는, 주로 제1 산화 가스 공급관(232b), MFC(241b), 밸브(243b)에 의해 구성되는 H2O 가스 공급 라인과, 주로 제2 산화 가스 공급관(232d), MFC(241d), 밸브(243d)에 의해 구성되는 O2 가스 공급 라인의 집합체라고 할 수 있다. 이때, 개개의 공급 라인에, 제2 노즐(249b)이나 버퍼실(237)이나, 대응하는 각 산화 가스 공급원(242b, 242d)을 포함시켜 생각해도 된다.
제1 촉매 가스 공급관(232c)에는 상류 방향으로부터 순서대로, 예를 들어 제1 촉매 가스 공급원으로서의 C5H5N(피리딘) 가스 공급원(242c), 유량 제어기(유량 제어부)인 MFC(241c) 및 개폐 밸브인 밸브(243c)가 설치되어 있다. 또한, 제1 촉매 가스 공급관(232c)의 밸브(243c)보다 하류측에는, 제2 촉매 가스 공급관(232h)이 접속되어 있다. 이 제2 촉매 가스 공급관(232h)에는 상류 방향으로부터 순서대로, 예를 들어 제2 촉매 가스 공급원으로서의 (C2H5)3N(TEA) 가스 공급원(242h), 유량 제어기(유량 제어부)인 MFC(241h) 및 개폐 밸브인 밸브(243h)가 설치되어 있다. 또한, 제1 촉매 가스 공급관(232c)에서의 제2 촉매 가스 공급관(232h)과의 접속 개소보다 하류측에는, 제3 불활성 가스 공급관(232k)이 접속되어 있다. 이 제3 불활성 가스 공급관(232k)에는 상류 방향으로부터 순서대로, 예를 들어 제3 불활성 가스 공급원으로서의 N2 가스 공급원(242k), 유량 제어기(유량 제어부)인 MFC(241k) 및 개폐 밸브인 밸브(243k)가 설치되어 있다.
또한, 제1 촉매 가스 공급관(232c)의 선단부에는 상술한 제3 노즐(249c)이 접속되어 있다. 제3 노즐(249c)은 도 2에 도시되어 있는 바와 같이, 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해 상승되도록 설치되어 있다. 즉, 제3 노즐(249c)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 제3 노즐(249c)은 L자형의 롱 노즐로서 구성되어 있고, 그 수평부는 매니폴드(209)의 측벽을 관통하도록 설치되어 있고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측에서부터 타단측을 향해 상승되도록 설치되어 있다. 제3 노즐(249c)의 측면에는 가스를 공급하는 가스 공급 구멍(250c)이 형성되어 있다. 도 2에 도시되어 있는 바와 같이, 가스 공급 구멍(250c)은 반응관(203)의 중심을 향하도록 개구되어 있어, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이 가스 공급 구멍(250c)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다.
주로, 촉매 가스 공급관(232c, 232h), MFC(241c, 241h), 밸브(243c, 243h)에 의해 촉매 가스 공급계가 구성된다. 또한, 제3 노즐(249c), 피리딘 가스 공급원(242c), TEA 가스 공급원(242h)을 촉매 가스 공급계에 포함시켜 생각해도 된다. 또한, 주로, 제3 불활성 가스 공급관(232k), MFC(241k), 밸브(243k)에 의해 제3 불활성 가스 공급계가 구성된다. 또한, N2 가스 공급원(242k)을 제3 불활성 가스 공급계에 포함시켜 생각해도 된다. 제3 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
또한, 촉매 가스 공급계는 분자 구조가 각각 상이한 복수 종류의 촉매 가스를 각각 공급하는 복수의 공급 라인(공급계)의 집합체라고 볼 수도 있다. 즉, 촉매 가스 공급계는, 주로 제1 촉매 가스 공급관(232c), MFC(241c), 밸브(243c)에 의해 구성되는 피리딘 가스 공급 라인과, 주로 제2 촉매 가스 공급관(232h), MFC(241h), 밸브(243h)에 의해 구성되는 TEA 가스 공급 라인의 집합체라고 할 수 있다. 이때, 개개의 공급 라인에, 제3 노즐(249c)이나, 대응하는 각 촉매 가스 공급원(242c, 242h)을 포함시켜 생각해도 된다.
이와 같이, 본 실시 형태에서의 가스 공급의 방법에서는, 반응관(203)의 내벽과, 적재된 복수매의 웨이퍼(200)의 단부로 정의되는 원호 형상의 세로로 긴 공간 내에 배치한 노즐(249a, 249b, 249c) 및 버퍼실(237)을 경유하여 가스를 반송하고, 노즐(249a, 249b, 249c) 및 버퍼실(237)에 각각 개구된 가스 공급 구멍(250a, 250b, 250c, 250d)으로부터 웨이퍼(200)의 근방에서 비롯서 반응관(203) 내에 가스를 분출시키고 있어, 반응관(203) 내에서의 가스의 주된 흐름을 웨이퍼(200)의 표면과 평행한 방향, 즉 수평 방향으로 하고 있다. 이러한 구성으로 함으로써, 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있어, 각 웨이퍼(200)에 형성되는 막의 막 두께의 균일성을 향상시키는 효과가 있다. 또한, 웨이퍼(200)의 표면 상을 흐른 가스, 즉 반응 후의 잔류 가스는, 배기구, 즉 후술하는 배기관(231)의 방향을 향해 흐르지만, 이 잔류 가스의 흐름의 방향은, 배기구의 위치에 따라 적절히 특정되며, 수직 방향에 한정되는 것은 아니다.
제1 원료 가스 공급관(232a)으로부터는, 실리콘(Si), 탄소(C) 및 할로겐 원소(불소(F), 염소(Cl), 브롬(Br) 등)를 포함하고 Si-C 결합을 갖는 원료 가스로서, 예를 들어 Si, 알킬렌기로서의 메틸렌기 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 메틸렌기를 포함하는 클로로실란계 원료 가스가, MFC(241a), 밸브(243a), 제1 노즐(249a)을 통해 처리실(201) 내에 공급된다. 여기서, 메틸렌기를 포함하는 클로로실란계 원료 가스는 메틸렌기 및 클로로기를 포함하는 실란계 원료 가스이며, 적어도 Si와, C를 포함한 메틸렌기와, 할로겐 원소로서의 Cl을 포함하는 원료 가스이다. 제1 원료 가스 공급관(232a)으로부터 공급되는 메틸렌기를 포함하는 클로로실란계 원료 가스로서는, 예를 들어 메틸렌비스(트리클로로실란), 즉, 비스(트리클로로실릴)메탄((SiCl3)2CH2, 약칭: BTCSM) 가스를 사용할 수 있다.
도 12의 (a)에 나타내고 있는 바와 같이, BTCSM은 그 화학 구조식 중(1 분자 중)에 알킬렌기로서의 메틸렌기를 포함한다. BTCSM에 포함되는 메틸렌기는 2개의 결합손이 각각 Si와 결합하여, Si-C-Si 결합을 이루고 있다. 원료 가스가 갖는 Si-C 결합은, 예를 들어 BTCSM에 포함되는 Si-C-Si 결합의 일부이며, BTCSM에 포함되는 메틸렌기는, 이러한 Si-C 결합을 구성하는 C를 포함한다.
또한, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스에는, 예를 들어 Si, 알킬렌기로서의 에틸렌기 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 에틸렌기를 포함하는 클로로실란계 원료 가스가 포함된다. 에틸렌기를 포함하는 클로로실란계 원료 가스로서는, 예를 들어 에틸렌비스(트리클로로실란), 즉 1,2-비스(트리클로로실릴)에탄((SiCl3)2C2H4, 약칭: BTCSE) 가스 등을 사용할 수 있다.
도 12의 (b)에 나타내고 있는 바와 같이, BTCSE는 그 화학 구조식 중(1 분자 중)에 알킬렌기로서의 에틸렌기를 포함한다. BTCSE에 포함되는 에틸렌기는 2개의 결합손이 각각 Si와 결합하여, Si-C-C-Si 결합을 이루고 있다. 원료 가스가 갖는 Si-C 결합은, 예를 들어 BTCSE에 포함되는 Si-C-C-Si 결합의 일부이며, BTCSE에 포함되는 에틸렌기는, 이러한 Si-C 결합을 구성하는 C를 포함한다.
또한, 여기서, 알킬렌기는 일반식 CnH2n +2로 나타내는 쇄상 포화 탄화수소(알칸)로부터 수소(H) 원자를 2개 제거한 관능기이며, 일반식 CnH2n으로 나타내는 원자의 집합체이다. 알킬렌기에는 상기에 예로 든 메틸렌기나 에틸렌기 이외에, 프로필렌기나 부틸렌기 등이 포함된다. 이와 같이, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스에는, Si, 알킬렌기 및 할로겐 원소를 포함하는 알킬렌할로실란계 원료 가스가 포함된다. 알킬렌할로실란계 원료 가스는 알킬렌기를 포함하는 할로실란계 원료 가스이며, 할로실란계 원료 가스에서의 Si의 결합손에 많은 할로겐 원소가 결합한 상태를 유지한 채, 예를 들어 Si-Si 결합간에 알킬렌기가 도입된 구조를 갖는 가스라고도 할 수 있다. BTCSM 가스 및 BTCSE 가스 등은, 알킬렌할로실란계 원료 가스에 포함된다.
제2 원료 가스 공급관(232e)으로부터는, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스로서, 예를 들어 Si, 알킬기로서의 메틸기 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 메틸기를 포함하는 클로로실란계 원료 가스가, MFC(241e), 밸브(243e), 제1 노즐(249a)을 통해 처리실(201) 내에 공급된다. 여기서, 메틸기를 포함하는 클로로실란계 원료 가스는 메틸기 및 클로로기를 포함하는 실란계 원료 가스이며, 적어도 Si와, C를 포함한 메틸기와, 할로겐 원소로서의 Cl을 포함하는 원료 가스이다. 제2 원료 가스 공급관(232e)으로부터 공급되는 메틸기를 포함하는 클로로실란계 원료 가스로서는, 예를 들어 1,1,2,2-테트라클로로-1,2-디메틸디실란((CH3)2Si2Cl4, 약칭: TCDMDS) 가스를 사용할 수 있다.
도 12의 (c)에 나타내고 있는 바와 같이, TCDMDS는 그 화학 구조식 중(1 분자 중)에 알킬기로서의 메틸기를 2개 포함한다. TCDMDS에 포함되는 2개의 메틸기는 각 결합손이 각각 Si와 결합하여, Si-C 결합을 이루고 있다. 원료 가스가 갖는 Si-C 결합은, 예를 들어 TCDMDS에 포함되는 Si-C 결합이며, TCDMDS에 포함되는 2개의 메틸기는, 이러한 Si-C 결합을 구성하는 C를 각각 포함한다.
또한, 메틸기를 포함하는 클로로실란계 원료 가스에는, TCDMDS 가스와는 상이한 다른 원료 가스가 포함된다. 메틸기를 포함하는 다른 클로로실란계 원료 가스로서는, 예를 들어 1,2-디클로로-1,1,2,2-테트라메틸디실란((CH3)4Si2Cl2, 약칭: DCTMDS) 가스 등을 사용할 수 있다.
도 12의 (d)에 나타내고 있는 바와 같이, DCTMDS는 그 화학 구조식 중(1 분자 중)에 알킬기로서의 메틸기를 4개 포함한다. DCTMDS에 포함되는 4개의 메틸기는 각 결합손이 각각 Si와 결합하여, Si-C 결합을 이루고 있다. 원료 가스가 갖는 Si-C 결합은, 예를 들어 DCTMDS에 포함되는 Si-C 결합이며, DCTMDS에 포함되는 4개의 메틸기는, 이러한 Si-C 결합을 구성하는 C를 각각 포함한다.
또한, 여기서, 알킬기는 일반식 CnH2n +2로 나타내는 쇄상 포화 탄화수소(알칸)로부터 H 원자를 1개 제거한 관능기이며, 일반식 CnH2n +1로 나타내는 원자의 집합체이다. 알킬기에는, 상기에 예로 든 메틸기 이외에, 에틸기, 프로필기, 부틸기 등이 포함된다. 이와 같이, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스에는, Si, 알킬기 및 할로겐 원소를 포함하는 알킬할로실란계 원료 가스가 포함된다. 알킬할로실란계 원료 가스는 알킬기를 포함하는 할로실란계 원료 가스이며, 할로실란계 원료 가스의 일부의 할로겐기가 알킬기로 치환된 구조를 갖는 가스라고도 할 수 있다. TCDMDS 가스 및 DCTMDS 가스 등은, 알킬할로실란계 원료 가스에 포함된다.
제3 원료 가스 공급관(232f)으로부터는, 실리콘(Si) 및 할로겐 원소를 포함하는 원료 가스로서, 예를 들어 Si 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 클로로실란계 원료 가스가, MFC(241f), 밸브(243f), 제1 노즐(249a)을 통해 처리실(201) 내에 공급된다. 여기서, 클로로실란계 원료 가스는 클로로기를 포함하는 실란계 원료 가스이며, 적어도 Si 및 할로겐 원소로서의 Cl을 포함하는 원료 가스이다. 즉, 여기에서 말하는 클로로실란계 원료는, 할로겐화물의 1종이라고도 할 수 있다. 제3 원료 가스 공급관(232f)으로부터 공급되는 클로로실란계 원료 가스로서는, 예를 들어 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스를 사용할 수 있다.
도 12의 (e)에 나타내고 있는 바와 같이, HCDS는 그 화학 구조식 중(1 분자 중)에 Si 및 클로로기를 포함한다. 또한, Si 및 할로겐 원소를 포함하는 원료 가스로서는, HCDS 가스 외에, 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스 등의 무기 원료 가스를 사용할 수 있다.
제4 원료 가스 공급관(232g)으로부터는, 실리콘(Si), 탄소(C) 및 질소(N)를 포함하고 Si-N 결합을 갖는 원료 가스로서, 예를 들어 Si 및 아미노기(아민기)를 포함하는 원료 가스인 아미노실란계 원료 가스가, MFC(241g), 밸브(243g), 제1 노즐(249a)을 통해 처리실(201) 내에 공급된다. 여기서, 아미노실란계 원료 가스는 아미노기를 포함하는 실란계 원료 가스이며, 적어도 Si와, C 및 N을 포함한 아미노기를 포함하는 원료 가스이다. 제4 원료 가스 공급관(232g)으로부터 공급되는 아미노실란계 원료 가스로서는, 예를 들어 비스(디에틸아미노)실란(Si[N(C2H5)2]2H2, 약칭: BDEAS) 가스를 사용할 수 있다.
도 12의 (f)에 나타내고 있는 바와 같이, BDEAS는 그 화학 구조식 중(1 분자 중)에 Si 및 아미노기를 포함한다. 또한, Si, C 및 N을 포함하고 Si-N 결합을 갖는 원료 가스로서는, BDEAS 가스 이외에, 트리스(디에틸아미노)실란(SiH[N(C2H5)2]3, 약칭: 3DEAS), 테트라키스(디에틸아미노)실란(Si[N(C2H5)2]4, 약칭: 4DEAS), 트리스(디메틸아미노)실란(Si[N(CH3)2]3H, 약칭: 3DMAS) 가스, 테트라키스(디메틸아미노)실란(Si[N(CH3)2]4, 약칭: 4DMAS) 가스 등의 유기 원료 가스를 사용할 수 있다.
이와 같이, 원료 가스 공급계를 구성하는 복수의 공급 라인은, 분자 구조가 각각 상이한 복수 종류의 원료 가스를 각각 공급하도록 구성되어 있다. 또한, 이와 같이, 각 원료 가스는 각각 상이한 분자 구조, 즉, 각각 상이한 화학 구조식을 갖고 있다. 각 원료 가스의 조성이나 성분이 상이해도 된다. 각각 상이한 분자 구조를 갖는 원료 가스는, 화학적 성질도 각각 상이하다. 따라서, 후술하는 바와 같이, 원하는 성막 처리에 따라 적절히 원료 가스의 종류를 선택함으로써, 1대의 기판 처리 장치에서 다양한 조성비, 막질의 박막을 범용적으로, 또한, 재현성 좋게 형성할 수 있게 된다.
여기서, 각 원료 가스 공급관(232a, 232e, 232f)으로부터 공급되는 클로로실란계 원료 가스는 기체 상태의 클로로실란계 원료, 예를 들어 상온 상압하에서 액체 상태인 클로로실란계 원료를 기화함으로써 얻어지는 가스나, 상온 상압하에서 기체 상태인 클로로실란계 원료 등이다. 또한, 제4 원료 가스 공급관(232g)으로부터 공급되는 아미노실란계 원료 가스는 기체 상태의 아미노실란계 원료, 예를 들어 상온 상압하에서 액체 상태인 아미노실란계 원료를 기화함으로써 얻어지는 가스나, 상온 상압하에서 기체 상태인 아미노실란계 원료 등이다. 또한, 본 명세서에서 "원료"라는 용어를 사용한 경우에는, "액체 상태인 액체 원료"를 의미하는 경우, "기체 상태인 원료 가스"를 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다. 따라서, 본 명세서에서 "클로로실란계 원료"라는 용어를 사용한 경우에는, "액체 상태인 클로로실란계 원료"를 의미하는 경우, "기체 상태인 클로로실란계 원료 가스"를 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다. 또한, 본 명세서에서 "아미노실란계 원료"라는 용어를 사용한 경우에는, "액체 상태인 아미노실란계 원료"를 의미하는 경우, "기체 상태인 아미노실란계 원료 가스"를 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다. 또한, BTCSM, BTCSE, TCDMDS, DCTMDS, HCDS, BDEAS와 같이 상온 상압하에서 액체 상태인 액체 원료를 사용하는 경우에는, 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 원료 가스(BTCSM 가스, BTCSE 가스, TCDMDS 가스, DCTMDS 가스, HCDS 가스, BDEAS 가스)로서 공급하게 된다.
제1 산화 가스 공급관(232b)으로부터는, 산화 가스로서, 예를 들어 산소(O)를 포함하는 가스(산소 함유 가스)가 MFC(241b), 밸브(243b), 제2 노즐(249b), 버퍼실(237)을 통해 처리실(201) 내에 공급된다. 제1 산화 가스 공급관(232b)으로부터 공급되는 산화 가스로서는, 예를 들어 수증기(H2O 가스)를 사용할 수 있다. 또한, H2O 가스의 공급시에, 도시하지 않은 외부 연소 장치에, 산소(O2) 가스와 수소(H2) 가스를 공급하여 H2O 가스를 생성해서 공급하는 구성으로 해도 된다.
제2 산화 가스 공급관(232d)으로부터는, 산화 가스로서, 예를 들어 O를 포함하는 가스(산소 함유 가스)가 MFC(241d), 밸브(243d), 제2 노즐(249b), 버퍼실(237)을 통해 처리실(201) 내에 공급된다. 제2 산화 가스 공급관(232d)으로부터 공급되는 산화 가스로서는, 예를 들어 산소(O2) 가스를 사용할 수 있다.
이와 같이, 산화 가스 공급계를 구성하는 복수의 공급 라인은, 분자 구조가 각각 상이한 복수 종류의 산화 가스를 각각 공급하도록 구성되어 있다. 또한, 이와 같이, 각 산화 가스는 각각 상이한 분자 구조, 즉 각각 상이한 화학 구조식을 갖고 있다. 각 산화 가스의 조성이나 성분이 상이해도 된다. 각각 상이한 분자 구조를 갖는 산화 가스는, 화학적 성질도 각각 상이하다. 따라서, 예를 들어 원하는 성막 처리에 따라 적절히 산화 가스의 종류를 선택함으로써, 1대의 기판 처리 장치에서 다양한 조성비, 막질의 박막을 범용적으로, 또한, 재현성 좋게 형성할 수 있게 된다.
제1 촉매 가스 공급관(232c)으로부터는, 촉매 작용에 의해 웨이퍼(200)의 표면, 또는 H2O 가스가 갖는 O-H 결합의 결합력을 약화시켜, 원료 가스의 분해를 촉진하고, 또한 H2O 가스에 의한 산화 반응을 촉진하는 촉매 가스로서, 예를 들어 탄소(C), 질소(N) 및 수소(H)를 포함하는 아민계 가스가, MFC(241c), 밸브(243c), 제3 노즐(249c)을 통해 처리실(201) 내에 공급된다. 여기서, 아민계 가스는 암모니아(NH3)의 수소 원자 중 적어도 1개를 알킬기 등의 탄화수소기로 치환한 아민을 포함하는 가스이다. 도 13에 나타내고 있는 바와 같이, 촉매 가스로서 사용되는 각종 아민은, 예를 들어 고립 전자쌍을 갖는 N을 포함하고, 산해리 상수(이하, pKa라고도 함)가 5 내지 11 정도이다. 여기서, 산해리 상수(pKa)는 산의 강도를 정량적으로 나타내는 지표의 하나이며, 산으로부터 수소 이온이 방출되는 해리 반응에서의 평형 상수(Ka)를 부의 상용 대수로 나타낸 것이다. 이러한 아민계 가스는 탄화수소기가 환상으로 된 환상 아민계 가스나, 탄화수소기가 쇄상으로 된 쇄상 아민계 가스를 포함한다. 제1 촉매 가스 공급관(232c)으로부터 공급되는 아민계 가스로서는, 예를 들어 환상 아민계 가스인 피리딘(C5H5N) 가스를 사용할 수 있다.
도 13의 (a)에 나타내고 있는 바와 같이, 촉매 가스로서 사용되는 환상 아민은, 예를 들어 피리딘(C5H5N, pKa=5.67) 외에, 아미노피리딘(C5H6N2, pKa=6.89), 피콜린(C6H7N, pKa=6.07), 루티딘(C7H9N, pKa=6.96), 피페라진(C4H10N2, pKa=9.80) 및 피페리딘(C5H11N, pKa=11.12) 등을 포함한다. 이러한 환상 아민은 C와 N의 복수 종류의 원소로부터 그 환상 구조가 구성되는 복소환 화합물, 즉 질소 함유 복소환 화합물이라고도 할 수 있다.
제2 촉매 가스 공급관(232h)으로부터는, 상기와 마찬가지의 촉매 작용을 갖는 촉매 가스로서, 예를 들어 C, N 및 H를 포함하는 아민계 가스가, MFC(241h), 밸브(243h), 제3 노즐(249c)을 통해 처리실(201) 내에 공급된다. 제2 촉매 가스 공급관(232h)으로부터 공급되는 아민계 가스로서는, 예를 들어 쇄상 아민계 가스인 트리에틸아민((C2H5)3N, 약칭: TEA) 가스를 사용할 수 있다.
도 13의 (b) 내지 (f)에 각각 나타내고 있는 바와 같이, 촉매 가스로서 사용되는 쇄상 아민은, 예를 들어 트리에틸아민((C2H5)3N, 약칭: TEA, pKa=10.7) 외에, 디에틸아민((C2H5)2NH, 약칭: DEA, pKa=10.9), 모노에틸아민((C2H5)NH2, 약칭: MEA, pKa=10.6), 트리메틸아민((CH3)3N, 약칭: TMA, pKa=9.8), 모노메틸아민((CH3)NH2, 약칭: MMA, pKa=10.6) 등을 포함한다.
이와 같이, 촉매 가스 공급계를 구성하는 복수의 공급 라인은, 분자 구조가 각각 상이한 복수 종류의 촉매 가스를 각각 공급하도록 구성되어 있다. 또한, 이와 같이, 각 촉매 가스는 각각 상이한 분자 구조, 즉 각각 상이한 화학 구조식을 갖고 있다. 각 촉매 가스의 조성이나 성분이 상이해도 된다. 각각 상이한 분자 구조를 갖는 촉매 가스는, 화학적 성질도 각각 상이하다. 따라서, 후술하는 바와 같이, 원하는 성막 처리에 따라 적절히 촉매 가스의 종류를 선택함으로써, 1대의 기판 처리 장치에서 다양한 조성비, 막질의 박막을 범용적으로, 또한, 재현성 좋게 형성할 수 있게 된다.
불활성 가스 공급관(232i, 232j, 232k)으로부터는, 예를 들어 불활성 가스로서의 질소(N2) 가스가, 각각 MFC(241i, 241j, 241k), 밸브(243i, 243j, 243k), 가스 공급관(232a, 232b, 232c), 노즐(249a, 249b, 249c), 버퍼실(237)을 통해 처리실(201) 내에 공급된다.
버퍼실(237) 내에는 도 2에 도시한 바와 같이, 가늘고 긴 구조를 갖는 제1 전극인 제1 막대 형상 전극(269) 및 제2 전극인 제2 막대 형상 전극(270)이, 반응관(203)의 하부로부터 상부에 걸쳐 웨이퍼(200)의 적층 방향을 따라 배치되어 있다. 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 각각은, 제2 노즐(249b)과 평행하게 설치되어 있다. 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 각각은, 상부로부터 하부에 걸쳐 각 전극을 보호하는 보호관인 전극 보호관(275)에 의해 덮임으로써 보호되어 있다. 이 제1 막대 형상 전극(269) 또는 제2 막대 형상 전극(270) 중 일방은 정합기(272)를 통해 고주파 전원(273)에 접속되고, 타방은 기준 전위인 접지에 접속되어 있다. 정합기(272)를 통해 고주파 전원(273)으로부터 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 사이에 고주파(RF) 전력을 인가함으로써, 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 사이의 플라즈마 생성 영역(224)에 플라즈마가 생성된다. 주로, 제1 막대 형상 전극(269), 제2 막대 형상 전극(270), 전극 보호관(275)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 플라즈마원이 구성된다. 또한, 정합기(272), 고주파 전원(273)을 플라즈마원에 포함시켜 생각해도 된다. 또한, 플라즈마원은 후술하는 바와 같이 가스를 플라즈마로 활성화(여기)시키는 활성화 기구(여기부)로서 기능한다.
전극 보호관(275)은 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 각각을 버퍼실(237) 내의 분위기와 격리한 상태에서 버퍼실(237) 내에 삽입할 수 있는 구조로 되어 있다. 여기서, 전극 보호관(275)의 내부의 산소 농도가 외기(대기)의 산소 농도와 동일 정도이면, 전극 보호관(275) 내에 각각 삽입된 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270)은, 히터(207)에 의한 열로 산화되어 버린다. 따라서, 전극 보호관(275)의 내부에 N2 가스 등의 불활성 가스를 충전해 두거나, 불활성 가스 퍼지 기구를 사용하여 전극 보호관(275)의 내부를 N2 가스 등의 불활성 가스로 퍼지함으로써, 전극 보호관(275)의 내부의 산소 농도를 저감시켜, 제1 막대 형상 전극(269) 또는 제2 막대 형상 전극(270)의 산화를 억제할 수 있도록 구성되어 있다.
반응관(203)에는 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치되어 있다. 배기관(231)에는 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 통해, 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있다. 또한, APC 밸브(244)는 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐함으로써, 처리실(201) 내의 진공 배기 및 진공 배기 정지를 행할 수 있고, 또한 진공 펌프(246)를 작동시킨 상태에서 밸브 개방도를 조절함으로써, 처리실(201) 내의 압력을 조정할 수 있도록 구성되어 있는 밸브이다. 주로, 배기관(231), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 또한, 진공 펌프(246)를 배기계에 포함시켜 생각해도 된다. 배기계는 진공 펌프(246)를 작동시키면서, 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 APC 밸브(244)의 밸브의 개방도를 조절함으로써, 처리실(201) 내의 압력이 소정의 압력(진공도)으로 되도록 진공 배기할 수 있도록 구성되어 있다. 또한, 배기관(231)은 반응관(203)에 설치하는 경우에 한정되지 않고, 각 노즐(249a 내지 249c)과 마찬가지로 매니폴드(209)에 설치해도 된다.
매니폴드(209)의 하방에는 매니폴드(209)의 하단 개구를 기밀하게 폐색 가능한 노구 덮개로서의 시일 캡(219)이 설치되어 있다. 시일 캡(219)은 매니폴드(209)의 하단에 수직 방향 하측으로부터 접촉되도록 구성되어 있다. 시일 캡(219)은, 예를 들어 스테인리스 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 시일 캡(219)의 상면에는 매니폴드(209)의 하단과 접촉하는 시일 부재로서의 O링(220b)이 설치되어 있다. 시일 캡(219)의 처리실(201)과 반대측에는, 후술하는 기판 지지구로서의 보트(217)를 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)의 회전축(255)은 시일 캡(219)을 관통하여 보트(217)에 접속되어 있다. 회전 기구(267)는 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시키도록 구성되어 있다. 시일 캡(219)은 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성되어 있다. 보트 엘리베이터(115)는 시일 캡(219)을 승강시킴으로써, 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하도록 구성되어 있다. 즉, 보트 엘리베이터(115)는 보트(217) 및 보트(217)에 지지되는 웨이퍼(200)를 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성된다.
기판 지지구로서의 보트(217)는, 예를 들어 석영이나 탄화실리콘 등의 내열성 재료로 이루어지고, 복수매의 웨이퍼(200)를 수평 자세로, 또한 서로 중심을 맞춘 상태로 정렬시켜 다단으로 지지하도록 구성되어 있다. 또한, 보트(217)의 하부에는, 예를 들어 석영이나 탄화실리콘 등의 내열성 재료로 이루어지는 단열 부재(218)가 설치되어 있어, 히터(207)로부터의 열이 시일 캡(219)측에 전해지기 어려워지도록 구성되어 있다. 또한, 단열 부재(218)는 석영이나 탄화실리콘 등의 내열성 재료로 이루어지는 복수매의 단열판과, 이들 단열판을 수평 자세로 다단으로 지지하는 단열판 홀더에 의해 구성해도 된다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치되어 있고, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)에 대한 통전 상태를 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포로 되도록 구성되어 있다. 온도 센서(263)는 노즐(249a 내지 249c)과 마찬가지로 L자형으로 구성되어 있어, 반응관(203)의 내벽을 따라 설치되어 있다.
도 3에 도시되어 있는 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는 CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 통해, CPU(121a)와 데이터 교환 가능하도록 구성되어 있다. 컨트롤러(121)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)가 접속되어 있다.
기억 장치(121c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(121c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 박막 형성 등의 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피 등이 판독 가능하게 저장되어 있다. 또한, 프로세스 레시피는 후술하는 박막 형성 공정 등의 기판 처리 공정에서의 각 수순을 컨트롤러(121)에 실행시켜, 소정의 결과를 얻을 수 있게 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여 간단히 프로그램이라고도 한다. 또한, 본 명세서에서 프로그램이라는 용어를 사용한 경우에는, 프로세스 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양쪽을 포함하는 경우가 있다. 또한, RAM(121b)은 CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에리어)으로서 구성되어 있다.
I/O 포트(121d)는 상술한 MFC(241a 내지 241k), 밸브(243a 내지 243k), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 온도 센서(263), 히터(207), 정합기(272), 고주파 전원(273), 회전 기구(267), 보트 엘리베이터(115) 등에 접속되어 있다.
CPU(121a)는 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행함과 더불어, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성되어 있다. 그리고, CPU(121a)는 판독한 프로세스 레시피의 내용을 따르도록, MFC(241a 내지 241k)에 의한 각종 가스의 유량 조정 동작, 밸브(243a 내지 243k)의 개폐 동작, APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(244)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작, 정합기(272)에 의한 임피던스 조정 동작, 고주파 전원(273)의 전력 공급 등을 제어하게 구성되어 있다.
또한, 컨트롤러(121)는 전용 컴퓨터로서 구성되어 있는 경우에 한정되지 않고, 범용 컴퓨터로서 구성되어 있어도 된다. 예를 들어, 상술한 프로그램을 저장한 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)(123)를 준비하고, 이러한 외부 기억 장치(123)를 사용하여 범용 컴퓨터에 프로그램을 인스톨하는 것 등에 의해, 본 실시 형태에 따른 컨트롤러(121)를 구성할 수 있다. 또한, 컴퓨터에 프로그램을 공급하기 위한 수단은, 외부 기억 장치(123)를 통해 공급하는 경우에 한정되지 않는다. 예를 들어, 인터넷이나 전용 회선 등의 통신 수단을 사용하여, 외부 기억 장치(123)를 통하지 않고 프로그램을 공급하도록 해도 된다. 또한, 기억 장치(121c)나 외부 기억 장치(123)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 간단히 기록 매체라고도 한다. 또한, 본 명세서에서 기록 매체라는 용어를 사용한 경우에는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그 양쪽을 포함하는 경우가 있다.
(2) 박막 형성 공정
이어서, 상술한 기판 처리 장치의 처리로(202)를 사용하여, 반도체 장치(반도체 디바이스)의 제조 공정의 일 공정으로서, 기판 상에 박막을 형성(성막)하는 시퀀스 예에 대하여 설명한다. 또한, 이하의 설명에서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태에서는,
기판으로서의 웨이퍼(200)에 대하여 실리콘(Si), 탄소(C) 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 촉매 가스를 공급하는 공정과,
웨이퍼(200)에 대하여 산화 가스와 촉매 가스를 공급하는 공정
을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘(Si), 산소(O) 및 탄소(C)를 포함하는 박막을 웨이퍼(200) 상에 형성한다.
또한, 본 실시 형태에서는, 각 공정은 논 플라즈마의 분위기 하에서 행해진다.
또한, 본 실시 형태에서는, 형성하는 박막의 조성비가 화학양론 조성, 또는 화학양론 조성과는 상이한 소정의 조성비로 되도록 하는 것을 목적으로 하여, 형성하는 박막을 구성하는 복수의 원소를 포함하는 복수 종류의 가스의 공급 조건을 제어한다. 예를 들어, 형성하는 박막을 구성하는 복수의 원소 중 적어도 하나의 원소가 다른 원소보다 화학양론 조성에 대하여 과잉으로 되도록 하는 것을 목적으로 하여 공급 조건을 제어한다. 이하, 형성하는 박막을 구성하는 복수의 원소의 비율, 즉 박막의 조성비를 제어하면서 성막을 행하는 시퀀스 예에 대하여 설명한다.
이하, 본 실시 형태의 성막 시퀀스를 도 4, 도 5를 사용하여 구체적으로 설명한다. 도 4는 본 실시 형태의 성막 시퀀스에서의 성막 플로우를 도시하는 도면이다. 도 5는 본 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이다.
또한, 여기에서는,
웨이퍼(200)에 대하여 원료 가스로서 BTCSM 가스와, 촉매 가스로서 피리딘 가스를 공급하는 공정과,
웨이퍼(200)에 대하여 산화 가스로서 H2O 가스와, 촉매 가스로서 피리딘 가스를 공급하는 공정
을 포함하는 사이클을 소정 횟수(n회) 행함으로써, Si, O 및 C를 포함하는 박막으로서 실리콘 산탄화막(이하, SiOC막이라고도 함)을 웨이퍼(200) 상에 형성하는 예에 대하여 설명한다. 또한, 이 SiOC막을, C를 포함하는 SiO막이나, C가 도핑(첨가)된 SiO막이라고 할 수도 있다.
또한, 본 명세서에서 "웨이퍼"라는 용어를 사용한 경우에는, "웨이퍼 그 자체"를 의미하는 경우나, "웨이퍼와 그 표면에 형성된 소정의 층이나 막 등의 적층체(집합체)"를 의미하는 경우(즉, 표면에 형성된 소정의 층이나 막 등을 포함하여 웨이퍼라고 칭하는 경우)가 있다. 또한, 본 명세서에서 "웨이퍼의 표면"이라는 용어를 사용한 경우에는, "웨이퍼 그 자체의 표면(노출면)"을 의미하는 경우나, "웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최외측 표면"을 의미하는 경우가 있다.
따라서, 본 명세서에서 "웨이퍼에 대하여 소정의 가스를 공급한다"라고 기재한 경우에는, "웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급하는" 것을 의미하는 경우나, "웨이퍼 상에 형성되어 있는 층이나 막 등에 대하여, 즉 적층체로서의 웨이퍼의 최외측 표면에 대하여 소정의 가스를 공급하는" 것을 의미하는 경우가 있다. 또한, 본 명세서에서 "웨이퍼 상에 소정의 층(또는 막)을 형성한다"라고 기재한 경우에는, "웨이퍼 그 자체의 표면(노출면) 상에 소정의 층(또는 막)을 직접 형성하는" 것을 의미하는 경우나, "웨이퍼 상에 형성되어 있는 층이나 막 등의 위, 즉 적층체로서의 웨이퍼의 최외측 표면 상에 소정의 층(또는 막)을 형성하는" 것을 의미하는 경우가 있다.
또한, 본 명세서에서 "기판"이라는 용어를 사용한 경우도, "웨이퍼"라는 용어를 사용한 경우와 마찬가지이며, 그 경우, 상기 설명에서, "웨이퍼"를 "기판"으로 치환하여 생각하면 된다.
(웨이퍼 차지 및 보트 로드)
복수매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)되면, 도 1에 도시되어 있는 바와 같이, 복수매의 웨이퍼(200)를 지지한 보트(217)는 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은 O링(220b)을 통해 매니폴드(209)의 하단을 시일한 상태로 된다.
(압력 조정 및 온도 조정)
처리실(201) 내가 원하는 압력(진공도)으로 되도록 진공 펌프(246)에 의해 진공 배기된다. 이때, 처리실(201) 내의 압력은 압력 센서(245)에 의해 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다(압력 조정). 또한, 진공 펌프(246)는 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안 항상 작동시킨 상태를 유지한다. 또한, 처리실(201) 내의 웨이퍼(200)가 원하는 온도로 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도 분포로 되도록, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)에 대한 통전 상태가 피드백 제어된다(온도 조정). 또한, 히터(207)에 의한 처리실(201) 내의 가열은, 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안 계속해서 행해진다. 단, 후술하는 바와 같이, 실온에서 웨이퍼(200)에 대한 처리를 행하는 경우에는, 히터(207)에 의한 처리실(201) 내의 가열은 행하지 않아도 된다. 계속해서, 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 개시한다. 또한, 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은, 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안 계속해서 행해진다.
(SiOC막 형성 공정)
그 후, 다음 2개의 스텝, 즉 스텝 1a, 2a를 순차 실행한다.
[스텝 1a]
(BTCSM 가스+피리딘 가스 공급)
제1 원료 가스 공급관(232a)의 밸브(243a)를 개방하여, 제1 원료 가스 공급관(232a) 내에 BTCSM 가스를 흘린다. 제1 원료 가스 공급관(232a) 내를 흐른 BTCSM 가스는, MFC(241a)에 의해 유량 조정된다. 유량 조정된 BTCSM 가스는 제1 노즐(249a)의 가스 공급 구멍(250a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 BTCSM 가스가 공급되게 된다(BTCSM 가스 공급). 이때 동시에, 밸브(243i)를 개방하여, 제1 불활성 가스 공급관(232i) 내에 N2 가스 등의 불활성 가스를 흘린다. 제1 불활성 가스 공급관(232i) 내를 흐른 N2 가스는, MFC(241i)에 의해 유량 조정된다. 유량 조정된 N2 가스는 BTCSM 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한 이때, 제1 촉매 가스 공급관(232c)의 밸브(243c)를 개방하여, 제1 촉매 가스 공급관(232c) 내에 피리딘 가스를 흘린다. 제1 촉매 가스 공급관(232c) 내를 흐른 피리딘 가스는, MFC(241c)에 의해 유량 조정된다. 유량 조정된 피리딘 가스는, 제3 노즐(249c)의 가스 공급 구멍(250c)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 피리딘 가스가 공급되게 된다(피리딘 가스 공급). 이때 동시에, 밸브(243k)를 개방하여, 제3 불활성 가스 공급관(232k) 내에 N2 가스 등의 불활성 가스를 흘린다. 제3 불활성 가스 공급관(232k) 내를 흐른 N2 가스는, MFC(241k)에 의해 유량 조정된다. 유량 조정된 N2 가스는, 피리딘 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한 이때, 버퍼실(237) 내나 제2 노즐(249b) 내로의 BTCSM 가스 및 피리딘 가스의 침입을 방지하기 위해서, 밸브(243j)를 개방하여, 제2 불활성 가스 공급관(232j) 내에 N2 가스를 흘린다. N2 가스는 제1 산화 가스 공급관(232b), 제2 노즐(249b), 버퍼실(237)을 통해 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 13300Pa, 바람직하게는 20 내지 1330Pa의 범위 내의 압력이며, 예를 들어 1330Pa로 한다. MFC(241a)에 의해 제어되는 BTCSM 가스의 공급 유량은, 예를 들어 1 내지 2000sccm의 범위 내의 유량으로 한다. 또한, MFC(241c)에 의해 제어되는 피리딘 가스의 공급 유량은, 예를 들어 BTCSM 가스의 공급 유량(sccm)/피리딘 가스의 공급 유량(sccm)의 비로 해서 0.01 내지 100, 보다 바람직하게는 0.05 내지 10의 범위 내로 되는 유량으로 한다. MFC(241i 내지 241k)에 의해 제어되는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. BTCSM 가스 및 피리딘 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예를 들어 1 내지 100초, 바람직하게는 5 내지 30초의 범위 내의 시간으로 한다.
이때, 히터(207)의 온도는 웨이퍼(200)의 온도가, 예를 들어 실온 이상 200℃ 이하, 바람직하게는 실온 이상 150℃ 이하, 보다 바람직하게는 실온 이상 100℃ 이하의 범위 내의 온도로 되는 온도로 설정한다. 또한, BTCSM 가스 공급시에, 촉매 가스를 공급하지 않는 경우에는, 웨이퍼(200)의 온도가 250℃ 미만이 되면 웨이퍼(200) 상에 BTCSM이 화학 흡착되기 어려워져, 실용적인 성막 레이트가 얻어지지 않게 되는 경우가 있다. 본 실시 형태와 같이, 촉매 가스로서의 피리딘 가스를 공급함으로써, 웨이퍼(200)의 온도를 250℃ 미만으로 해도, 이를 해소하는 것이 가능하게 된다. 피리딘 가스의 존재 하에서, 웨이퍼(200)의 온도를 200℃ 이하, 나아가서는 150℃ 이하, 100℃ 이하로 함으로써, 웨이퍼(200)에 가해지는 열량을 저감할 수 있어, 웨이퍼(200)가 받는 열 이력의 제어를 양호하게 행할 수 있다. 또한, 피리딘 가스의 존재 하에서는, 실온 이상의 온도이면, 웨이퍼(200) 상에 BTCSM을 충분히 흡착시킬 수 있어, 충분한 성막 레이트가 얻어지게 된다. 따라서, 웨이퍼(200)의 온도는 실온 이상 200℃ 이하, 바람직하게는 실온 이상 150℃ 이하, 보다 바람직하게는 실온 이상 100℃ 이하의 범위 내의 온도로 하는 것이 좋다.
상술한 조건 하에서 웨이퍼(200)에 대하여 BTCSM 가스를 공급함으로써, 웨이퍼(200)(표면의 하지막) 상에 제1층으로서, 예를 들어 1 원자층 미만 내지 수 원자층 정도의 두께의 C 및 Cl을 포함하는 실리콘 함유층(Si 함유층)이 형성된다. C 및 Cl을 포함하는 Si 함유층은, C 및 Cl을 포함하는 실리콘층(Si층)이어도 되고, BTCSM 가스의 흡착층이어도 되고, 그 양쪽을 포함하고 있어도 된다.
여기서, C 및 Cl을 포함하는 Si층은 Si에 의해 구성되고 C 및 Cl을 포함하는 연속적인 층 외에, 불연속인 층이나, 이들이 겹쳐져서 생기는 C 및 Cl을 포함하는 실리콘 박막(Si 박막)도 포함하는 총칭이다. 또한, Si에 의해 구성되고 C 및 Cl을 포함하는 연속적인 층을, C 및 Cl을 포함하는 Si 박막이라고 하는 경우도 있다. 또한, C 및 Cl을 포함하는 Si층을 구성하는 Si는, C나 Cl과의 결합이 완전히 끊어져 있지 않은 것 외에, C나 Cl과의 결합이 완전히 끊어져 있는 것도 포함한다.
또한, BTCSM 가스의 흡착층은 BTCSM 가스의 가스 분자의 연속적인 화학 흡착층 외에, 불연속인 화학 흡착층도 포함한다. 즉, BTCSM 가스의 흡착층은 BTCSM 분자로 구성되는 1 분자층 또는 1 분자층 미만의 두께의 화학 흡착층을 포함한다. 또한, BTCSM 가스의 흡착층을 구성하는 BTCSM((SiCl3)2CH2) 분자는, 도 12의 (a)에 화학 구조식을 나타내는 것뿐만 아니라, Si와 C의 결합이 일부 끊긴 것이나, Si와 Cl의 결합이 일부 끊어진 것도 포함한다. 즉, BTCSM 가스의 흡착층은 BTCSM 분자의 화학 흡착층이나, BTCSM 분자의 물리 흡착층을 포함한다.
또한, 1 원자층 미만의 두께의 층은 불연속으로 형성되는 원자층을 의미하고 있고, 1 원자층의 두께의 층은 연속적으로 형성되는 원자층을 의미하고 있다. 또한, 1 분자층 미만의 두께의 층은 불연속으로 형성되는 분자층을 의미하고 있고, 1 분자층의 두께의 층은 연속적으로 형성되는 분자층을 의미하고 있다. 또한, C 및 Cl을 포함하는 Si 함유층은, C 및 Cl을 포함하는 Si층과 BTCSM 가스의 흡착층의 양쪽을 포함할 수 있는데, 상술한 바와 같이, C 및 Cl을 포함하는 Si 함유층에 대해서는 "1 원자층", "수 원자층" 등의 표현을 사용하는 것으로 한다.
웨이퍼(200) 상에 형성되는 C 및 Cl을 포함하는 Si 함유층의 두께가 수 원자층을 초과하면, 후술하는 스텝 2a에서의 개질의 작용이 C 및 Cl을 포함하는 Si 함유층의 전체에 미치지 않게 된다. 또한, 웨이퍼(200) 상에 형성 가능한 C 및 Cl을 포함하는 Si 함유층의 두께의 최소값은 1 원자층 미만이다. 따라서, C 및 Cl을 포함하는 Si 함유층의 두께는 1 원자층 미만 내지 수 원자층 정도로 하는 것이 바람직하다. 또한, C 및 Cl을 포함하는 Si 함유층의 두께를 1 원자층 이하, 즉 1 원자층 또는 1 원자층 미만으로 함으로써, 후술하는 스텝 2a에서의 개질 반응의 작용을 상대적으로 높일 수 있어, 스텝 2a에서의 개질 반응에 필요로 하는 시간을 단축할 수 있다. 스텝 1a에서의 C 및 Cl을 포함하는 Si 함유층의 형성에 필요로 하는 시간을 단축할 수도 있다. 결과적으로, 1사이클당의 처리 시간을 단축할 수 있어, 전체적인 처리 시간을 단축하는 것도 가능하게 된다. 즉, 성막 레이트를 높게 하는 것도 가능하게 된다. 또한, C 및 Cl을 포함하는 Si 함유층의 두께를 1 원자층 이하로 함으로써, 막 두께 균일성의 제어성을 높이는 것도 가능하게 된다.
BTCSM 가스가 자기 분해(열분해)하는 조건 하, 즉, BTCSM의 열분해 반응이 발생하는 조건 하에서는, 웨이퍼(200) 상에 Si가 퇴적함으로써 C 및 Cl을 포함하는 Si층이 형성된다. BTCSM 가스가 자기 분해(열분해)하지 않는 조건 하, 즉 BTCSM의 열분해 반응이 발생하지 않는 조건 하에서는, 웨이퍼(200) 상에 BTCSM 가스가 흡착됨으로써 BTCSM 가스의 흡착층이 형성된다. 또한, 웨이퍼(200) 상에 BTCSM 가스의 흡착층을 형성하는 것보다, 웨이퍼(200) 위에 C 및 Cl을 포함하는 Si층을 형성하는 쪽이, 성막 레이트를 더 높게 할 수 있어 바람직하다. 단, 본 실시 형태에서는, 웨이퍼(200)의 온도를, 예를 들어 200℃ 이하의 저온으로 하고 있으므로, 웨이퍼(200) 상에 C 및 Cl을 포함하는 Si층이 형성되는 것보다, 웨이퍼(200) 상에 BTCSM 가스의 흡착층이 형성되는 쪽이 우위로 될 가능성이 있다. 또한, 촉매 가스를 공급하지 않는 경우에는, BTCSM 가스의 흡착층에서는, 웨이퍼(200) 표면 등의 하지에 대한 결합이나 BTCSM 분자끼리의 결합이, 화학 흡착보다 약한 물리 흡착의 상태가 우위로 되어 버릴 가능성이 있다. 즉, BTCSM 가스의 흡착층은 그 대부분이 BTCSM 가스의 물리 흡착층으로 구성되어 버릴 가능성이 있다.
여기서, 촉매 가스로서의 피리딘 가스는 웨이퍼(200)의 표면에 존재하는 O-H 결합의 결합력을 약화시켜, BTCSM 가스의 분해를 촉진하고, BTCSM 분자의 화학 흡착에 의한 C 및 Cl을 포함하는 Si 함유층의 형성을 촉진시킨다. 즉, 도 6의 (a)에 나타내고 있는 바와 같이, 예를 들어 웨이퍼(200)나 C 및 Cl을 포함하는 Si 함유층 등의 표면에 존재하는 O-H 결합에, 촉매 가스로서의 피리딘 가스가 작용하여 O-H간의 결합력을 약화시킨다. 결합력이 약해진 H와 BTCSM 가스의 Cl이 반응함으로써 염화수소(HCl) 가스가 생성되어 탈리하고, Cl을 상실한 BTCSM 분자(할로겐화물)가 웨이퍼(200) 등의 표면에 화학 흡착한다. 즉, 웨이퍼(200) 등의 표면에 BTCSM 가스의 화학 흡착층이 형성된다. 피리딘 가스가 O-H간의 결합력을 약화시키는 것은, 피리딘 분자 내의 고립 전자쌍을 갖는 N 원자가 H를 끌어당기는 작용을 갖기 때문이다. N 원자 등을 포함하는 소정의 화합물이 H를 끌어당기는 작용의 크기는, 예를 들어 상술한 산해리 상수(pKa)를 지표의 하나로 할 수 있다.
즉, 산으로부터 수소 이온이 방출되는 해리 반응에서의 평형 상수(Ka)를 부의 상용 대수로 나타낸 pKa가 큰 화합물은 H를 끌어당기는 힘이 강하고, 예를 들어 pKa가 5 이상의 화합물을 촉매 가스로서 사용함으로써 BTCSM 가스의 분해를 촉진하여 C 및 Cl을 포함하는 Si 함유층의 형성을 촉진시킬 수 있다. 한편, 촉매 가스의 pKa가 과도하게 크면, BTCSM 분자로부터 떨어진 Cl과 촉매 가스가 결합하고, 이에 의해 발생한 성분, 즉 염화암모늄(NH4Cl) 등의 염(Salt: 이온 화합물)이 파티클로 되는 경우가 있다. 이를 억제하기 위해서는, 촉매 가스의 pKa를 11 정도 이하, 바람직하게는 7 이하로 하는 것이 바람직하다. 피리딘 가스는 pKa가 약 5.67로 비교적 커서, H를 끌어당기는 힘이 강하다. 또한, pKa가 7 이하이므로, 파티클도 발생하기 어렵다.
이상과 같이, 촉매 가스로서의 피리딘 가스를 사용함으로써, 예를 들어 200℃ 이하의 저온 조건 하에서도, BTCSM 가스의 분해를 촉진하여, BTCSM 가스의 물리 흡착층의 형성이 아니라 화학 흡착층의 형성이 우세하도록, C 및 Cl을 포함하는 Si 함유층을 형성할 수 있다.
또한, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스로서 BTCSM 가스를 사용함으로써, 제1층 내에 C를 도입할 수 있다. 이 C를 포함하는 제1층이, 그 후에 행해지는 스텝 2a에서 개질되어, 예를 들어 C를 고농도로 포함하는 실리콘 산탄화층(SiOC층)이나, 이러한 SiOC층이 적층되어 이루어지고, C를 고농도로 포함하는 SiOC막을 형성할 수 있다.
여기서, 저온 조건 하에서 촉매 가스를 사용하여 얻어지는 SiO막에서는, 1% 농도의 불산(1% HF 수용액)에 대한 습식 에칭 레이트(이후, WER이라고도 함)가, 예를 들어 약 600Å/min이다. 저온 조건 하에서 플라즈마를 사용하여 얻어지는 SiO막이어도, 이러한 WER은, 예를 들어 약 200Å/min이다. 산화로 내에서 실리콘 웨이퍼를 열 산화하여 얻어지는 열 산화막에 있어서, WER이 예를 들어 약 60Å/min인 점에서 보면, 저온 조건 하에서 성막된 SiO막의 WER은 3배 이상 높다. 이와 같이 높은 수치의 WER은, 이들 SiO막의 에칭 내성이, 예를 들어 열산화막보다 떨어지는 것을 나타낸다. 에칭 내성의 향상을 위해서는, 예를 들어 C 등을 포함하는 SiO막, 즉 SiOC막을 형성하는 것이 바람직하다.
이 경우, 비교적 고온의 성막 등에서는, SiOC막은, 예를 들어 이하와 같은 방법으로 형성할 수 있다. 즉, HCDS 가스나 BDEAS 가스 등을 사용하여 Si 함유층을 형성하는 공정이나, 이를 산화 가스로 개질하여 실리콘 산화층(SiO층)으로 하는 공정에 더하여, 예를 들어 프로필렌(C3H6) 가스 등의 탄화수소계 가스를 플라즈마로 여기하여 웨이퍼(200)에 공급하는 공정을 마련한다. 이에 의해, Si 함유층 또는 SiO층 내에 C가 도입되어 SiOC층이 형성되고, 결과적으로 SiOC막을 형성할 수 있다.
그러나, 본 실시 형태와 같이, 예를 들어 200℃ 이하의 비교적 저온에서 성막을 행할 때에는, 층 내에 C를 도입하는 것이 어려워, SiOC막을 형성하는 것이 곤란하다. 즉, 형성되는 박막에 있어서, 충분한 탄소 농도(C 농도)가 얻어지지 않아, 예를 들어 C를 거의 포함하지 않는 SiO막이 형성되어 버리는 경우가 있다. 이로 인해, 에칭 내성을 충분히 높이는 것이 곤란해지는 경우가 있다.
따라서, 본 실시 형태에서는, 예를 들어 알킬렌할로실란계 원료 가스인 BTCSM 가스를 사용하는 것으로 하였다. 이에 의해, 웨이퍼(200) 상에 초기층으로서 제1층을 형성하는 단계에서 제1층 내에 C를 도입할 수 있어, 충분한 C 농도를 갖는 SiOC층이나 SiOC막을 형성할 수 있다. 또한, SiOC층이나 SiOC막 내의 C 농도를 고정밀도로 제어할 수 있다.
(잔류 가스 제거)
제1층으로서의 C 및 Cl을 포함하는 Si 함유층이 웨이퍼(200) 상에 형성된 후, 제1 원료 가스 공급관(232a)의 밸브(243a)를 폐쇄하여, BTCSM 가스의 공급을 정지한다. 또한, 제1 촉매 가스 공급관(232c)의 밸브(243c)를 폐쇄하여, 피리딘 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방한 상태로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 C 및 Cl을 포함하는 Si 함유층의 형성에 기여한 후의 BTCSM 가스 및 피리딘 가스를 처리실(201) 내로부터 배제한다(잔류 가스 제거). 또한 이때, 밸브(243i 내지 243k)는 개방한 상태로 하여, 불활성 가스로서의 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 또는 C 및 Cl을 포함하는 Si 함유층의 형성에 기여한 후의 BTCSM 가스 및 피리딘 가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한 이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 2a에서 악영향이 발생하는 일은 없다. 이때, 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없으며, 예를 들어 반응관(203)(처리실(201))의 용적과 동일 정도의 양을 공급함으로써, 스텝 2a에서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능하게 된다.
Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스로서는, 비스(트리클로로실릴)메탄((SiCl3)2CH2, 약칭: BTCSM) 가스 외에, 1,2-비스(트리클로로실릴)에탄((SiCl3)2C2H4, 약칭: BTCSE) 가스, 1,1,2,2-테트라클로로-1,2-디메틸디실란((CH3)2Si2Cl4, 약칭: TCDMDS) 가스, 1,2-디클로로-1,1,2,2-테트라메틸디실란((CH3)4Si2Cl2, 약칭: DCTMDS) 가스 등을 사용해도 된다.
여기서, 원료 가스와 촉매 가스를 공급하는 공정에서 공급하는 원료 가스의 종류를 선택함으로써, SiOC층 내의, 나아가서는, SiOC층이 적층되어 이루어지는 SiOC막 내의 C 농도를 제어할 수 있다. 즉, 원료 가스와 촉매 가스를 공급하는 공정에서는, 원료 가스로서, 분자 구조가 각각 상이한 복수 종류의 원료 가스 중에서 특정한 원료 가스를 선택하여 공급함으로써, SiOC층이나 SiOC막 내의 C 농도를 제어할 수 있다. 복수 종류의 원료 가스 중에서 특정한 원료 가스를 선택하여 공급하기 위해서는, 분자 구조가 각각 상이한 복수 종류의 원료 가스를 각각 공급하는 복수의 공급 라인 중에서 특정한 공급 라인을 선택함으로써, 특정한 원료 가스를 공급할 수 있다. 상술한 바와 같이, 본 실시 형태의 성막 시퀀스의 예에서는, BTCSM 가스 공급 라인, TCDMDS 가스 공급 라인 등의 중에서 BTCSM 가스 공급 라인을 선택함으로써, 특정한 원료 가스로서 BTCSM 가스를 공급하고 있다.
선택되는 원료 가스의 종류에 따라, SiOC막 내의 C 농도의 제어가 가능하게 되는 한 요인으로서는, 예를 들어 각 원료 가스의 분자 구조 중에서의 C의 배치의 차이를 생각할 수 있다. 즉, 1 분자 중에 Si-C-Si 결합이나 Si-C-C-Si 결합을 갖는 알킬렌할로실란계 원료 가스인 BTCSM 가스나 BTCSE 가스 등은, C가 Si 사이에 끼워진 분자 구조를 취한다. 이 때문에, 남은 Si의 결합손에 많은 Cl이 결합한 상태가 유지되어 있다. 예를 들어, BTCSM 가스나 BTCSE 가스는 모두, Si의 4개의 결합손 중 3개의 결합손에 Cl이 결합하고 있다. 분자 중에 다수 포함되는 Cl은, BTCSM 가스나 BTCSE 가스 등의 반응성을 향상시키는 것으로 생각된다. 이에 의해, BTCSM 가스나 BTCSE 가스 등을 사용함으로써, 예를 들어 SiOC막의 성막 레이트가 향상된다. 또한, 함유되는 Cl에 의해 BTCSM 가스나 BTCSE 가스 등의 반응성 및 성막 레이트가 향상함으로써, BTCSM 가스나 BTCSE 가스 등을 사용한 성막 처리의 사용 가능한 조건 범위(프로세스 윈도우)도 확장된다. 이와 같이, 광범위한 프로세스 윈도우 내에서, 예를 들어 원하는 C 농도가 얻어지는 성막 조건을 선택할 수 있으므로, 결과적으로, SiOC막 내의 C 농도를 높이는 것이 용이하게 된다. 또한, SiOC막 내의 C 농도의 제어성도 향상시킬 수 있다. 또한, BTCSM 가스 중에 포함되는 C의 수는, 예를 들어 TCDMDS 가스 등에 비해 적지만, 이 점은, SiOC막 내의 C 농도의 향상에 불리하게는 작용하지 않는 것으로 생각된다. 본 발명자들에 의하면, BTCSM 가스를 사용한 쪽이, TCDMDS 가스를 사용한 경우보다 C 농도의 향상이 비교적 더 도모되기 쉬운 것을 확인하고 있다.
또한, 메틸기 등의 알킬기가 Si에 결합한 알킬할로실란계 원료 가스인 TCDMDS 가스나 DCTMDS 가스 등은, 클로로실란계 원료 가스의 일부의 클로로기가 메틸기로 치환된 분자 구조를 취한다. 이와 같이, 가스 분자 중의 Cl의 수가 줄어드는 만큼, 이들 TCDMDS 가스나 DCTMDS 가스 등에서는, 반응이 비교적 천천히 진행되어, 보다 치밀한 SiOC막이 얻어지기 쉽다. 이 때문에, 예를 들어 C 농도를 적정하게 억제한 SiOC막이어도, 높은 에칭 내성을 유지하기 쉽다. 또한, TCDMDS 가스와 DCTMDS 가스의 비교에서는, 분자 중에 메틸기, 즉 C를 다수 포함하는 DCTMDS 가스가, 막 내에의 C의 도입량에 더 유리하게 작용하는 것을 확인하고 있다.
이상으로부터, 상기 공정을 행할 때, BTCSM 가스나 BTCSE 가스 등을 선택하여 공급함으로써, 예를 들어 보다 고농도의 C를 포함하는 SiOC층을 형성할 수 있다. 또는, TCDMDS 가스나 DCTMDS 가스 등을 선택하여 공급함으로써, 에칭 내성을 유지하면서 C 농도를 적정하게 억제한 SiOC층을 형성할 수 있다. 즉, 복수 종류의 원료 가스 중에서 특정한 원료 가스를 선택하여 공급함으로써, SiOC층 내, 즉 SiOC막 내의 C 농도를 고정밀도로 제어할 수 있다.
또한, 상기에서, SiOC막 내의 C 농도를 제어함으로써, 실리콘 농도(Si 농도) 및 산소 농도(O 농도)도 상대적으로 변화시켜도 된다. 즉, SiOC막의 조성을 전체적으로 변화시켜도 되고, 또한 SiOC막의 조성을 전체적으로 제어하는 것을 목적으로 상기 원료 가스의 종류를 선택해도 된다.
또한, 상술한 각종 박막의 성막에 사용되는 프로세스 레시피(처리 수순이나 처리 조건이 기재된 프로그램)를 원료 가스의 종류마다, 즉 상이한 가스계마다 미리 복수 준비해 둠으로써, 원료 가스의 종류의 선택이 용이하게 된다. 오퍼레이터(조작원)는 원하는 가스계나 막 조성에 따라, 적정한 프로세스 레시피를 적절히 선택하여, 성막 처리를 실행하면 된다.
또한, 촉매 가스로서는, 피리딘(C5H5N, pKa=5.67) 가스 외에, 아미노피리딘(C5H6N2, pKa=6.89) 가스, 피콜린(C6H7N, pKa=6.07) 가스, 루티딘(C7H9N, pKa=6.96) 가스, 피페라진(C4H10N2, pKa=9.80) 가스 및 피페리딘(C5H11N, pKa=11.12) 등의 환상 아민계 가스를 사용해도 되고, 또한 트리에틸아민((C2H5)3N, 약칭: TEA, pKa=10.7) 가스, 디에틸아민((C2H5)2NH, 약칭: DEA, pKa=10.9) 가스, 모노에틸아민((C2H5)NH2, 약칭: MEA, pKa=10.6) 가스, 트리메틸아민((CH3)3N, 약칭: TMA, pKa=9.8) 가스, 모노메틸아민((CH3)NH2, 약칭: MMA, pKa=10.6) 가스 등의 쇄상 아민계 가스를 사용해도 된다. 불활성 가스로서는 N2 가스 외에, Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용해도 된다.
[스텝 2a]
(H2O 가스+피리딘 가스 공급)
스텝 1a가 종료되어 처리실(201) 내의 잔류 가스를 제거한 후, 제1 산화 가스 공급관(232b)의 밸브(243b)를 개방하여, 제1 산화 가스 공급관(232b)에 H2O 가스를 흘린다. H2O 가스는 제1 산화 가스 공급관(232b)으로부터 흘러, MFC(241b)에 의해 유량 조정된다. 유량 조정된 H2O 가스는 제2 노즐(249b)의 가스 공급 구멍(250b)으로부터 버퍼실(237) 내에 공급된다. 버퍼실(237) 내에 공급된 H2O 가스는, 가스 공급 구멍(250d)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 논 플라즈마의 분위기 하에서, 웨이퍼(200)에 대하여 H2O 가스가 공급되게 된다(H2O 가스 공급). 이때 동시에, 밸브(243j)를 개방하여, 제2 불활성 가스 공급관(232j) 내에 불활성 가스로서의 N2 가스를 흘린다. 제2 불활성 가스 공급관(232j) 내를 흐른 N2 가스는, MFC(241j)에 의해 유량 조정된다. 유량 조정된 N2 가스는 H2O 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한 이때, 제1 촉매 가스 공급관(232c)의 밸브(243c)를 개방하여, 제1 촉매 가스 공급관(232c) 내에 피리딘 가스를 흘린다. 제1 촉매 가스 공급관(232c) 내를 흐른 피리딘 가스는, MFC(241c)에 의해 유량 조정된다. 유량 조정된 피리딘 가스는 제3 노즐(249c)의 가스 공급 구멍(250c)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 피리딘 가스가 공급되게 된다(피리딘 가스 공급). 이때 동시에, 밸브(243k)를 개방하여, 제3 불활성 가스 공급관(232k) 내에 N2 가스 등의 불활성 가스를 흘린다. 제3 불활성 가스 공급관(232k) 내를 흐른 N2 가스는, MFC(241k)에 의해 유량 조정된다. 유량 조정된 N2 가스는 피리딘 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한 이때, 제1 노즐(249a) 내로의 H2O 가스 및 피리딘 가스의 침입을 방지하기 위해서, 밸브(243i)를 개방하여, 제1 불활성 가스 공급관(232i) 내에 N2 가스를 흘린다. N2 가스는 제1 원료 가스 공급관(232a), 제1 노즐(249a)을 통해 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 13300Pa, 바람직하게는 20 내지 1330Pa의 범위 내의 압력이며, 예를 들어 1330Pa로 한다. MFC(241b)에 의해 제어되는 H2O 가스의 공급 유량은, 예를 들어 1000 내지 10000sccm의 범위 내의 유량으로 한다. 또한, MFC(241c)에 의해 제어되는 피리딘 가스의 공급 유량은, 예를 들어 H2O 가스의 공급 유량(sccm)/피리딘 가스의 공급 유량(sccm)의 비로 해서 0.01 내지 100, 보다 바람직하게는 0.05 내지 10의 범위 내로 되는 유량으로 한다. MFC(241i 내지 241k)에 의해 제어되는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. H2O 가스 및 피리딘 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 100초, 바람직하게는 5 내지 30초의 범위 내의 시간으로 한다. 히터(207)의 온도는 웨이퍼(200)의 온도가, 스텝 1a에서의 BTCSM 가스의 공급시와 마찬가지의 온도대, 즉, 예를 들어 실온 이상 200℃ 이하, 바람직하게는 실온 이상 150℃ 이하, 보다 바람직하게는 실온 이상 100℃ 이하의 범위 내의 온도로 되도록 설정한다.
처리실(201) 내에 공급된 H2O 가스는 열로 활성화되어, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 열로 활성화된 H2O 가스가 공급되게 된다. 즉, 처리실(201) 내에 흐리는 가스는 열적으로 활성화된 H2O 가스이며, 처리실(201) 내에는 BTCSM 가스가 흐르지 않는다. 따라서, H2O 가스는 기상 반응을 일으키지 않고, 활성화된 상태로 웨이퍼(200)에 대하여 공급되어, 스텝 1a에서 웨이퍼(200) 상에 형성된 제1층(C 및 Cl을 포함하는 Si 함유층)의 적어도 일부와 반응한다. 이에 의해, 제1층은 논 플라즈마로 열적으로 산화되어, Si, O 및 C를 포함하는 제2층, 즉 SiOC층으로 변화된다(개질된다).
여기서, 촉매 가스로서의 피리딘 가스는 H2O 가스가 갖는 O-H 결합의 결합력을 약화시켜, H2O 가스의 분해를 촉진하고, H2O 가스와 C 및 Cl을 포함하는 Si 함유층과의 반응을 촉진시킨다. 즉, 도 6의 (b)에 나타내고 있는 바와 같이, H2O 가스가 갖는 O-H 결합에 촉매로서의 피리딘 가스가 작용하여, O-H간의 결합력을 약화시킨다. 결합력이 약해진 H와, 웨이퍼(200) 상에 형성된 C 및 Cl을 포함하는 Si 함유층이 갖는 Cl이 반응함으로써, 염화수소(HCl) 가스가 생성되어 탈리하고, H를 상실한 H2O 가스의 O가, Cl이 탈리하여 적어도 C의 일부가 남은 Si 함유층의 Si와 결합한다.
이때, H2O 가스와 피리딘 가스를 공급하는 공정에서 공급하는 피리딘 가스의 공급량을 조정함으로써, SiOC층, 나아가서는, SiOC막 내의 C 농도를 제어할 수 있다. 즉, 피리딘 가스의 공급량을 증가시키면 피리딘 가스의 작용이 높아져서, H2O 가스의 분해를 촉진하고, C 및 Cl을 포함하는 Si 함유층과 H2O 가스의 반응을 촉진시킬 수 있다. 즉, H2O 가스의 산화력이 높아져서, C 및 Cl을 포함하는 Si 함유층과 H2O 가스의 반응이 진행한다. 이때, C 및 Cl을 포함하는 Si 함유층 내에 포함되는 Si-Cl 결합뿐만 아니라, Si-C 결합이 분리될 확률도 높아져, SiOC층 내의 C가 탈리하기 쉬워진다. 따라서, SiOC층이나 SiOC막 내의 C 농도를 적정하게 저하시킬 수 있다. 반대로, 피리딘 가스의 공급량을 저하시키면 피리딘 가스의 작용이 약해져서, H2O 가스의 분해나, C 및 Cl을 포함하는 Si 함유층과 H2O 가스의 반응의 촉진이 억제된다. 즉, H2O 가스의 산화력이 약해져서, C 및 Cl을 포함하는 Si 함유층과 H2O 가스의 반응이 적정하게 억제된다. 이에 의해, C 및 Cl을 포함하는 Si 함유층 중에 포함되는 Si-Cl 결합의 분리 및 Cl의 탈리만이 주로 진행되고, C 및 Cl을 포함하는 Si 함유층 내에 포함되는 Si-C 결합의 분리 및 C의 탈리는 일어나기 어려워진다. 따라서, SiOC층 내에 C가 잔류하기 쉬워져서, SiOC층이나 SiOC막 내의 C 농도를 높일 수 있다.
이와 같이, 피리딘 가스의 공급량을 조정함으로써, H2O 가스의 산화력을 제어하여, SiOC층, 나아가서는 SiOC막 내의 C 농도를 고정밀도로 제어할 수 있다. 또한, 피리딘 가스의 공급량을 조정하는 것은, H2O 가스와 피리딘 가스의 합계 유량에 대한 피리딘 가스의 유량의 비를 조정하는 것이기도 하다. 또한, 이에 의해, 피리딘 가스의 처리실(201) 내에서의 분압도 조정된다.
즉, H2O 가스와 피리딘 가스를 공급하는 공정에서 공급하는 H2O 가스와 피리딘 가스의 합계 유량에 대한 피리딘 가스의 유량의 비를 조정함으로써, SiOC층이나 SiOC막 내의 C 농도를 고정밀도로 제어할 수 있다. 즉, 처리실(201) 내에 공급하는 H2O 가스의 유량(FH)과, 처리실(201) 내에 공급하는 피리딘 가스의 유량(FP)을 사용하여, FH/(FH+FP)로 구해지는 유량비(PRATIO)를 조정한다. 이에 의해, H2O 가스의 산화력을 제어하여, SiOC층, 나아가서는 SiOC막 내의 C 농도를 고정밀도로 제어할 수 있다. 상기의 유량비(PRATIO)를 증가시킴으로써, H2O 가스의 산화력이 높아져서, SiOC막 내의 C 농도를 적정하게 저하시킬 수 있다. 또한, 상기의 유량비(PRATIO)를 저하시킴으로써, H2O 가스의 산화력이 약해져서, SiOC막 내의 C 농도를 높일 수 있다.
또한, H2O 가스와 피리딘 가스를 공급하는 공정에서 공급하는 피리딘 가스의 처리실(201) 내에서의 분압을 조정함으로써, H2O 가스의 산화력을 제어하여, SiOC층, 나아가서는 SiOC막 내의 C 농도를 고정밀도로 제어할 수 있다. 이때, 처리실(201) 내에서의 피리딘 가스의 분압(VP)은, 처리실(201) 내에 공급하는 H2O 가스의 유량(FH)과, 처리실(201) 내에 공급하는 피리딘 가스의 유량(FP)과, 처리실(201) 내에 공급하는 기타 N2 가스의 유량(FN)과, 처리실(201) 내의 전체 압력(V)을 사용하여, VP=[FP/(FH+FP+FN)]×V의 식으로 구해진다. 이와 같이, 여기에서는, N2 가스 등 기타의 가스도 고려할 필요가 있다. 단, 상대적으로 H2O 가스와 피리딘 가스의 분압의 비를 변경하고 있는 것에는 변함이 없으며, 피리딘 가스의 분압(VP)의 조정을, 피리딘 가스의 공급량이나 상기의 유량비(PRATIO)의 조정과 마찬가지로 생각할 수 있다. 즉, 피리딘 가스의 분압(VP)을 증가시킴으로써, H2O 가스의 산화력이 높아져서, SiOC막 내의 C 농도를 적정하게 저하시킬 수 있다. 또한, 피리딘 가스의 분압(VP)을 저하시킴으로써, H2O 가스의 산화력이 약해져서, SiOC막 내의 C 농도를 높일 수 있다.
이때, SiOC막 내의 C 농도를 제어함으로써, Si 농도 및 O 농도도 상대적으로 변화시켜도 된다. 즉, SiOC막의 조성을 전체적으로 변화시켜도 되고, 또한 SiOC막의 조성을 전체적으로 제어하는 것을 목적으로 피리딘 가스의 공급량이나 분압이나 상기의 유량비(PRATIO)를 조정해도 된다.
또한, 산화 가스와 촉매 가스를 공급하는 공정에서 공급하는 촉매 가스의 공급량이나 분압이나 당해 촉매 가스에 대한 상기 유량비의 조정은, 상술한 원료 가스와 촉매 가스를 공급하는 공정에서 공급하는 촉매 가스의 공급량이나 분압이나 당해 촉매 가스에 대한 상기 유량비의 조정과는 독립 별개로 행할 수 있다. 즉, 양쪽 공정에서의 촉매 가스의 공급량이나 분압이나 양쪽 촉매 가스에 대한 상기 유량비가 동일해지도록 각각 조정해도 되고, 상이하도록 각각 조정해도 된다.
또한 이때, 촉매 가스의 공급량이나 유량 등을 상이한 수치로 설정한 프로세스 레시피(처리 수순이나 처리 조건이 기재된 프로그램)를 미리 복수 준비해 둠으로써, 촉매 가스의 공급량이나 분압이나 당해 촉매 가스에 대한 상기 유량비의 조정이 용이하게 된다. 오퍼레이터(조작원)는 원하는 막 조성 등에 따라, 적정한 프로세스 레시피를 적절히 선택하여 성막 처리를 실행하면 된다.
(잔류 가스 제거)
그 후, 제1 산화 가스 공급관(232b)의 밸브(243b)를 폐쇄하여, H2O 가스의 공급을 정지한다. 또한, 제1 촉매 가스 공급관(232c)의 밸브(243c)를 폐쇄하여, 피리딘 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방한 상태로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 반응에 기여한 후의 H2O 가스나 피리딘 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다(잔류 가스 제거). 또한 이때, 밸브(243i 내지 243k)는 개방한 상태로 하여, 불활성 가스로서의 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 또는 제2층의 형성에 기여한 후의 H2O 가스나 피리딘 가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한 이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되며, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 1a에서 악영향이 발생하는 일은 없다. 이때, 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없으며, 예를 들어 반응관(203)(처리실(201))의 용적과 동일 정도의 양을 공급함으로써, 스텝 1a에서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능하게 된다.
산화 가스로서는 H2O 가스 외에, 과산화수소(H2O2) 가스 등을 사용해도 된다. 또한, 수소(H)를 함유하지 않는 가스, 즉 산소(O2) 가스, 오존(O3) 가스 등을 단독으로, 또는 H2 가스와 함께 사용해도 된다. 촉매 가스로서는 피리딘 가스 외에, 상기에 예로 든 각종 아민계 가스를 사용해도 된다. 불활성 가스로서는 N2 가스 외에, Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용해도 된다.
여기서, 산화 가스와 촉매 가스를 공급하는 공정에서 공급하는 촉매 가스의 종류를 선택함으로써, SiOC층이나 SiOC막 내의 C 농도를 제어할 수 있다. 즉, 산화 가스와 촉매 가스를 공급하는 공정에서는, 촉매 가스로서, 분자 구조가 각각 상이한 복수 종류의 촉매 가스 중에서 특정한 촉매 가스를 선택하여 공급함으로써, SiOC층이나 SiOC막 내의 C 농도를 제어할 수 있다. 복수 종류의 촉매 가스 중에서 특정한 촉매 가스를 선택하여 공급하기 위해서는, 분자 구조가 각각 상이한 복수 종류의 촉매 가스를 각각 공급하는 복수의 공급 라인 중에서 특정한 공급 라인을 선택함으로써, 특정한 촉매 가스를 공급할 수 있다. 상술한 바와 같이, 본 실시 형태의 성막 시퀀스의 예에서는, 피리딘 가스 공급 라인, TEA 가스 공급 라인 등의 중에서 피리딘 가스 공급 라인을 선택함으로써, 특정한 촉매 가스로서 피리딘 가스를 공급하고 있다.
선택되는 촉매 가스의 종류에 따라, SiOC막 내의 C 농도의 제어가 가능하게 되는 한 요인으로서는, 예를 들어 각 촉매 가스에서의 촉매 작용의 강도의 지표의 하나인 산해리 상수(pKa)의 차이를 생각할 수 있다. 즉, 보다 pKa가 작은 피리딘 가스 등의 쪽이 촉매 작용이 약하여, H2O 가스의 분해를 촉진하는 작용이나, H2O 가스와 C 및 Cl을 포함하는 Si 함유층과의 반응을 촉진시키는 작용이 약해지는 것으로 생각된다. H2O 가스의 산화력이 약해지면, H2O 가스와 C 및 Cl을 포함하는 Si 함유층과의 반응, 특히 Si-C 결합의 분리 및 C의 탈리가 적정하게 억제되어, SiOC층 내에 C가 잔류하기 쉬운 경향이 강해지는 경우가 있다. 또한, 보다 pKa가 큰 TEA 가스나 피페리딘 가스 등의 쪽이 촉매 작용이 높아, H2O 가스의 분해를 촉진하는 작용이나, H2O 가스와 C 및 Cl을 포함하는 Si 함유층과의 반응을 촉진시키는 작용이 높아지는 것으로 생각된다. H2O 가스의 산화력이 높아지면, H2O 가스와 C 및 Cl을 포함하는 Si 함유층과의 반응, 특히 Si-C 결합의 분리 및 C의 탈리가 진행되어, SiOC층 내에 C가 잔류하기 어려운 경향이 강해지는 경우가 있다. 따라서, 상기 공정을 행할 때, 피리딘 가스 등을 선택함으로써, 보다 고농도의 C를 포함하는 SiOC층을 형성하거나, 또는 TEA 가스나 피페리딘 가스 등을 선택함으로써, C 농도를 적정하게 억제한 SiOC층을 형성할 수 있다. 즉, 복수 종류의 촉매 가스 중에서 특정한 촉매 가스를 선택하여 공급함으로써, SiOC층 내, 즉 SiOC막 내의 C 농도를 고정밀도로 제어할 수 있다.
또한, 선택되는 촉매 가스의 종류에 따라, SiOC막 내의 C 농도의 제어가 가능하게 되는 다른 요인으로서는, 예를 들어 각 촉매 가스의 증기압의 차이나, 각 촉매 가스에 의한 반응으로 생성되는 염의 증기압의 차이 등을 생각할 수 있다. 이들 촉매 반응에 관계되는 성분의 증기압이 상이하면, 반응계에서의 기상 중의 각 성분의 존재비, 즉, 예를 들어 막 내로부터의 C의 탈리 용이성 등도 변동한다. 결과적으로, SiOC층이나 SiOC막의 C 농도가 상이하게 된다.
또한, 상기에 예로 든 요인, 즉 촉매 가스의 pKa, 촉매 가스의 증기압 및 생성되는 염의 증기압 등의 밸런스, 즉 대소 관계의 차이 등이, SiOC층이나 SiOC막 내의 C 농도의 제어성에 관여하고 있는 것으로도 생각할 수 있다.
또한, 상기에서, SiOC막 내의 C 농도를 제어함으로써, Si 농도 및 O 농도도 상대적으로 변화시켜도 된다. 즉, SiOC막의 조성을 전체적으로 변화시켜도 되고, 또한, SiOC막의 조성을 전체적으로 제어하는 것을 목적으로 상기 촉매 가스의 종류를 선택해도 된다.
또한, 산화 가스와 촉매 가스를 공급하는 공정에서 공급하는 촉매 가스의 종류는, 상술한 원료 가스와 촉매 가스를 공급하는 공정에서 공급하는 촉매 가스의 종류와 동일하게 해도 되고, 상이하게 해도 된다. 또한, 본 발명자들에 의하면, 본 실시 형태의 가스계 및 조건 범위 내에서 종합적으로 판단하면, 각 공정을 통해, 촉매 가스로서 보다 바람직한 것은 피리딘 가스라고 생각된다. 계속해서, TEA 가스가 바람직하고, 그 다음으로 피페리딘 가스가 바람직하다고 생각된다.
또한, 상술한 각종 박막의 성막에 사용되는 프로세스 레시피(처리 수순이나 처리 조건이 기재된 프로그램)를 촉매 가스의 종류마다, 즉 상이한 가스계마다 미리 복수 준비해 둠으로써, 촉매 가스의 종류의 선택이 용이하게 된다. 오퍼레이터(조작원)는 원하는 가스계나 막 조성에 따라, 적정한 프로세스 레시피를 적절히 선택하여 성막 처리를 실행하면 된다.
(소정 횟수 실시)
상술한 스텝 1a, 2a를 1사이클로 하여, 이 사이클을 1회 이상, 즉 소정 횟수(n회) 행함으로써, 소정 조성 및 소정 막 두께의 SiOC막을 웨이퍼(200) 상에 성막할 수 있다. 또한, 상술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉, 1사이클당 형성하는 SiOC층의 두께를 원하는 막 두께보다 작게 하고, 상술한 사이클을 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다.
이때, 각 스텝에서의 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어함으로써, SiOC층에서의 각 원소 성분, 즉 Si 성분, O 성분 및 C 성분의 비율, 즉 Si 농도, O 농도 및 C 농도를 미세 조정할 수 있어, SiOC막의 조성비를 보다 치밀하게 제어할 수 있다.
또한, 사이클을 복수 회 행하는 경우, 적어도 2사이클째 이후의 각 스텝에서, "웨이퍼(200)에 대하여 소정의 가스를 공급한다"라고 기재한 부분은, "웨이퍼(200) 상에 형성되어 있는 층에 대하여, 즉 적층체로서의 웨이퍼(200)의 최외측 표면에 대하여 소정의 가스를 공급한다"는 것을 의미하고, "웨이퍼(200) 상에 소정의 층을 형성한다"라고 기재한 부분은, "웨이퍼(200) 상에 형성되어 있는 층의 위, 즉 적층체로서의 웨이퍼(200)의 최외측 표면 상에 소정의 층을 형성한다"는 것을 의미하고 있다. 이 점은 상술한 바와 같다. 또한, 이 점은 후술하는 다른 실시 형태에서도 마찬가지이다.
(퍼지 및 대기압 복귀)
소정 조성 및 소정 막 두께의 SiOC막을 형성하는 성막 처리가 이루어지면, 밸브(243i 내지 243k)를 개방하여, 불활성 가스 공급관(232i 내지 232k) 각각으로부터 불활성 가스로서의 N2 가스를 처리실(201) 내에 공급하고, 배기관(231)으로부터 배기한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내가 불활성 가스로 퍼지되어, 처리실(201) 내에 잔류하는 가스나 반응 부생성물이 처리실(201) 내로부터 제거된다(퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환되고(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
(보트 언로드 및 웨이퍼 디스차지)
그 후, 보트 엘리베이터(115)에 의해 시일 캡(219)이 하강되어, 매니폴드(209)의 하단이 개구됨과 더불어, 처리 완료된 웨이퍼(200)가 보트(217)에 지지된 상태에서 매니폴드(209)의 하단으로부터 반응관(203)의 외부에 반출(보트 언로드)된다. 그 후, 처리 완료된 웨이퍼(200)는 보트(217)로부터 취출된다(웨이퍼 디스차지).
(3) 본 실시 형태에 따른 효과
본 실시 형태에 따르면, 이하에 나타내는 하나 또는 복수의 효과를 발휘한다.
(a) 본 실시 형태의 성막 시퀀스에 의하면, 스텝 1a에서, 웨이퍼(200)에 대하여 BTCSM 가스와 피리딘 가스를 공급한다. 이와 같이, Si-C 결합을 갖는 원료 가스를 사용함으로써 예를 들어 SiOC층 내에 C를 고농도로 도입할 수 있고, 결과적으로, C 농도가 높은 SiOC막을 형성하는 것이 가능하게 된다. 또한, SiOC막 내의 C 농도를 고정밀도로 제어할 수 있다. 따라서, 예를 들어 에칭 내성이 높은 SiOC막을 얻을 수 있다.
(b) 또한, 본 실시 형태의 성막 시퀀스에 의하면, 스텝 1a의 원료 가스와 촉매 가스를 공급하는 공정에서는, 원료 가스로서, 분자 구조가 각각 상이한 복수 종류의 원료 가스 중에서 특정한 원료 가스를 선택하여 공급한다. 즉, 화학 구조식 중(1 분자 중)에 Si-C-Si 결합이나 Si-C-C-Si 결합을 갖는 알킬렌할로실란계 원료 가스(BTCSM 가스, BTCSE 가스 등)를 선택하여 공급하거나, 알킬기가 Si에 결합한 알킬할로실란계 원료 가스(TCDMDS 가스, DCTMDS 가스 등)를 선택하여 공급한다. 이들에 의해, SiOC층 내의 C 농도를, 선택한 원료 가스에 따라 상이하게 할 수 있고, 결과적으로, SiOC막 내의 C 농도를 고정밀도로 제어할 수 있다.
(c) 또한, 본 실시 형태의 성막 시퀀스에 의하면, 스텝 2a의 H2O 가스와 피리딘 가스를 공급하는 공정에서 공급하는 피리딘 가스의 공급량이나 분압이나 상술한 유량비(PRATIO)를 조정한다. 이들에 의해, 피리딘 가스의 촉매 작용의 강도를 제어하여, H2O 가스의 산화력을 약화시키거나 강화할 수 있고, 그 결과, SiOC층 내의, 나아가서는 SiOC막 내의 C 농도를 증가시키거나 저하시킬 수 있다. 따라서, 예를 들어 에칭 내성이 높은 SiOC막을 얻을 수 있다.
(d) 또한, 본 실시 형태의 성막 시퀀스에 의하면, 스텝 2a의 산화 가스와 촉매 가스를 공급하는 공정에서는, 촉매 가스로서, 분자 구조가 각각 상이한 복수 종류의 촉매 가스 중에서 특정한 촉매 가스를 선택하여 공급한다. 즉, 예를 들어 촉매 작용의 강도가 각각 상이한 각종 촉매 가스 중에서 적정한 강도의 촉매 작용을 갖는 촉매 가스를 선택하여 공급한다. 이에 의해, 산화 가스의 산화력을 약화시키거나 강화할 수 있고, 그 결과, SiOC층 내의, 나아가서는 SiOC막 내의 C 농도를, 선택한 촉매 가스에 따라 증가시키거나 저하시킬 수 있다. 따라서, 예를 들어 에칭 내성이 높은 SiOC막을 얻을 수 있다.
(e) 또한, 본 실시 형태의 기판 처리 장치는, 복수의 원료 가스 공급 라인을 구비하고, 분자 구조가 각각 상이한 복수 종류의 원료 가스 중에서 특정한 원료 가스를 선택하여 공급 가능하게 구성되어 있다. 또한, 본 실시 형태의 기판 처리 장치는, 복수의 촉매 가스 공급 라인을 구비하고, 분자 구조가 각각 상이한 복수 종류의 촉매 가스 중에서 특정한 촉매 가스를 선택하여 공급 가능하도록 구성되어 있다. 이들에 의해, 원하는 막 조성 등에 따라, 복수 종류의 가스 중에서 특정한 원료 가스나 촉매 가스를 선택하여 공급하는 것이 용이하게 된다. 따라서, 1대의 기판 처리 장치에서 다양한 조성비, 막질의 SiOC막을 범용적으로, 또한, 재현성 좋게 형성할 수 있게 된다. 또한, 가스종의 추가나 교체시 등에 대한 장치 운용의 자유도를 확보할 수 있다.
(f) 또한, 본 실시 형태의 기판 처리 장치는, 원료 가스나 촉매 가스의 종류마다, 즉 상이한 가스계마다 복수의 프로세스 레시피를 구비한다. 또한, 본 실시 형태의 기판 처리 장치는, 촉매 가스의 공급량이나 유량 등을 상이한 수치로 설정한 복수의 프로세스 레시피를 구비한다. 즉, 상이한 처리 조건마다 복수의 프로세스 레시피를 구비한다. 이들에 의해, 원하는 막 조성 등에 따라, 복수 종류의 가스 중에서 특정한 원료 가스나 촉매 가스를 선택하여 공급하고, 또한 촉매 가스의 공급량이나 분압이나, 당해 촉매 가스에 대한 상기 유량비 등을 조정하는 것이 용이하게 된다. 오퍼레이터는 복수의 프로세스 레시피 중에서 원하는 막 조성 등에 따라, 적정한 프로세스 레시피를 적절히 선택하여 성막 처리를 실행하면 된다. 따라서, 1대의 기판 처리 장치에서 다양한 조성비, 막질의 SiOC막을 범용적으로, 또한, 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 조작 부담(처리 수순이나 처리 조건의 입력 부담 등)을 저감할 수 있어, 조작 오류를 피하면서, 기판 처리를 신속히 개시할 수 있게 된다.
또한, 본 실시 형태에서는, SiOC막의 성막시, 200℃ 이하의 저온에서 처리를 행한다. 이러한 저온의 조건 하에서도, Si-C 결합을 갖는 원료 가스를 사용함으로써 박막 내의 C 농도를 높이거나 고정밀도로 제어할 수 있다.
(4) 본 실시 형태의 변형예
이어서, 본 실시 형태의 변형예에 대해 도 7을 사용하여 설명한다. 도 7은 본 실시 형태의 변형예의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이며, (a)는 변형예 1을 도시하는 도면이고, (b)는 변형예 2를 도시하는 도면이고, (c)는 변형예 3을 도시하는 도면이다.
도 7의 (a)에 나타내고 있는 바와 같이, 변형예 1의 성막 시퀀스에서는,
SiOC막을 형성하는 공정에서, 스텝 1a, 2a의 사이클을 복수 회 행하고,
이 사이클을 복수 회 행할 때, 그 도중에, 예를 들어 m사이클째에서 H2O 가스와 피리딘 가스를 공급하는 공정에서 공급하는 피리딘 가스의 공급량을 변경한다.
이에 의해, SiOC막 내의 C 농도를 막 두께 방향으로 변화시킬 수 있다. 도 7의 (a)의 예에서는, 피리딘 가스의 공급량을 소유량에서 대유량으로 변경하고 있어, SiOC막 내, 상층(웨이퍼(200)에서 먼 측)의 C 농도를, 하층(웨이퍼(200)에서 가까운 측)의 C 농도보다 저하시킬 수 있다. 단, 이와는 반대로, 피리딘 가스의 공급량을 대유량에서 소유량으로 변경해도 된다. 또한, 원하는 막 조성 등에 따라, 이들 피리딘 가스의 공급량의 변경을, 1회만 행해도 되고 복수 회 행해도 된다. 이때, 피리딘 가스의 공급량을, 소유량에서 대유량으로, 또는 대유량에서 소유량으로 단계적으로 상승 또는 하강시켜도 되고, 또는 적절히 임의의 조합으로 상하로 변화시켜도 된다.
또한, 도 7의 (b)에 나타내고 있는 바와 같이, 변형예 2의 성막 시퀀스에서는,
SiOC막을 형성하는 공정에서, 스텝 1a, 2a의 사이클을 복수 회 행하고,
이 사이클을 복수 회 행할 때, 그 도중에, 예를 들어 m사이클째에서 H2O 가스와 촉매 가스를 공급하는 공정에서 공급하는 촉매 가스의 종류를, 예를 들어 피리딘 가스에서 TEA 가스 등으로 변경한다.
이에 의해, SiOC막 내의 C 농도를 막 두께 방향으로 변화시킬 수 있다. 도 7의 (b)의 예에서는, 당초 사용하고 있었던 피리딘 가스에서, 보다 촉매 작용이 강한 TEA 가스로 변경하고 있어, SiOC막 내, 상층(웨이퍼(200)에서 먼 측)의 C 농도를, 하층(웨이퍼(200)에서 가까운 측)의 C 농도보다 저하시킬 수 있다. 또한, 도 7의 (b)의 예에 의하지 않고, 원하는 막 조성 등에 따라, 촉매 가스의 종류의 변경을, 1회만 행해도 되고 복수 회 행해도 된다. 또한, 사용하는 촉매 가스는 2종류이거나 3종류 이상이어도 된다. 사용하는 촉매 가스의 조합이나 순서는 임의이다.
또한, 도 7의 (c)에 나타내고 있는 바와 같이, 변형예 3의 성막 시퀀스에서는,
SiOC막을 형성하는 공정에서, 스텝 1a, 2a의 사이클을 복수 회 행하고,
이 사이클을 복수 회 행할 때, 그 도중에, 예를 들어 m사이클째에서 원료 가스와 피리딘 가스를 공급하는 공정에서 공급하는 원료 가스의 종류를, 예를 들어 BTCSM 가스에서 TCDMDS 가스 등으로 변경한다.
여기서, 웨이퍼(200)에 대한 TCDMDS 가스의 공급 수순에 대하여 이하에 설명한다. 그 외에, BTCSM 가스, H2O 가스, 피리딘 가스 등의 공급 수순이나 처리 조건은, 상술한 실시 형태와 마찬가지이므로 설명을 생략한다.
제2 원료 가스 공급관(232e)의 밸브(243e)를 개방하여, 제2 원료 가스 공급관(232e) 내에 TCDMDS 가스를 흘린다. 제2 원료 가스 공급관(232e) 내를 흐른 TCDMDS 가스는, MFC(241e)에 의해 유량 조정된다. 유량 조정된 TCDMDS 가스는 제1 노즐(249a)의 가스 공급 구멍(250a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 TCDMDS 가스가 공급되게 된다(TCDMDS 가스 공급). 이때 동시에, 밸브(243i)를 개방하여, 제1 불활성 가스 공급관(232i) 내에 N2 가스 등의 불활성 가스를 흘린다. 제1 불활성 가스 공급관(232i) 내를 흐른 N2 가스는, MFC(241i)에 의해 유량 조정된다. 유량 조정된 N2 가스는 TCDMDS 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
피리딘 가스는 TCDMDS 가스와 함께 웨이퍼(200)에 대하여 공급됨으로써, 웨이퍼(200)의 표면에 존재하는 O-H 결합의 결합력을 약화시켜, TCDMDS 가스의 분해를 촉진하고, TCDMDS 분자의 화학 흡착에 의한 C 및 Cl을 포함하는 Si 함유층의 형성을 촉진시킨다. 이와 같이, 피리딘 가스는 TCDMDS 가스에 대해서도 상술한 BTCSM 가스의 경우와 마찬가지의 촉매 작용을 나타낸다.
또한, 이때의 처리실(201) 내의 압력, 원료 가스, 촉매 가스, N2 가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는, 예를 들어 상술한 실시 형태의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 할 수 있다. 또한, TCDMDS 가스나 피리딘 가스를 공급할 때는, 상술한 실시 형태와 마찬가지로, 미사용으로 되어 있는 노즐(249b) 등으로의 가스의 침입을 방지하는 N2 가스 공급을 행한다.
이와 같이, 스텝 1a, 2a의 사이클을 복수 회 행할 때, 그 도중에 원료 가스의 종류를 변경함으로써, SiOC막 내의 C 농도를 막 두께 방향으로 변화시킬 수 있다. 도 7의 (c)의 예에서는, 당초 사용하고 있었던 BTCSM 가스에서 TCDMDS 가스로 변경하고 있어, SiOC막 내, 예를 들어 상층(웨이퍼(200)에서 먼 측)의 C 농도를, 하층(웨이퍼(200)에서 가까운 측)의 C 농도보다 저하시킬 수 있다. 또한, 도 7의 (c)의 예에 의하지 않고, 원하는 막 조성 등에 따라, 원료 가스의 종류의 변경을 1회만 행해도 되고 복수 회 행해도 된다. 또한, 사용하는 원료 가스는 2종류이거나 3종류 이상이어도 된다. 사용하는 원료 가스의 조합은, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스 중에서 임의로 선택할 수 있다. 원료 가스의 사용 순서는 임의이다.
이상, 도 7의 (a) 내지 (c)에 나타내는 변형예와 같이, SiOC막 내의 C 농도를 막 두께 방향으로 변화시킴으로써, SiOC막의 C 농도나 그 밖의 Si 농도나 O 농도를 막 두께 방향으로 적절히 제어하여, 원하는 조성을 갖는 SiOC막이 보다 한층 얻기 쉬워진다. 따라서, 예를 들어 막 두께 방향으로 에칭 내성이 상이한 SiOC막을 얻을 수 있다.
<제2 실시 형태>
이어서, 본 발명의 제2 실시 형태에 대하여 설명한다.
(1) 적층막 형성 공정
상술한 제1 실시 형태에서는, 스텝 1a, 2a를 포함하는 사이클을 소정 횟수 행하여 SiOC막을 형성하는 예에 대하여 설명하였다. 본 실시 형태에서는, 상술한 SiOC막 형성 공정에 더하여, 웨이퍼(200)에 대하여 상술한 바와는 상이한 클로로실란계 원료 가스와 촉매 가스를 공급하는 스텝과, 웨이퍼(200)에 대하여 산화 가스와 촉매 가스를 공급하는 스텝을 소정 횟수 행한다. 이에 의해, SiOC막과, SiOC막과는 상이한 막종의 실리콘 산화막(SiO2막, 이후, SiO막이라고도 함)의 적층막을 형성한다.
이하, 본 실시 형태의 성막 시퀀스를 도 8, 도 9를 사용하여 설명한다. 도 8은 본 실시 형태의 성막 시퀀스에서의 성막 플로우를 도시하는 도면이다. 도 9는 본 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이며, (a)는 스택 막을 형성하는 시퀀스 예를 도시하는 도면이고, (b)는 라미네이트 막을 형성하는 시퀀스 예를 도시하는 도면이다. 본 실시 형태에서도, 상술한 실시 형태와 마찬가지로, 도 1, 도 2에 도시하는 기판 처리 장치를 사용한다. 또한, 이하의 설명에서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태의 성막 시퀀스에서는,
웨이퍼(200)에 대하여 실리콘(Si) 및 할로겐 원소를 포함하는 원료 가스로서 HCDS 가스와, 촉매 가스로서 피리딘 가스를 공급하는 공정과(스텝 1b), 웨이퍼(200)에 대하여 산화 가스로서 H2O 가스와, 촉매 가스로서 피리딘 가스를 공급하는 공정(스텝 2b)을 포함하는 세트를 소정 횟수 행함으로써, 실리콘(Si) 및 산소(O)를 포함하는 제1 박막으로서의 실리콘 산화막(SiO막)을 형성하는 공정과,
웨이퍼(200)에 대하여 실리콘(Si), 탄소(C) 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스로서 BTCSM 가스와, 촉매 가스로서 피리딘 가스를 공급하는 공정과(스텝 1c), 웨이퍼(200)에 대하여 산화 가스로서 H2O 가스와, 촉매 가스로서 피리딘 가스를 공급하는 공정(스텝 2c)을 포함하는 세트를 소정 횟수 행함으로써, 실리콘(Si), 산소(O) 및 탄소(C)를 포함하는 제2 박막으로서의 실리콘 산탄화 막(SiOC막)을 형성하는 공정,
을 포함하는 사이클을 소정 횟수 행함으로써, SiO막과 SiOC막의 적층막을 웨이퍼(200) 상에 형성하는 예에 대하여 설명한다.
(SiO막 형성 공정)
웨이퍼 차지, 보트 로드, 압력 조정 및 온도 조정 후, 다음 2개의 스텝 1b, 2b를 순차 실행한다.
[스텝 1b]
(HCDS 가스+피리딘 가스 공급)
제3 원료 가스 공급관(232f)의 밸브(243f)를 개방하여, 제3 원료 가스 공급관(232f) 내에 HCDS 가스를 흘린다. 제3 원료 가스 공급관(232f) 내를 흐른 HCDS 가스는, MFC(241f)에 의해 유량 조정된다. 유량 조정된 HCDS 가스는 제1 노즐(249a)의 가스 공급 구멍(250a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 HCDS 가스가 공급되게 된다(HCDS 가스 공급). 이때 동시에, 밸브(243i)를 개방하여, 제1 불활성 가스 공급관(232i) 내에 N2 가스 등의 불활성 가스를 흘린다. 제1 불활성 가스 공급관(232i) 내를 흐른 N2 가스는, MFC(241i)에 의해 유량 조정된다. 유량 조정된 N2 가스는 HCDS 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이와 같이, 웨이퍼(200)에 대하여 HCDS 가스를 공급함으로써, 웨이퍼(200)(표면의 하지막) 상에 제1층으로서, 예를 들어 1 원자층 미만 내지 수 원자층 정도의 두께의 Cl을 포함하는 Si 함유층이 형성된다. Cl을 포함하는 Si 함유층은, Cl을 포함하는 Si층이어도 되고, HCDS 가스의 흡착층이어도 되고, 그 양쪽을 포함하고 있어도 된다.
여기서, Cl을 포함하는 Si층은 Si에 의해 구성되고 Cl을 포함하는 연속적인 층 외에, 불연속인 층이나, 이들이 겹쳐서 생기는 Cl을 포함하는 Si 박막도 포함하는 총칭이다. 또한, Si에 의해 구성되고 Cl을 포함하는 연속적인 층을, Cl을 포함하는 Si 박막이라고 하는 경우도 있다. 또한, Cl을 포함하는 Si층을 구성하는 Si는, Cl과의 결합이 완전히 끊어져 있지 않은 것 외에, Cl과의 결합이 완전히 끊어져 있는 것도 포함한다.
여기서, HCDS 가스의 흡착층은 HCDS 가스의 가스 분자의 연속적인 화학 흡착층 외에, 불연속인 화학 흡착층도 포함한다. 즉, HCDS 가스의 흡착층은 HCDS 분자로 구성되는 1 분자층 또는 1 분자층 미만의 두께의 화학 흡착층을 포함한다. 또한, HCDS 가스의 흡착층을 구성하는 HCDS(Si2Cl6) 분자는, 도 12의 (e)에 화학 구조식을 나타내는 것뿐만 아니라, Si와 Cl의 결합이 일부 끊어진 것도 포함한다.
또한, 이때, 상술한 실시 형태와 마찬가지의 공급 수순으로, 웨이퍼(200)에 대하여 피리딘 가스를 공급한다. 피리딘 가스는 HCDS 가스와 함께 웨이퍼(200)에 대하여 공급됨으로써, 웨이퍼(200)의 표면에 존재하는 O-H 결합의 결합력을 약화시켜, HCDS 가스의 분해를 촉진하고, HCDS 분자의 화학 흡착에 의한 Cl을 포함하는 Si 함유층의 형성을 촉진시킨다. 이와 같이, 피리딘 가스는 HCDS 가스에 대해서도, 상술한 BTCSM 가스의 경우와 마찬가지의 촉매 작용을 나타낸다.
또한, 이때의 처리실(201) 내의 압력, 원료 가스, 촉매 가스, N2 가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등에 대해서는, 예를 들어 상술한 실시 형태와 마찬가지의 범위 내로 할 수 있다. 또한, HCDS 가스나 피리딘 가스를 공급할 때는, 상술한 실시 형태와 마찬가지로, 미사용으로 되어 있는 노즐(249b) 등으로의 가스의 침입을 방지하는 N2 가스 공급을 행한다.
(잔류 가스 제거)
제1층으로서의 Cl을 포함하는 Si 함유층이 웨이퍼(200) 상에 형성된 후, 제3 원료 가스 공급관(232f)의 밸브(243f)를 폐쇄하여, HCDS 가스의 공급을 정지한다. 또한, 이때, 상술한 실시 형태와 마찬가지의 수순으로, 피리딘 가스의 공급을 정지하고, 처리실(201) 내로부터의 잔류 가스의 제거를 행한다.
[스텝 2b]
(H2O 가스+피리딘 가스 공급)
스텝 1b가 종료하고 처리실(201) 내의 잔류 가스를 제거한 후, 상술한 실시 형태와 마찬가지의 공급 수순으로, 웨이퍼(200)에 대하여 H2O 가스와 피리딘 가스를 공급한다. 웨이퍼(200)에 대하여 공급된 H2O 가스는 열로 활성화되어, 피리딘 가스에 의해 그 분해가 촉진되어, 스텝 1b에서 웨이퍼(200) 위에 형성된 제1층(Cl을 포함하는 Si 함유층)의 적어도 일부와 반응한다. 이에 의해 제1층은, 논 플라즈마로 열적으로 산화되어, Si 및 O를 포함하는 제2층, 즉, 실리콘 산화층(SiO층)으로 변화된다(개질된다).
또한, 이때의 처리실(201) 내의 압력, 산화 가스, 촉매 가스, N2 가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는, 예를 들어 상술한 실시 형태의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 할 수 있다. 또한, H2O 가스나 피리딘 가스를 공급할 때는, 상술한 실시 형태와 마찬가지로, 미사용으로 되어 있는 노즐(249a) 등으로의 가스의 침입을 방지하는 N2 가스 공급을 행한다.
(잔류 가스 제거)
그 후, 상술한 실시 형태와 마찬가지의 수순으로, H2O 가스와 피리딘 가스의 공급을 정지하고, 처리실(201) 내로부터의 잔류 가스의 제거를 행한다.
(소정 횟수 실시)
상술한 스텝 1b, 2b를 1세트로 하여, 이 세트를 1회 이상(소정 횟수) 행함으로써, 소정 조성 및 소정 막 두께의 SiO막을 웨이퍼(200) 상에 성막할 수 있다. 또한, 상술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉, 1세트당 형성하는 SiO층의 두께를 원하는 막 두께보다 작게 하여, 상술한 세트를 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다.
(SiOC막 형성 공정)
SiOC막 형성 공정에서는, 상술한 실시 형태의 스텝 1a, 2a와 마찬가지의 수순 및 처리 조건으로, 스텝 1c, 2c를 순차 실행한다. 이러한 스텝 1c, 2c를 1세트로 하여, 이 세트를 1회 이상(소정 횟수) 행함으로써, 소정 조성 및 소정 막 두께의 SiOC막을 웨이퍼(200) 상에 성막할 수 있다.
(소정 횟수 실시)
상술한 스텝 1b, 2b를 1세트로 하여, 이 세트를 소정 횟수 행하는 SiO막 형성 공정과, 스텝 1c, 2c를 1세트로 하여, 이 세트를 소정 횟수 행하는 SiOC막 형성 공정을 1사이클로 하여, 이 사이클을 1회 이상(소정 횟수) 행함으로써, SiO막과 SiOC막의 적층막이 웨이퍼(200) 상에 형성된다. 이때, SiO막 형성 공정과 SiOC막 형성 공정은, 어느 쪽부터 개시해도 상관없다.
또한, 도 9의 (a)에 나타내고 있는 바와 같이, SiO막 형성 공정과 SiOC막 형성 공정을 포함하는 사이클을 1회 행함으로써, SiO막과 SiOC막이 각각 1층씩 적층되어 이루어지는 적층막(스택 막)을 형성할 수 있다.
또는, 도 9의 (b)에 나타내고 있는 바와 같이, SiO막 형성 공정과 SiOC막 형성 공정을 포함하는 사이클을 복수 회 행함으로써, SiO막과 SiOC막이 각각 복수 적층되어 이루어지는 적층막(라미네이트 막)을 형성할 수 있다.
상기 어느 경우에든, SiO막과 SiOC막의 원하는 막 두께 비율 등에 따라, 스텝 1b, 2b를 포함하는 세트와, 스텝 1c, 2c를 포함하는 세트가, 1사이클 중에 동일한 횟수 포함되도록 해도 되고, 각각 상이한 횟수 포함되도록 해도 된다. 각각의 세트를 동일한 횟수씩 교대로 행함으로써, 각각의 막 두께의 비율이 대략 동등한 SiO막과 SiOC막을 포함하는 적층막으로 할 수 있다. 또한, 각각의 세트를 상이한 횟수 행함으로써, 각각의 막 두께의 비율이 상이한 SiO막과 SiOC막을 포함하는 적층막으로 할 수 있다.
(2) 본 실시 형태의 변형예
본 실시 형태에서는, 촉매 가스와 함께 HCDS 가스 및 H2O 가스를 사용하여 SiO막을 성막하고, 그 SiO막과 SiOC막의 적층막을 형성하는 예에 대하여 설명하였다. 본 실시 형태의 변형예에서는, 상기와는 상이한 원료 가스 및 플라즈마로 여기한 산화 가스를 사용함으로써, 촉매 가스를 사용하지 않고 SiO막을 성막하고, 그 SiO막과 SiOC막의 적층막을 형성하는 예에 대해서, 도 10, 도 11을 사용하여 설명한다. 도 10은 본 실시 형태의 변형예의 성막 시퀀스에서의 성막 플로우를 도시하는 도면이다. 도 11은 본 실시 형태의 변형예의 성막 시퀀스에서의 가스 공급 및 RF 전력 공급의 타이밍을 도시하는 도면이며, (a)는 스택 막을 형성하는 시퀀스 예를 도시하는 도면이고, (b)는 라미네이트 막을 형성하는 시퀀스 예를 도시하는 도면이다.
본 변형예의 성막 시퀀스에서는,
웨이퍼(200)에 대하여 실리콘(Si), 탄소(C) 및 질소(N)를 포함하고 Si-N 결합을 갖는 원료 가스로서 BDEAS 가스를 공급하는 공정과(스텝 1d), 웨이퍼(200)에 대하여 플라즈마로 여기한 산화 가스로서 플라즈마로 여기한 O2 가스를 공급하는 공정(스텝 2d)을 포함하는 세트를 소정 횟수 행함으로써, 실리콘(Si) 및 산소(O)를 포함하는 제1 박막으로서의 실리콘 산화막(SiO막)을 형성하는 공정과,
웨이퍼(200)에 대하여 실리콘(Si), 탄소(C) 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스로서 BTCSM 가스와, 촉매 가스로서 피리딘 가스를 공급하는 공정과(스텝 1e), 웨이퍼(200)에 대하여 산화 가스로서 H2O 가스와, 촉매 가스로서 피리딘 가스를 공급하는 공정(스텝 2e)을 포함하는 세트를 소정 횟수 행함으로써, 실리콘(Si), 산소(O) 및 탄소(C)를 포함하는 제2 박막으로서의 실리콘 산탄화막(SiOC막)을 형성하는 공정
을 포함하는 사이클을 소정 횟수 행함으로써, SiO막과 SiOC막의 적층막을 웨이퍼(200) 상에 형성하는 예에 대하여 설명한다.
(SiO막 형성 공정)
웨이퍼 차지, 보트 로드, 압력 조정 및 온도 조정한 후, 다음 2개의 스텝 1d, 2d를 순차 실행한다.
[스텝 1d]
(BDEAS 가스 공급)
제4 원료 가스 공급관(232g)의 밸브(243g)를 개방하여, 제4 원료 가스 공급관(232g) 내에 BDEAS 가스를 흘린다. 제4 원료 가스 공급관(232g) 내를 흐른 BDEAS 가스는, MFC(241g)에 의해 유량 조정된다. 유량 조정된 BDEAS 가스는 제1 노즐(249a)의 가스 공급 구멍(250a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 BDEAS 가스가 공급되게 된다(BDEAS 가스 공급). 이때 동시에 밸브(243i)를 개방하여, 제1 불활성 가스 공급관(232i) 내에 N2 가스 등의 불활성 가스를 흘린다. 제1 불활성 가스 공급관(232i) 내를 흐른 N2 가스는, MFC(241i)에 의해 유량 조정된다. 유량 조정된 N2 가스는 BDEAS 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한, 이때, 버퍼실(237) 내, 제2 노즐(249b) 내 및 제3 노즐(249c) 내로의 BDEAS 가스의 침입을 방지하기 위해서, 밸브(243j, 243k)를 개방하여, 제2 불활성 가스 공급관(232j) 및 제3 불활성 가스 공급관(232k) 내에 N2 가스를 흘린다. N2 가스는 제1 산화 가스 공급관(232b), 제1 촉매 가스 공급관(232c), 제2 노즐(249b), 제3 노즐(249c) 및 버퍼실(237)을 통해 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이와 같이, 웨이퍼(200)에 대하여 BDEAS 가스를 공급함으로써, 웨이퍼(200)(표면의 하지막) 상에 제1층으로서, 예를 들어 1 원자층 미만 내지 수 원자층 정도의 두께의 N 및 C를 포함하는 Si 함유층이 형성된다. N 및 C를 포함하는 Si 함유층은 N 및 C를 포함하는 Si층이어도 되고, BDEAS 가스의 흡착층이어도 되고, 그 양쪽을 포함하고 있어도 된다.
여기서, N 및 C를 포함하는 Si층은 Si에 의해 구성되고 N 및 C를 포함하는 연속적인 층 외에, 불연속인 층이나, 이들이 겹쳐서 생기는 N 및 C를 포함하는 Si 박막도 포함하는 총칭이다. 또한, Si에 의해 구성되고 N 및 C를 포함하는 연속적인 층을, N 및 C를 포함하는 Si 박막이라고 하는 경우도 있다. 또한, N 및 C를 포함하는 Si층을 구성하는 Si는, N과의 결합이 완전히 끊어져 있지 않은 것 외에, N과의 결합이 완전히 끊어져 있는 것도 포함한다.
여기서, BDEAS 가스의 흡착층은 BDEAS 가스의 가스 분자가 연속적인 화학 흡착층 외에, 불연속인 화학 흡착층도 포함한다. 즉, BDEAS 가스의 흡착층은 BDEAS 분자로 구성되는 1 분자층 또는 1 분자층 미만의 두께의 화학 흡착층을 포함한다. 또한, BDEAS 가스의 흡착층을 구성하는 BDEAS(Si[N(C2H5)2]2H2) 분자는, 도 12의 (f)에 화학 구조식을 나타내는 것뿐만 아니라, Si와 N, Si와 H, N과 C의 결합이 일부 끊어진 것도 포함한다. 즉, BDEAS 가스의 흡착층은 BDEAS 분자의 화학 흡착층이나, BDEAS 분자의 물리 흡착층을 포함한다.
BDEAS 가스는 웨이퍼(200) 등에 흡착되기 쉬워 분해성이나 반응성이 높은 가스이다. 따라서, 예를 들어 200℃ 이하의 비교적 저온의 조건 하에서도, 예를 들어 피리딘 가스 등의 촉매 가스를 사용하지 않고 반응을 진행시켜, 웨이퍼(200) 상에 N 및 C를 포함하는 Si 함유층을 형성할 수 있다.
또한, 이때의 처리실(201) 내의 압력, 원료 가스, N2 가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등에 대해서는, 예를 들어 상술한 실시 형태와 마찬가지의 범위 내로 할 수 있다.
(잔류 가스 제거)
제1층으로서의 N 및 C를 포함하는 Si 함유층이 웨이퍼(200) 상에 형성된 후, 제4 원료 가스 공급관(232g)의 밸브(243g)를 폐쇄하여, BDEAS 가스의 공급을 정지한다. 또한, 이때, 상술한 실시 형태와 마찬가지의 수순으로, 처리실(201) 내로부터의 잔류 가스의 제거를 행한다.
[스텝 2d]
(O2 가스 공급)
스텝 1d가 종료되어 처리실(201) 내의 잔류 가스를 제거한 후, 제2 산화 가스 공급관(232d)의 밸브(243d)를 개방하여, 제2 산화 가스 공급관(232d) 내에 O2 가스를 흘린다. O2 가스는 제2 산화 가스 공급관(232d)으로부터 흘러, MFC(241d)에 의해 유량 조정된다. 유량 조정된 O2 가스는 제2 노즐(249b)의 가스 공급 구멍(250b)으로부터 버퍼실(237) 내에 공급된다. 이때, 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 사이에 고주파 전원(273)으로부터 정합기(272)를 통해 고주파(RF) 전력을 인가함으로써, 버퍼실(237) 내에 공급된 O2 가스는 플라즈마 여기되어, 활성종으로서 가스 공급 구멍(250d)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 플라즈마로 활성화(여기)된 O2 가스가 공급되게 된다(O2 가스 공급). 이때 동시에, 밸브(243j)를 개방하여, 제2 불활성 가스 공급관(232j) 내에 불활성 가스로서의 N2 가스를 흘린다. 제2 불활성 가스 공급관(232j) 내를 흐른 N2 가스는, MFC(241j)에 의해 유량 조정된다. 유량 조정된 N2 가스는 O2 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한, 이때, 제1 노즐(249a) 및 제3 노즐(249c) 내로의 O2 가스의 침입을 방지하기 위해서, 밸브(243i, 243k)를 개방하여, 제1 불활성 가스 공급관(232i) 및 제3 불활성 가스 공급관(232k) 내에 N2 가스를 흘린다. N2 가스는, 제1 원료 가스 공급관(232a), 제1 촉매 가스 공급관(232c), 제1 노즐(249a) 및 제3 노즐(249c)을 통해 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
이와 같이, 플라즈마를 사용함으로써 처리실(201) 내의 온도를 예를 들어 200℃ 이하와 같은 비교적 낮은 온도대로 해도, 예를 들어 피리딘 가스 등의 촉매 가스를 사용하지 않고, O2 가스를 활성화시키는 것이 가능하게 된다.
이때, 처리실(201) 내에 흐르고 있는 가스는 플라즈마로 여기된 O2 가스이며, 예를 들어 산소 라디칼(O2 *) 등의 활성종을 포함하고 있다. 또한, 처리실(201) 내에는 BDEAS 가스는 흘리지 않고 있다. 따라서, O2 가스는 기상 반응을 일으키지 않고, 활성화된 상태에서 웨이퍼(200)에 대하여 공급되며, 주로 이 활성종에 의해, 스텝 1d에서 웨이퍼(200) 상에 형성된 N 및 C를 포함하는 Si 함유층에 대하여 산화 처리가 행해진다. 이 활성종이 갖는 에너지는, N 및 C를 Si 함유층 내에 포함하는 Si-N 결합, Si-H 결합의 결합 에너지보다 높기 때문에, 이 활성종의 에너지를 N 및 C를 Si 함유층에 부여함으로써, 이 Si 함유층 내에 포함되는 Si-N 결합, Si-H 결합은 분리된다. Si와의 결합이 분리된 N, H 및 N에 결합하는 C는, N 및 C를 Si 함유층 내로부터 제거되어, N2, H2, CO2 등으로서 배출된다. 또한, N, H와의 결합이 끊어짐으로써 남은 Si의 결합손은, 활성종에 포함되는 O와 연결되어 Si-O 결합이 형성된다. 이와 같이 하여, 제1층으로서의 N 및 C를 Si 함유층은, Si 및 O를 포함하는 제2층, 즉 SiO층으로 변화시킬 수 있다(개질된다).
또한, 이때의 처리실(201) 내의 압력, 산화 가스, N2 가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등에 대해서는, 예를 들어 상술한 실시 형태와 마찬가지의 범위 내로 할 수 있다. 고주파 전원(273)으로부터 제1 막대 형상 전극(269) 및 제2 막대 형상 전극(270) 사이에 인가하는 고주파 전력은, 예를 들어 50 내지 1000W의 범위 내의 전력으로 되도록 설정한다.
(잔류 가스 제거)
제2층으로서의 SiO층이 웨이퍼(200) 상에 형성된 후, 제2 산화 가스 공급관(232d)의 밸브(243d)를 폐쇄하여, O2 가스의 공급을 정지한다. 또한, 이때, 상술한 실시 형태와 마찬가지의 수순으로, 처리실(201) 내로부터의 잔류 가스의 제거를 행한다.
(소정 횟수 실시)
상술한 스텝 1d, 2d를 1세트로 하여, 이 세트를 1회 이상(소정 횟수) 행함으로써, 소정 조성 및 소정 막 두께의 SiO막을 웨이퍼(200) 상에 성막할 수 있다. 또한, 상술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉, 1세트당 형성하는 SiO층의 두께를 원하는 막 두께보다 작게 하여, 상술한 세트를 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다.
(SiOC막 형성 공정 및 소정 횟수 실시)
SiOC막 형성 공정 및 각 공정에 대한 소정 횟수의 실시는, 상술한 실시 형태와 마찬가지의 수순 및 처리 수순으로 행할 수 있다. 즉, 스텝 1d, 2d를 1세트로 하여, 이 세트를 소정 횟수 행하는 SiO막 형성 공정과, 상술한 실시 형태의 스텝 1a, 2a와 마찬가지의 스텝 1e, 2e를 1세트로 하여, 이 세트를 소정 횟수 행하는 SiOC막 형성 공정을 1사이클로 하여, 이 사이클을 1회 이상(소정 횟수) 행함으로써, SiO막과 SiOC막의 적층막이 웨이퍼(200) 상에 형성된다.
이때, 도 11의 (a)에 나타내고 있는 바와 같이, SiO막 형성 공정과 SiOC막 형성 공정을 포함하는 사이클을 1회 행함으로써, SiO막과 SiOC막이 각각 1층씩 적층되어 이루어지는 적층막(스택 막)을 형성할 수 있다.
또는, 도 11의 (b)에 나타내고 있는 바와 같이, SiO막 형성 공정과 SiOC막 형성 공정을 포함하는 사이클을 복수 회 행함으로써, SiO막과 SiOC막이 각각 복수 적층되어 이루어지는 적층막(라미네이트 막)을 형성할 수 있다.
본 변형예에서도, SiO막과 SiOC막의 원하는 막 두께 비율 등에 따라, 각 스텝 1d, 2d, 1e, 2e를 포함하는 각각의 세트의 개시순, 각 세트 수 등을 임의로 결정할 수 있다.
<다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명했지만, 본 발명은 상술한 실시 형태나 변형예에 한정되는 것은 아니며, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
예를 들어, 상술한 실시 형태 등에서는, 스텝 2a, 2c, 2e 등에서, 촉매 가스와 함께 H2O 가스 등의 산화 가스를 사용하여 C 및 Cl을 포함하는 Si 함유층을 SiOC층으로 개질하는 예에 대하여 설명했지만, 플라즈마로 여기한 O2 가스 등의 산화 가스를 사용하여 C 및 Cl을 포함하는 Si 함유층을 SiOC층으로 개질해도 된다.
또한, 상술한 실시 형태 등에서는, H2O 가스 등의 산화 가스를 사용하여 SiOC막을 형성하는 예에 대하여 설명했지만, 본 발명은 이에 한정되는 것은 아니다. 예를 들어, 질소(N) 등을 포함하는 질화 가스를 사용하여 C 및 Cl을 포함하는 Si 함유층을 질화시켜 SiCN막을 형성해도 된다. 또는, 산화 가스나 질화 가스 등을 적절히 조합하여, SiOCN막 등의 실리콘계 절연막(Si계 절연막)을 형성해도 된다. 질화 가스로서는, 예를 들어 암모니아(NH3) 가스, 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8 가스, 이들 화합물을 포함하는 가스 등을 사용할 수 있다.
또한, 상술한 실시 형태 등에서는, SiOC막이나 SiO막의 성막에 사용하는 원료 가스로서, 클로로실란계 원료 가스를 사용하는 예에 대하여 설명했지만, 클로로실란계 이외의 할로실란계 원료 가스, 예를 들어 플루오로실란계 원료 가스나 브로모실란계 원료 가스 등을 사용해도 된다. 여기서, 플루오로실란계 원료 가스는 할로겐기로서의 플루오로기를 포함하는 실란계 원료 가스이며, 적어도 실리콘(Si) 및 불소(F)를 포함하는 원료 가스이다. 또한, 브로모실란계 원료 가스는 할로겐기로서의 브로모기를 포함하는 실란계 원료 가스이며, 적어도 실리콘(Si) 및 브롬(Br)을 포함하는 원료 가스이다.
이와 같이, 본 발명은 Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와 산화 가스 등을 사용하여 Si계 절연막(SiOC막, SiCN막, SiOCN막) 등의 박막을 형성하는 경우에 적절하게 적용할 수 있다.
상술한 각 실시 형태나 각 변형예의 방법에 의해 형성한 Si계 절연막을, 사이드 월 스페이서로서 사용함으로써, 누설 전류가 적고, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능하게 된다.
또한, 상술한 각 실시 형태나 각 변형예의 방법에 의해 형성한 Si계 절연막을 에치 스토퍼로서 사용함으로써, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능하게 된다.
상술한 각 실시 형태나 각 변형예에 의하면, 촉매 가스를 사용하는 경우에는, 저온 영역에서도 플라즈마를 사용하지 않고, 이상적 양론비의 Si계 절연막을 형성할 수 있다. 또한, 플라즈마를 사용하지 않고 Si계 절연막을 형성할 수 있는 점에서, 예를 들어 DPT의 SADP막 등, 플라즈마 대미지를 우려하는 공정에 대한 적응도 가능하게 된다.
또한, 이들 각종 박막의 성막에 사용되는 프로세스 레시피(처리 수순이나 처리 조건이 기재된 프로그램)는, 기판 처리의 내용(형성하는 박막의 막종, 조성비, 막질, 막 두께 등)에 따라 각각 개별로 준비하는(복수 준비하는) 것이 바람직하다. 그리고, 기판 처리를 개시할 때, 기판 처리의 내용에 따라, 복수의 프로세스 레시피 중에서 적정한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 구체적으로는, 기판 처리의 내용에 따라 개별로 준비된 복수의 프로세스 레시피를, 전기 통신 회선이나 당해 프로세스 레시피를 기록한 기록 매체(외부 기억 장치(123))를 통해, 기판 처리 장치가 구비하는 기억 장치(121c) 내에 미리 저장(인스톨)해 두는 것이 바람직하다. 그리고, 기판 처리를 개시할 때, 기판 처리 장치가 구비하는 CPU(121a)가, 기억 장치(121c) 내에 저장된 복수의 프로세스 레시피 중에서 기판 처리의 내용에 따라, 적정한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 이와 같이 구성함으로써, 1대의 기판 처리 장치에서 다양한 막종, 조성비, 막질, 막 두께의 박막을 범용적으로, 또한, 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 조작 부담(처리 수순이나 처리 조건의 입력 부담 등)을 저감할 수 있어, 조작 오류를 피하면서, 기판 처리를 신속히 개시할 수 있게 된다.
단, 상술한 프로세스 레시피는, 새롭게 작성하는 경우에 한정되지 않고, 예를 들어 기판 처리 장치에 이미 인스톨되어 있었던 기존의 프로세스 레시피를 변경함으로써 준비해도 된다. 프로세스 레시피를 변경하는 경우에는, 변경 후의 프로세스 레시피를, 전기 통신 회선이나 당해 프로세스 레시피를 기록한 기록 매체를 통해 기판 처리 장치에 인스톨해도 된다. 또한, 기존의 기판 처리 장치가 구비하는 입출력 장치(122)를 조작하여, 기판 처리 장치에 이미 인스톨되어 있었던 기존의 프로세스 레시피를 직접 변경하도록 해도 된다.
또한, 상술한 실시 형태 등의 성막 시퀀스에서는, SiOC막 등의 형성을 실온에서 행하는 예에 대해서도 설명했는데, 이 경우, 히터(207)에 의한 처리실(201) 내의 가열을 행할 필요가 없어, 기판 처리 장치에 히터를 설치하지 않아도 된다. 이에 의해, 기판 처리 장치의 가열계의 구성을 간소화할 수 있어, 기판 처리 장치를 보다 저렴하고 단순한 구조로 할 수 있다.
또한, 상술한 실시 형태 등에서는, 한번에 복수매의 기판을 처리하는 뱃치식의 기판 처리 장치를 사용하여 박막을 성막하는 예에 대하여 설명했지만, 본 발명은 이에 한정되지 않고, 한번에 1매 또는 복수매의 기판을 처리하는 매엽식의 기판 처리 장치를 사용하여 박막을 성막하는 경우에도, 적절하게 적용할 수 있다. 또한, 상술한 실시 형태에서는, 핫월형의 처리로를 갖는 기판 처리 장치를 사용하여 박막을 성막하는 예에 대하여 설명했지만, 본 발명은 이에 한정되지 않고, 콜드월형의 처리로를 갖는 기판 처리 장치를 사용하여 박막을 성막하는 경우에도 적절하게 적용할 수 있다.
또한, 상술한 각 실시 형태 및 각 변형예는, 적절히 조합하여 사용할 수 있다.
[실시예]
본 발명의 실시예로서, 상술한 실시 형태에서의 기판 처리 장치를 사용하여, 상술한 실시 형태에서의 성막 시퀀스와 마찬가지로, 원료 가스의 공급시와 산화 가스의 공급시의 양쪽에서 촉매 가스의 공급을 행하는 성막 시퀀스를 기본으로 하여, 웨이퍼 상에 SiOC막을 형성하고, 각각의 SiOC막에 대하여 각종 특성을 평가하였다. 이때, 가스계나 온도 조건을 적절히 상이하게 하였다.
도 14는 본 발명의 실시예의 결과를 도시하는 도면이며, (a)는 상이한 원료 가스, 산화 가스 및 촉매 가스를 사용하여 성막된 SiOC막의 조성비, 굴절률 및 습식 에칭 레이트(WER)를 나타내는 데이터 표이고, (b)는 원료 가스로서 TCDMDS 가스를 사용한 예의 그래프이고, (c)는 원료 가스로서 DCTMDS 가스를 사용한 예의 그래프이고, (d)는 원료 가스로서 BTCSM 가스를 사용한 예의 그래프이다.
즉, 도 14의 데이터 표의 좌측 단부의 각 항목은, 사용한 가스계를 나타내고 있으며, 순서대로, 원료 가스/산화 가스/촉매 가스를 나타내고 있다. 예를 들어, "TCDMDS/O3/TEA"라는 것은, 원료 가스로서 TCDMDS 가스를 공급하고, 산화 가스로서 O3 가스를 공급하고, TCDMDS 가스 공급시 및 O3 가스 공급시의 양쪽에서 촉매 가스로서 TEA 가스를 공급한 것을 나타내고 있다. 또한, 데이터 표에는, 각 SiOC막에 포함되는, 탄소(C), 질소(N), 산소(O), 실리콘(Si), 염소(Cl)의 조성비(Atomic ratio)[%], 각 SiOC막의 소정 파장의 광에서의 굴절률(R.I.), 각 SiOC막의 1% 농도의 불화수소 함유액(1% HF 수용액)에 의한 WER[Å/min]이 나타나 있다. 각 SiOC막 내의 조성비는 XPS에 의해 각 막의 표면으로부터 막 두께 방향으로 측정하였다. 조성비의 각 수치는 소정의 측정 깊이에서의 대표치이다. 각 SiOC막의 굴절률은 엘립소미터에 의해 측정하였다. 각 SiOC막의 WER은 엘립소미터에 의해 측정한 습식 에칭 전후의 SiOC막의 막 두께로부터 산출하였다. 이 WER이 낮으면 SiOC막의 HF 수용액에 대한 에칭 내성이 높은 것을 나타내고, 이 WER이 높으면 SiOC막의 HF 수용액에 대한 에칭 내성이 낮은 것을 나타낸다.
또한, 도 14의 각 그래프는 데이터 표에 나타내는 가스계 중, 좌측으로부터 순서대로, TCDMDS/O3/Piperidine, DCTMDS/O3/TEA, BTCSM/H2O/TEA로 성막된 SiOC막의 조성비를 나타내고 있다. 각 그래프의 횡축은 SiOC막에서의 측정 깊이(Depth)[a.u.]를 나타내고 있으며, 그래프의 종축측을 SiOC막의 최외측 표면으로 해서 좌측으로부터 우측으로 감에 따라 깊이가 증가하는 것을 나타내고 있다. 각 그래프의 종축은 SiOC막 내의 조성비(Atomic ratio)[%]를 나타내고 있다. 각 그래프 모두, Si의 조성비가 대략 100%가 되는 그래프의 우측 영역은, SiOC막의 하지로 되는 실리콘 웨이퍼의 내부 영역에 해당한다.
도 14의 데이터 표 및 각 그래프에 의하면, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 소정의 산화 가스와, 소정의 촉매 가스를 사용함으로써 고농도의 C를 포함하는 SiOC막이 형성되는 것을 알 수 있다. 또한, 각 막의 굴절률도 대략 일정하여, 소정의 SiOC막이 안정적으로 얻어지고 있는 것을 알 수 있다. 또한, 비교예로서 HCDS 가스를 사용하여 성막한 SiO막의 WER이 약 1000Å/min이었다. 이에 비해, 각 SiOC막 모두 WER은 충분히 낮아, 양호한 에칭 내성이 얻어졌음을 알 수 있다.
도 15는 본 발명의 실시예의 결과를 도시하는 도면이며, 소정의 원료 가스와, 상이한 산화 가스 및 촉매 가스를 사용하여 성막된 SiOC막의 성막 레이트, 굴절률, WER의 온도 의존성을 나타내는 데이터 표이다.
즉, 도 15의 데이터 표의 좌측 단부의 각 항목은, 원료 가스를 BTCSM 가스로 했을 경우에 사용한 산화 가스와 촉매 가스의 가스계를 나타내고 있으며, 순서대로, 산화 가스-촉매 가스를 나타내고 있다. 예를 들어, "H2O-TEA"라는 것은, 원료 가스로서 BTCSM 가스를 공급하고, 산화 가스로서 H2O 가스를 공급하고, BTCSM 가스 공급시 및 H2O 가스 공급시의 양쪽에서 촉매 가스로서 TEA 가스를 공급한 것을 나타내고 있다. 또한, 데이터 표의 각 난에는, 위에서부터 순차적으로, SiOC막의 성막 여부("예"/"아니오") 및 형성된 막의 성막 레이트(사이클 레이트)[Å/cycle](괄호내)와, 형성된 막의 소정 파장의 광에서의 굴절률(R.I.), 형성된 막의 1% HF 수용액에 의한 WER[Å/min]이 나타나 있다.
도 15에 의하면, 산화 가스 및 촉매 가스로서 H2O 가스 및 TEA 가스를 사용하면, 70℃에서 WER이 낮은 SiOC막이 얻어지는 것을 알 수 있다. 또한, 산화 가스 및 촉매 가스로서 O3 가스 및 TEA 가스를 사용하면, 광범위한 온도 영역에서 성막할 수 있음을 알 수 있다. 단, 50℃ 이하의 저온측에서는, 수분을 포함한 다공성 형상의 SiOC막으로 되어, WER이 높은 것을 알 수 있다. 예를 들어, 저WER을 나타내는 열산화막 등이 아몰퍼스 형상인 것에 반해, 얻어진 SiOC막이 이것보다 다공성 형상이기 때문에, 고WER으로 되어 버리는 것으로 생각된다. 또한, 100℃ 이상의 고온측에서는, C를 거의 포함하지 않는 SiO막이 되는 것을 알 수 있다. 또한, 산화 가스로서 H2O 가스나 O3 가스를 사용하고, 촉매 가스로서 피페리딘 가스를 사용하면, 50℃ 이하의 저온측에서는, 피페리딘에 의한 염이 웨이퍼 상에 퇴적되어 버려, SiOC막은 형성되지 않는 것을 알 수 있다("아니오"). 또한, 산화 가스 및 촉매 가스로서 H2O 가스 및 피리딘 가스를 사용하면, 50℃에서 WER이 낮은 SiOC막이 얻어지는 것을 알 수 있다. 이와 같은 SiOC막에서는, 웨이퍼 면 내의 막 두께 및 막질의 균일성도 양호하였다.
이러한 점에서, 도 15의 데이터 표의 범위 내에서는, 가장 저온측의 50℃에서 저WER을 나타내는 SiOC막이 얻어진 H2O 가스 및 피리딘 가스의 가스계가 가장 우수하다고 할 수 있다. 이어서, 저온측의 70℃에서 마찬가지의 저WER을 나타내는 SiOC막이 얻어진 H2O 가스 및 TEA 가스의 가스계가 우수하다고 할 수 있다. 그 다음으로, O3 가스 및 TEA 가스의 가스계가 우수하다고 할 수 있다.
도 16은 본 발명의 실시예의 결과를 도시하는 도면이며, (a)는 가스 공급을 나타내는 타이밍도이고, (b)는 BTCSM 가스 및 H2O 가스에 대한 피리딘 가스의 효과를, 성막되는 SiOC막의 성막 레이트에 의해 나타내는 그래프이다.
즉, 도 16의 그래프의 횡축은 각각 평가 샘플을 나타내고 있으며, 좌측으로부터 순서대로, BTCSM 가스 공급시 및 H2O 가스 공급시의 양쪽에서 피리딘 가스를 공급한 예(W/W), BTCSM 가스 공급시에만 피리딘 가스를 공급하고, H2O 가스 공급시에는 피리딘 가스를 공급하지 않은 예(W/WO), 및 BTCSM 가스 공급시에 피리딘 가스를 공급하지 않고, H2O 가스 공급시에만 피리딘 가스를 공급한 예(WO/W)를 나타내고 있다. 또한, 그래프의 종축은 SiOC막의 성막 레이트(D.R.)(사이클 레이트)[Å/cycle]를 나타내고 있다.
도 16에 의하면, "WO/W"에서 가장 성막 레이트가 낮고, 이어서, "W/WO"에서의 성막 레이트가 낮은 것을 알 수 있다. 한편, "W/W"에서는 비약적으로 성막 레이트가 상승하고 있는 것을 알 수 있다. 이러한 점에서, BTCSM 가스 공급시 및 H2O 가스 공급시의 양쪽에서 피리딘 가스를 공급하지 않으면, SiOC막의 성막 레이트는 매우 낮아져 버리는 것을 알 수 있다. 특히, BTCSM 가스 공급시에 피리딘 가스를 공급하지 않은 예(WO/W)에서는, 1사이클당 형성되는 SiOC층의 두께는, 자연 산화막과 동일 정도로 되어버리는 것을 알 수 있다. 이러한 점에서, BTCSM 가스 공급시 및 H2O 가스 공급시의 양쪽에서 피리딘 가스를 공급하는 것이 바람직한 것을 알 수 있다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대하여 부기한다.
(부기 1)
본 발명의 일 형태에 의하면,
기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 촉매 가스를 공급하는 공정과,
상기 기판에 대하여 산화 가스와 촉매 가스를 공급하는 공정,
을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기 2)
부기 1의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 각 공정은, 논 플라즈마의 분위기 하에서 행해진다.
(부기 3)
부기 1 또는 2의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 산화 가스와 촉매 가스를 공급하는 공정에서 공급하는 상기 촉매 가스의 공급량을 조정함으로써, 상기 박막 내의 탄소 농도를 제어한다.
(부기 4)
부기 1 내지 3 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 산화 가스와 촉매 가스를 공급하는 공정에서 공급하는 상기 산화 가스와 상기 촉매 가스의 합계 유량에 대한 상기 촉매 가스의 유량의 비를 조정함으로써, 상기 박막 내의 탄소 농도를 제어한다.
(부기 5)
부기 1 내지 4 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 박막을 형성하는 공정은, 상기 기판을 처리실 내에 수용한 상태에서 행해지고,
상기 산화 가스와 촉매 가스를 공급하는 공정에서 공급하는 상기 촉매 가스의 상기 처리실 내에서의 분압을 조정함으로써, 상기 박막 내의 탄소 농도를 제어한다.
(부기 6)
부기 1 내지 5 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 산화 가스와 촉매 가스를 공급하는 공정에서는, 상기 촉매 가스로서, 분자 구조가 각각 상이한 복수 종류의 촉매 가스 중에서 특정한 촉매 가스를 선택하여 공급함으로써, 상기 박막 내의 탄소 농도를 제어한다.
(부기 7)
부기 1 내지 6 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 산화 가스와 촉매 가스를 공급하는 공정에서는, 분자 구조가 각각 상이한 복수 종류의 촉매 가스를 각각 공급하는 복수의 공급 라인 중에서 특정한 공급 라인을 선택하여 특정한 촉매 가스를 공급함으로써, 상기 박막 내의 탄소 농도를 제어한다.
(부기 8)
부기 1 내지 7 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스와 촉매 가스를 공급하는 공정에서는, 상기 원료 가스로서, 분자 구조가 각각 상이한 복수 종류의 원료 가스 중에서 특정한 원료 가스를 선택하여 공급함으로써, 상기 박막 내의 탄소 농도를 제어한다.
(부기 9)
부기 1 내지 8 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스와 촉매 가스를 공급하는 공정에서는, 분자 구조가 각각 상이한 복수 종류의 원료 가스를 각각 공급하는 복수의 공급 라인 중에서 특정한 공급 라인을 선택하여 특정한 원료 가스를 공급함으로써, 상기 박막 내의 탄소 농도를 제어한다.
(부기 10)
부기 1 내지 9 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 박막을 형성하는 공정에서는, 상기 사이클을 복수 회 행하고,
상기 사이클을 복수 회 행할 때, 그 도중에 상기 산화 가스와 촉매 가스를 공급하는 공정에서 공급하는 상기 촉매 가스의 공급량을 변경함으로써, 상기 박막 내의 탄소 농도를 막 두께 방향으로 변화시킨다.
(부기 11)
부기 1 내지 10 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 박막을 형성하는 공정에서는, 상기 사이클을 복수 회 행하고,
상기 사이클을 복수 회 행할 때, 그 도중에 상기 산화 가스와 촉매 가스를 공급하는 공정에서 공급하는 상기 촉매 가스의 종류를 변경함으로써, 상기 박막 내의 탄소 농도를 막 두께 방향으로 변화시킨다.
(부기 12)
부기 1 내지 11 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 박막을 형성하는 공정에서는, 상기 사이클을 복수 회 행하고,
상기 사이클을 복수 회 행할 때, 그 도중에 상기 원료 가스와 촉매 가스를 공급하는 공정에서 공급하는 상기 원료 가스의 종류를 변경함으로써, 상기 박막 내의 탄소 농도를 막 두께 방향으로 변화시킨다.
(부기 13)
부기 1 내지 12 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스와 촉매 가스를 공급하는 공정 및 상기 산화 가스와 촉매 가스를 공급하는 공정에서는, 동일한 종류의 촉매 가스를 상이한 공급량으로 각각 공급한다.
(부기 14)
부기 1 내지 12 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스와 촉매 가스를 공급하는 공정 및 상기 산화 가스와 촉매 가스를 공급하는 공정에서는, 상이한 종류의 촉매 가스를 각각 공급한다.
(부기 15)
부기 1 내지 14 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스는, 알킬기 및 알킬렌기 중 적어도 어느 하나를 포함한다.
(부기 16)
부기 15의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 알킬렌기를 포함하는 상기 원료 가스는, Si-C-Si 결합 및 Si-C-C-Si 결합 중 적어도 어느 하나를 갖는다.
(부기 17)
부기 1 내지 16 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스는, 상기 Si-C 결합을 구성하는 탄소를 포함하는 알킬기 및 상기 Si-C 결합을 구성하는 탄소를 포함하는 알킬렌기 중 적어도 어느 하나를 포함한다.
(부기 18)
부기 17의 반도체 장치의 제조 방법에 있어서,
상기 알킬렌기를 포함하는 상기 원료 가스는, 상기 Si-C 결합을 그 일부에 포함하는 Si-C-Si 결합, 및 상기 Si-C 결합을 그 일부에 포함하는 Si-C-C-Si 결합 중 적어도 어느 하나를 갖는다.
(부기 19)
부기 1 내지 18 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스는, 비스(트리클로로실릴)메탄((SiCl3)2CH2) 가스, 1,2-비스(트리클로로 실릴)에탄((SiCl3)2C2H4) 가스, 1,1,2,2-테트라클로로-1,2-디메틸디실란((CH3)2Si2Cl4) 가스 및 1,2-디클로로-1,1,2,2-테트라메틸디실란((CH3)4Si2Cl2) 가스 중 적어도 어느 하나를 포함한다.
(부기 20)
부기 1 내지 19 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스는, 비스(트리클로로실릴)메탄((SiCl3)2CH2) 가스 및 1,2-비스(트리클로로실릴)에탄((SiCl3)2C2H4) 가스 중 적어도 어느 하나를 포함한다.
(부기 21)
부기 1 내지 20 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스는, 비스(트리클로로실릴)메탄((SiCl3)2CH2) 가스를 포함한다.
(부기 22)
부기 1 내지 21 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 촉매 가스는, 아민계 가스를 포함한다.
(부기 23)
부기 1 내지 22 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 촉매 가스는, 트리에틸아민((C2H5)3N) 가스, 피리딘(C5H5N) 가스, 아미노피리딘(C5H6N2) 가스, 피콜린(C6H7N) 가스, 루티딘(C7H9N) 가스, 피페라진(C4H10N2) 가스 및 피페리딘(C5H11N) 가스 중 적어도 어느 하나를 포함한다.
(부기 24)
부기 1 내지 23 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 촉매 가스는, 트리에틸아민((C2H5)3N) 가스, 피리딘(C5H5N) 가스 및 피페리딘(C5H11N) 가스 중 적어도 어느 하나를 포함한다.
(부기 25)
부기 1 내지 24 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 촉매 가스는, 피리딘(C5H5N) 가스를 포함한다.
(부기 26)
부기 1 내지 25 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 각 공정에서는, 상기 기판의 온도를 실온 이상 200℃ 이하의 온도로 한다.
(부기 27)
부기 1 내지 26 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 각 공정에서는, 상기 기판의 온도를 실온 이상 150℃ 이하의 온도로 한다.
(부기 28)
부기 1 내지 27 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 각 공정에서는, 상기 기판의 온도를 실온 이상 100℃ 이하의 온도로 한다.
(부기 29)
본 발명의 다른 형태에 의하면,
기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 촉매 가스를 공급하는 공정과,
상기 기판에 대하여 플라즈마로 여기한 산화 가스를 공급하는 공정
을 포함하는 사이클을 소정 횟수 행함으로써, 상기 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기 30)
본 발명의 또한 다른 형태에 의하면,
기판에 대하여 실리콘 및 할로겐 원소를 포함하는 원료 가스와 촉매 가스를 공급하는 공정과, 상기 기판에 대하여 산화 가스와 촉매 가스를 공급하는 공정을 포함하는 세트를 소정 횟수 행함으로써, 실리콘 및 산소를 포함하는 제1 박막을 형성하는 공정과,
상기 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와 촉매 가스를 공급하는 공정과, 상기 기판에 대하여 산화 가스와 촉매 가스를 공급하는 공정을 포함하는 세트를 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 제2 박막을 형성하는 공정
을 포함하는 사이클을 소정 횟수 행함으로써, 상기 제1 박막과 상기 제2 박막의 적층막을 상기 기판 상에 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기 31)
본 발명의 또한 다른 형태에 의하면,
기판에 대하여 실리콘, 탄소 및 질소를 포함하고 Si-N 결합을 갖는 원료 가스를 공급하는 공정과, 상기 기판에 대하여 플라즈마로 여기한 산화 가스를 공급하는 공정을 포함하는 세트를 소정 횟수 행함으로써, 실리콘 및 산소를 포함하는 제1 박막을 형성하는 공정과,
상기 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와 촉매 가스를 공급하는 공정과, 상기 기판에 대하여 산화 가스와 촉매 가스를 공급하는 공정을 포함하는 세트를 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 제2 박막을 형성하는 공정,
을 포함하는 사이클을 소정 횟수 행함으로써, 상기 제1 박막과 상기 제2 박막의 적층막을 상기 기판 상에 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기 32)
부기 30 또는 31의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 적층막을 형성하는 공정에서는, 상기 사이클을 복수회 행함으로써, 상기 제1 박막과 상기 제2 박막이 각각 복수 적층되어 이루어지는 적층막을 형성한다.
(부기 33)
본 발명의 또 다른 형태에 의하면,
기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 촉매 가스를 공급하는 공정과,
상기 기판에 대하여 산화 가스와 촉매 가스를 공급하는 공정
을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하는 기판 처리 방법이 제공된다.
(부기 34)
본 발명의 또한 다른 형태에 의하면,
기판을 수용하는 처리실과,
상기 처리실 내에 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스를 공급하는 원료 가스 공급계와,
상기 처리실 내에 산화 가스를 공급하는 산화 가스 공급계와,
상기 처리실 내에 촉매 가스를 공급하는 촉매 가스 공급계와,
상기 처리실 내의 기판에 대하여 상기 원료 가스와 촉매 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 산화 가스와 촉매 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 처리를 행하도록 상기 원료 가스 공급계, 상기 산화 가스 공급계 및 상기 촉매 가스 공급계를 제어하는 제어부
를 포함하는 기판 처리 장치가 제공된다.
(부기 35)
본 발명의 또 다른 형태에 의하면,
기판 처리 장치의 처리실 내의 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 촉매 가스를 공급하는 수순과,
상기 처리실 내의 상기 기판에 대하여 산화 가스와 촉매 가스를 공급하는 수순
을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 수순을 컴퓨터에 실행시키는 프로그램이 제공된다.
(부기 36)
본 발명의 또 다른 형태에 의하면,
기판 처리 장치의 처리실 내의 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 촉매 가스를 공급하는 수순과,
상기 처리실 내의 상기 기판에 대하여 산화 가스와 촉매 가스를 공급하는 수순,
을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 수순을 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
121 : 컨트롤러(제어부) 200 : 웨이퍼(기판)
201 : 처리실 202 : 처리로
203 : 반응관 207 : 히터
209 : 매니폴드 231 : 배기관
232a : 제1 원료 가스 공급관 232b : 제1 산화 가스 공급관
232c : 제1 촉매 가스 공급관 244 : APC 밸브(압력 조정부)

Claims (20)

  1. 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 공정과,
    상기 기판에 대하여 산화 가스와 제2 촉매 가스를 공급하는 공정
    을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하고,
    상기 산화 가스와 제2 촉매 가스를 공급하는 공정에서 공급하는 상기 제2 촉매 가스의 공급량, 상기 산화 가스와 상기 제2 촉매 가스의 합계 유량에 대한 상기 제2 촉매 가스의 유량의 비, 및 상기 제2 촉매 가스의 분압 중 적어도 하나를 조정함으로써, 상기 박막 내의 탄소 농도를 제어하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서, 상기 각 공정은, 논 플라즈마의 분위기 하에서 행해지는, 반도체 장치의 제조 방법.
  3. 삭제
  4. 삭제
  5. 삭제
  6. 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 공정과,
    상기 기판에 대하여 산화 가스와 제2 촉매 가스를 공급하는 공정
    을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하고,
    상기 산화 가스와 제2 촉매 가스를 공급하는 공정에서는, 상기 제2 촉매 가스로서, 분자 구조가 각각 상이한 복수 종류의 촉매 가스 중에서 특정한 촉매 가스를 선택하여 공급함으로써, 상기 박막 내의 탄소 농도를 제어하는, 반도체 장치의 제조 방법.
  7. 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 공정과,
    상기 기판에 대하여 산화 가스와 제2 촉매 가스를 공급하는 공정
    을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하고,
    상기 산화 가스와 제2 촉매 가스를 공급하는 공정에서는, 분자 구조가 각각 상이한 복수 종류의 촉매 가스를 각각 공급하는 복수의 공급 라인 중에서 특정한 공급 라인을 선택하여 특정한 촉매 가스를 공급함으로써, 상기 박막 내의 탄소 농도를 제어하는, 반도체 장치의 제조 방법.
  8. 제1항에 있어서, 상기 원료 가스와 제1 촉매 가스를 공급하는 공정에서는, 상기 원료 가스로서, 분자 구조가 각각 상이한 복수 종류의 원료 가스 중에서 특정한 원료 가스를 선택하여 공급함으로써, 상기 박막 내의 탄소 농도를 제어하는, 반도체 장치의 제조 방법.
  9. 제1항에 있어서, 상기 원료 가스와 제1 촉매 가스를 공급하는 공정에서는, 분자 구조가 각각 상이한 복수 종류의 원료 가스를 각각 공급하는 복수의 공급 라인 중에서 특정한 공급 라인을 선택하여 특정한 원료 가스를 공급함으로써, 상기 박막 내의 탄소 농도를 제어하는, 반도체 장치의 제조 방법.
  10. 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 공정과,
    상기 기판에 대하여 산화 가스와 제2 촉매 가스를 공급하는 공정
    을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하고,
    상기 박막을 형성하는 공정에서는, 상기 사이클을 복수 회 행하고,
    상기 사이클을 복수 회 행할 때, 그 도중에 상기 산화 가스와 제2 촉매 가스를 공급하는 공정에서 공급하는 상기 제2 촉매 가스의 공급량을 변경함으로써, 상기 박막 내의 탄소 농도를 막 두께 방향으로 변화시키는, 반도체 장치의 제조 방법.
  11. 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 공정과,
    상기 기판에 대하여 산화 가스와 제2 촉매 가스를 공급하는 공정
    을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하고,
    상기 박막을 형성하는 공정에서는, 상기 사이클을 복수 회 행하고,
    상기 사이클을 복수 회 행할 때, 그 도중에 상기 산화 가스와 제2 촉매 가스를 공급하는 공정에서 공급하는 상기 제2 촉매 가스의 종류를 변경함으로써, 상기 박막 내의 탄소 농도를 막 두께 방향으로 변화시키는, 반도체 장치의 제조 방법.
  12. 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 공정과,
    상기 기판에 대하여 산화 가스와 제2 촉매 가스를 공급하는 공정
    을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하고,
    상기 박막을 형성하는 공정에서는, 상기 사이클을 복수 회 행하고,
    상기 사이클을 복수 회 행할 때, 그 도중에 상기 원료 가스와 제1 촉매 가스를 공급하는 공정에서 공급하는 상기 원료 가스의 종류를 변경함으로써, 상기 박막 내의 탄소 농도를 막 두께 방향으로 변화시키는, 반도체 장치의 제조 방법.
  13. 제1항에 있어서, 상기 원료 가스와 제1 촉매 가스를 공급하는 공정 및 상기 산화 가스와 제2 촉매 가스를 공급하는 공정에서는, 동일한 종류의 제1 및 제2 촉매 가스를 상이한 공급량으로 각각 공급하는, 반도체 장치의 제조 방법.
  14. 제1항에 있어서, 상기 원료 가스와 제1 촉매 가스를 공급하는 공정 및 상기 산화 가스와 제2 촉매 가스를 공급하는 공정에서는, 상이한 종류의 제1 및 제2 촉매 가스를 각각 공급하는, 반도체 장치의 제조 방법.
  15. 제1항에 있어서, 상기 원료 가스는, 알킬기 및 알킬렌기 중 적어도 어느 하나를 포함하는, 반도체 장치의 제조 방법.
  16. 제1항에 있어서, 상기 원료 가스는, Si-C-Si 결합 및 Si-C-C-Si 결합 중 적어도 어느 하나를 갖는, 반도체 장치의 제조 방법.
  17. 제1항에 있어서, 상기 제1 및 제2 촉매 가스는, 아민계 가스를 포함하는, 반도체 장치의 제조 방법.
  18. 제1항에 있어서, 상기 각 공정에서는, 상기 기판의 온도를 실온 이상 200℃ 이하의 온도로 하는, 반도체 장치의 제조 방법.
  19. 기판을 수용하는 처리실과,
    상기 처리실 내의 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스를 공급하는 원료 가스 공급계와,
    상기 처리실 내의 기판에 대하여 산화 가스를 공급하는 산화 가스 공급계와,
    상기 처리실 내의 기판에 대하여 제1 및 제2 촉매 가스를 공급하는 촉매 가스 공급계와,
    상기 처리실 내의 기판에 대하여 상기 원료 가스와 제1 촉매 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대하여 상기 산화 가스와 제2 촉매 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 처리를 행하고, 상기 산화 가스와 제2 촉매 가스를 공급하는 처리에서 공급하는 상기 제2 촉매 가스의 공급량, 상기 산화 가스와 상기 제2 촉매 가스의 합계 유량에 대한 상기 제2 촉매 가스의 유량의 비, 및 상기 제2 촉매 가스의 분압 중 적어도 하나를 조정함으로써, 상기 박막 내의 탄소 농도를 제어하도록 상기 원료 가스 공급계, 상기 산화 가스 공급계 및 상기 촉매 가스 공급계를 제어하도록 구성되는 제어부
    를 포함하는 기판 처리 장치.
  20. 처리실 내의 기판에 대하여 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 수순과,
    상기 처리실 내의 상기 기판에 대하여 산화 가스와 제2 촉매 가스를 공급하는 수순,
    을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 박막을 상기 기판 상에 형성하는 수순,
    상기 산화 가스와 제2 촉매 가스를 공급하는 수순에서 공급하는 상기 제2 촉매 가스의 공급량, 상기 산화 가스와 상기 제2 촉매 가스의 합계 유량에 대한 상기 제2 촉매 가스의 유량의 비, 및 상기 제2 촉매 가스의 분압 중 적어도 하나를 조정함으로써, 상기 박막 내의 탄소 농도를 제어하는 수순
    을 컴퓨터에 실행시키는 컴퓨터 판독 가능한 기록 매체에 기록된 프로그램.
KR1020140026177A 2013-03-19 2014-03-05 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 KR102186965B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2013-057100 2013-03-19
JP2013057100A JP6155063B2 (ja) 2013-03-19 2013-03-19 半導体装置の製造方法、基板処理装置及びプログラム

Publications (2)

Publication Number Publication Date
KR20140114761A KR20140114761A (ko) 2014-09-29
KR102186965B1 true KR102186965B1 (ko) 2020-12-04

Family

ID=51552152

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140026177A KR102186965B1 (ko) 2013-03-19 2014-03-05 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램

Country Status (5)

Country Link
US (1) US9548198B2 (ko)
JP (1) JP6155063B2 (ko)
KR (1) KR102186965B1 (ko)
CN (1) CN104064498B (ko)
TW (1) TWI605496B (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6112928B2 (ja) * 2013-03-19 2017-04-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5998101B2 (ja) 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6125946B2 (ja) * 2013-08-08 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5788448B2 (ja) 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6470057B2 (ja) 2015-01-29 2019-02-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6470060B2 (ja) 2015-01-30 2019-02-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2017046921A1 (ja) 2015-09-17 2017-03-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
KR102412614B1 (ko) 2015-10-22 2022-06-23 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
JP6523186B2 (ja) * 2016-02-01 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TWI724141B (zh) 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
JP6857503B2 (ja) 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6787875B2 (ja) * 2017-12-20 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR102376804B1 (ko) * 2018-03-26 2022-03-21 에스케이하이닉스 주식회사 저유전율스페이서를 구비한 반도체장치 및 그 제조 방법
KR102541454B1 (ko) * 2018-04-26 2023-06-09 삼성전자주식회사 저유전막의 형성 방법, 및 반도체 소자의 형성방법
US20200040454A1 (en) * 2018-08-06 2020-02-06 Lam Research Corporation Method to increase deposition rate of ald process
US20200071819A1 (en) 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
JP6920262B2 (ja) * 2018-09-20 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11211243B2 (en) 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
WO2020160529A1 (en) 2019-02-01 2020-08-06 Versum Materials Us, Llc Compositions and methods using same for silicon containing films
JP7227122B2 (ja) 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7072012B2 (ja) 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
JP7305700B2 (ja) 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080299288A1 (en) * 2004-06-04 2008-12-04 Applied Microstructures, Inc. Durable, heat-resistant multi-layer coatings and coated articles
JP2011233925A (ja) 2011-07-28 2011-11-17 Renesas Electronics Corp 半導体装置の製造方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
TWI262960B (en) * 2003-02-27 2006-10-01 Samsung Electronics Co Ltd Method for forming silicon dioxide film using siloxane
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7749574B2 (en) * 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
JP5246843B2 (ja) * 2007-11-07 2013-07-24 株式会社日立国際電気 基板処理装置、ベーキング方法及び半導体装置の製造方法
KR100920033B1 (ko) * 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
JP5341358B2 (ja) * 2008-02-01 2013-11-13 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置及び基板処理方法
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR101266135B1 (ko) * 2008-06-03 2013-05-27 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
US8263502B2 (en) * 2008-08-13 2012-09-11 Synos Technology, Inc. Forming substrate structure by filling recesses with deposition material
US8647722B2 (en) * 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
JP5044579B2 (ja) * 2009-01-27 2012-10-10 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム
JP5518499B2 (ja) * 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
JP2011091362A (ja) * 2009-09-28 2011-05-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
WO2011042882A2 (en) * 2009-10-07 2011-04-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude HIGH DEPOSITION RATE OF SiO2 USING ATOMIC LAYER DEPOSITION AT EXTRA LOW TEMPERATURE
JP5421736B2 (ja) * 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
WO2012167060A2 (en) * 2011-06-03 2012-12-06 Air Products And Chemicals, Inc. Compositions and processes for depositing carbon-doped silicon-containing films
JP6030378B2 (ja) * 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080299288A1 (en) * 2004-06-04 2008-12-04 Applied Microstructures, Inc. Durable, heat-resistant multi-layer coatings and coated articles
JP2011233925A (ja) 2011-07-28 2011-11-17 Renesas Electronics Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
US9548198B2 (en) 2017-01-17
JP2014183218A (ja) 2014-09-29
TW201505074A (zh) 2015-02-01
US20140287596A1 (en) 2014-09-25
CN104064498B (zh) 2018-09-04
JP6155063B2 (ja) 2017-06-28
CN104064498A (zh) 2014-09-24
TWI605496B (zh) 2017-11-11
KR20140114761A (ko) 2014-09-29

Similar Documents

Publication Publication Date Title
KR102186965B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101670182B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR102319147B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US9673043B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, substrate processing system and recording medium
KR101555604B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체
JP6484478B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR101553481B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6242095B2 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
KR101538581B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP5957128B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6857503B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6111317B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび記録媒体

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant