KR101538581B1 - 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 - Google Patents

반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 Download PDF

Info

Publication number
KR101538581B1
KR101538581B1 KR1020140036807A KR20140036807A KR101538581B1 KR 101538581 B1 KR101538581 B1 KR 101538581B1 KR 1020140036807 A KR1020140036807 A KR 1020140036807A KR 20140036807 A KR20140036807 A KR 20140036807A KR 101538581 B1 KR101538581 B1 KR 101538581B1
Authority
KR
South Korea
Prior art keywords
gas
supplying
substrate
wafer
film
Prior art date
Application number
KR1020140036807A
Other languages
English (en)
Other versions
KR20140138019A (ko
Inventor
사토시 시마모토
요시로 히로세
아츠시 사노
츠카사 가마쿠라
다카아키 노다
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20140138019A publication Critical patent/KR20140138019A/ko
Application granted granted Critical
Publication of KR101538581B1 publication Critical patent/KR101538581B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명의 과제는 고에칭 내성을 유지하면서 우수한 애싱 내성을 갖는 박막을 형성하는 것이다. 본 발명에 따른 반도체 장치의 제조 방법은, 기판에 대해 실리콘, 탄소 및 할로겐 원소를 포함하여 Si-C 결합을 갖는 원료 가스와, 촉매 가스를 공급하는 공정과, 기판에 대해 산화 가스와 촉매 가스를 공급하는 공정과, 기판에 대해 Ⅲ족 원소 또는 V족 원소 중 어느 하나의 소정 원소를 포함하는 개질 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소, 탄소 및 소정 원소를 포함하는 박막을 기판 상에 형성하는 공정을 포함한다.

Description

반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체{SEMICONDUCTOR DEVICE MANUFACTURING METHOD, SUBSTRATE PROCESSING APPARATUS AND RECORDING MEDIUM}
본 발명은 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체에 관한 것이다.
반도체 장치의 제조 공정의 일 공정으로서, 기판에 대해, 예를 들어 실리콘을 포함하는 원료 가스나 산화 가스 등을 공급하여, 기판 상에 실리콘 산화막 등의 박막을 형성하는 공정이 행해지는 경우가 있다. 그때, 예를 들어 촉매 가스를 이용함으로써 비교적 저온에서의 성막이 가능하게 되어, 반도체 장치가 받는 열 이력 등을 개선할 수 있다.
기판 상에 상술한 바와 같은 박막을 형성할 때, 예를 들어 탄소 등을 박막에 첨가함으로써, 습식 에칭에 대한 내성을 향상시키는 등, 막질의 향상을 도모할 수 있다.
그러나, 비교적 저온의 조건 하에서는, 막 내에 충분한 양의 탄소가 도입되지 않아, 고에칭 내성의 박막이 얻어지기 어려운 경우가 있다. 또한, 탄소가 첨가된 박막은 애싱 내성이 떨어지는 경우가 있다.
본 발명의 목적은 고에칭 내성을 유지하면서 우수한 애싱 내성을 갖는 박막을 형성할 수 있는 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체를 제공하는 데 있다.
본 발명의 일 형태에 따르면, 기판에 대해 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 공정과, 상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 공정과, 상기 기판에 대해 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소, 탄소 및 상기 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 따르면, 기판을 수용하는 처리실과, 상기 처리실 내에 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스를 공급하는 원료 가스 공급계와, 상기 처리실 내에 산화 가스를 공급하는 산화 가스 공급계와, 상기 처리실 내에 제1 및 제2 촉매 가스를 공급하는 촉매 가스 공급계와, 상기 처리실 내에 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 개질 가스 공급계와, 상기 처리실 내의 기판에 대해 상기 원료 가스와 제1 촉매 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대해 상기 산화 가스와 제2 촉매 가스를 공급하는 처리와, 상기 기판에 대해 상기 개질 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소, 탄소 및 상기 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 박막을 상기 기판 상에 형성하는 처리를 행하도록 상기 원료 가스 공급계, 상기 산화 가스 공급계, 상기 촉매 가스 공급계 및 상기 개질 가스 공급계를 제어하는 제어부를 포함하는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 따르면, 처리실 내의 기판에 대해 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 수순과, 상기 처리실 내의 상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 수순과, 상기 기판에 대해 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 수순을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소, 탄소 및 상기 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 박막을 상기 기판 상에 형성하는 수순을 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
본 발명에 따르면, 고에칭 내성을 유지하면서 우수한 애싱 내성을 갖는 박막을 형성할 수 있다.
도 1은 본 발명의 제1 실시 형태에서 적절하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도로, 처리로 부분을 종단면도로 도시하는 도면이다.
도 2는 본 발명의 제1 실시 형태에서 적절하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도로, 처리로 부분을 도 1의 A-A선에 따른 단면도로 도시하는 도면이다.
도 3은 본 발명의 제1 실시 형태에서 적절하게 이용되는 기판 처리 장치의 컨트롤러의 개략 구성도로, 컨트롤러의 제어계를 블록도로 도시하는 도면이다.
도 4는 본 발명의 제1 실시 형태의 성막 시퀀스에서의 성막 플로우를 도시하는 도면이다.
도 5의 (a)는 본 발명의 제1 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이고, (b)는 그 변형예를 도시하는 도면이다.
도 6은 본 발명의 제1 실시 형태의 박막 형성 공정의 촉매 반응의 설명도이며, (a)는 스텝 1a에서의 설명도이고, (b)는 스텝 2a에서의 설명도이다.
도 7은 본 발명의 제1 실시 형태의 다른 변형예의 성막 시퀀스에서의 성막 플로우를 도시하는 도면이며, (a)는 SiOC막 형성 공정을 도시하는 도면이고, (b)는 SiOC막 개질 공정을 도시하는 도면이다.
도 8은 본 발명의 제2 실시 형태의 성막 시퀀스에서의 성막 플로우를 도시하는 도면이다.
도 9의 (a)는 본 발명의 제2 실시 형태의 성막 시퀀스에서의 가스 공급 및 RF 전력 공급의 타이밍을 도시하는 도면이고, (b)는 그 변형예를 도시하는 도면이다.
도 10의 (a) 내지 (d)는 원료 가스로서 이용되는 각종 실란의 화학 구조식을 도시하는 도면이며, 각각, BTCSM, BTCSE, TCDMDS, DCTMDS의 화학 구조식을 나타내는 도면이고, (e)는 촉매 가스로서 이용되는 각종 아민의 명칭, 화학 조성식, 화학 구조식 및 산해리상수를 나타내는 도면이다.
<제1 실시 형태>
이하에, 본 발명의 제1 실시 형태에 대해 도면을 참조하면서 설명한다.
(1) 기판 처리 장치의 전체 구성
도 1에 도시한 바와 같이, 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 갖는다. 히터(207)는 원통 형상이며, 보유 지지판으로서의 히터 베이스(도시하지 않음)에 지지됨으로써 수직으로 설치되어 있다. 히터(207)는 후술하는 바와 같이 가스를 열로 활성화(여기)시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는 히터(207)와 동심원 형상으로 반응관(203)이 배치되어 있다. 반응관(203)은, 예를 들어 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색되고 하단이 개구된 원통 형상으로 형성되어 있다. 반응관(203)의 하방에는 반응관(203)과 동심원 형상으로, 매니폴드(인렛 플랜지)(209)가 배치되어 있다. 매니폴드(209)는, 예를 들어 스테인리스 등의 금속으로 구성되고, 상단 및 하단이 개구된 원통 형상으로 형성되어 있다. 매니폴드(209)의 상단부는 반응관(203)의 하단부에 계합(係合)되어 있어, 반응관(203)을 지지하도록 구성되어 있다. 매니폴드(209)와 반응관(203) 사이에는 시일 부재로서의 O링(220a)이 설치되어 있다. 매니폴드(209)가 히터 베이스에 지지됨으로써, 반응관(203)은 수직으로 설치된 상태로 된다. 주로, 반응관(203)과 매니폴드(209)에 의해 처리 용기(반응 용기)가 구성된다. 처리 용기의 통 중공부에는 처리실(201)이 형성되어 있고, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세로 수직 방향으로 다단으로 정렬한 상태로 수용 가능하게 구성되어 있다.
처리실(201) 내에는 노즐(249a 내지 249d)이 매니폴드(209)의 측벽을 관통하도록 설치되어 있다. 노즐(249a 내지 249d)에는 가스 공급관(232a 내지 232d)이 각각 접속되어 있다. 가스 공급관(232d)에는 가스 공급관(232e, 232f)이 접속되어 있다. 이와 같이, 반응관(203)에는 4개의 노즐(249a 내지 249d)과, 복수개의 가스 공급관(232a 내지 232f)이 설치되어 있어, 처리실(201) 내에 복수 종류의 가스를 공급할 수 있도록 구성되어 있다.
가스 공급관(232a)의 상류단에는, 예를 들어 원료 가스 공급원으로서의 (SiCl3)2CH2(BTCSM) 가스 공급원(242a)이 접속되어 있다. 가스 공급관(232b)의 상류단에는, 예를 들어 산화 가스 공급원으로서의 H2O 가스 공급원(242b)이 접속되어 있다. 가스 공급관(232c)의 상류단에는, 예를 들어 촉매 가스 공급원으로서의 C5H5N(피리딘) 가스 공급원(242c)이 접속되어 있다. 가스 공급관(232a 내지 232c)에 각각 접속되는 가스 공급관(232g 내지 232i)의 상류단에는, 예를 들어 불활성 가스 공급원으로서의 N2 가스 공급원(242g 내지 242i)이 접속되어 있다. 가스 공급관(232a 내지 232c, 232g 내지 232i)에는 각 가스 공급원(242a 내지 242c, 242g 내지 242i)이 접속되는 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 매스플로우 컨트롤러(MFC)(241a 내지 241c, 241g 내지 241i) 및 개폐 밸브인 밸브(243a 내지 243c, 243g 내지 243i)가 각각 설치되어 있다. 가스 공급관(232a 내지 232c)의 밸브(243a 내지 243c)보다도 하류측에, 가스 공급관(232g 내지 232i)의 하류단이 각각 접속되어 있다.
가스 공급관(232a 내지 232c)의 선단부에는 상술한 노즐(249a 내지 249c)이 각각 접속되어 있다. 노즐(249a 내지 249c)은 도 2에 도시한 바와 같이, 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원환 형상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해 상승되도록 각각 설치되어 있다. 즉, 노즐(249a 내지 249c)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 각각 설치되어 있다. 노즐(249a 내지 249c)은 L자형의 롱 노즐로서 각각 구성되어 있고, 그들의 각 수평부는 매니폴드(209)의 측벽을 관통하도록 설치되어 있고, 그들의 각 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승되도록 설치되어 있다. 노즐(249a 내지 249c)의 측면에는 가스를 공급하는 가스 공급 구멍(250a 내지 250c)이 각각 형성되어 있다. 도 2에 도시한 바와 같이, 가스 공급 구멍(250a 내지 250c)은 반응관(203)의 중심을 향하도록 개구되어 있어, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이들 가스 공급 구멍(250a 내지 250c)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다.
가스 공급관(232d)의 상류단에는, 예를 들어 개질 가스 공급원으로서의 BCl3 가스 공급원(242d)이 접속되어 있다. 가스 공급관(232e)의 상류단에는, 예를 들어 개질 가스 공급원으로서의 PH3 가스 공급원(242e)이 접속되어 있다. 가스 공급관(232f)의 상류단에는, 예를 들어 플라즈마의 착화를 어시스트하는 가스를 공급하는 어시스트 가스 공급원으로서의 Ar 가스 공급원(242f)이 접속되어 있다. 가스 공급관(232d)에 접속되는 가스 공급관(232j)의 상류단에는, 예를 들어 불활성 가스 공급원으로서의 N2 가스 공급원(242j)이 접속되어 있다. 가스 공급관(232d 내지 232f, 232j)에는 각 가스 공급원(242d 내지 242f, 242j)이 접속되는 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 MFC(241d 내지 241f, 241j) 및 개폐 밸브인 밸브(243d 내지 243f, 243j)가 각각 설치되어 있다. 가스 공급관(232d)의 밸브(243d)보다도 하류측에, 가스 공급관(232e)과, 가스 공급관(232f)의 하류단과, 가스 공급관(232j)의 하류단이 접속되어 있다.
가스 공급관(232d)의 선단부에는 상술한 노즐(249d)이 접속되어 있다. 노즐(249d)은 가스 분산 공간인 버퍼실(237) 내에 설치되어 있다. 버퍼실(237)은 도 2에 도시한 바와 같이, 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원환 형상의 공간에, 또한 반응관(203) 내벽의 하부로부터 상부에 걸치는 부분에, 웨이퍼(200)의 적재 방향을 따라 설치되어 있다. 즉, 버퍼실(237)은 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 버퍼실(237)의 웨이퍼(200)와 인접하는 벽의 단부에는 가스를 공급하는 가스 공급 구멍(250e)이 형성되어 있다. 가스 공급 구멍(250e)은 반응관(203)의 중심을 향하도록 개구되어 있어, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이 가스 공급 구멍(250e)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되어, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다.
노즐(249d)은 도 2에 도시한 바와 같이, 버퍼실(237)의 가스 공급 구멍(250e)이 형성된 단부와 반대측의 단부에, 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해 상승되도록 설치되어 있다. 즉, 노즐(249d)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 노즐(249d)은 L자형의 롱 노즐로서 구성되어 있고, 그 수평부는 매니폴드(209)의 측벽을 관통하도록 설치되어 있고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승되도록 설치되어 있다. 노즐(249d)의 측면에는 가스를 공급하는 가스 공급 구멍(250d)이 형성되어 있다. 도 2에 도시한 바와 같이, 가스 공급 구멍(250d)은 버퍼실(237)의 중심을 향하도록 개구되어 있다. 이 가스 공급 구멍(250d)은 버퍼실(237)의 가스 공급 구멍(250e)과 마찬가지로, 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되어 있다. 이 복수의 가스 공급 구멍(250d) 각각의 개구 면적은, 버퍼실(237) 내와 처리실(201) 내의 차압이 작은 경우에는, 상류측(하부)에서부터 하류측(상부)까지, 각각 동일한 개구 면적으로 동일한 개구 피치로 하면 되지만, 차압이 큰 경우에는, 상류측에서부터 하류측을 향해 각각 개구 면적을 크게 하거나, 개구 피치를 작게 하면 된다.
본 실시 형태에서는, 가스 공급 구멍(250d) 각각의 개구 면적이나 개구 피치를, 상류측으로부터 하류측에 걸쳐 상술한 바와 같이 조절함으로써, 우선, 가스 공급 구멍(250d) 각각으로부터, 유속의 차는 있지만, 유량이 대략 동일량인 가스를 분출시킨다. 그리고, 이 가스 공급 구멍(250d) 각각으로부터 분출되는 가스를, 일단, 버퍼실(237) 내에 도입하고, 버퍼실(237) 내에서 가스의 유속차의 균일화를 행하는 것으로 하고 있다. 즉, 가스 공급 구멍(250d) 각각으로부터 버퍼실(237) 내에 분출된 가스는 버퍼실(237) 내에서 각 가스의 입자 속도가 완화된 후, 가스 공급 구멍(250e)으로부터 처리실(201) 내에 분출된다. 이에 의해, 가스 공급 구멍(250d) 각각으로부터 버퍼실(237) 내에 분출된 가스는, 가스 공급 구멍(250e) 각각으로부터 처리실(201) 내에 분출될 때에는, 균일한 유량과 유속을 갖는 가스로 된다.
이와 같이, 본 실시 형태에서의 롱 노즐을 이용한 가스 공급의 방법에서는, 반응관(203)의 내벽과, 적재된 복수매의 웨이퍼(200)의 단부로 정의되는 원환 형상의 세로로 길게 연신된 공간 내, 즉 원통 형상의 공간 내에 배치한 노즐(249a 내지 249d) 및 버퍼실(237)을 경유하여 가스를 반송하고, 노즐(249a 내지 249d) 및 버퍼실(237)에 각각 개구된 가스 공급 구멍(250a 내지 250e)으로부터 웨이퍼(200)의 근방에서 비로서 반응관(203) 내에 가스를 분출시키고 있어, 반응관(203) 내에서의 가스의 주된 흐름을 웨이퍼(200)의 표면과 평행한 방향, 즉 수평 방향으로 하고 있다. 이와 같은 구성으로 함으로써, 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있어, 각 웨이퍼(200)의 표면 상에 형성되는 막의 막 두께의 균일성을 향상시키는 효과가 있다. 또한, 웨이퍼(200)의 표면 상을 흐른 가스, 즉 반응 후의 잔류 가스는 배기구, 즉 후술하는 배기관(231)의 방향을 향해 흐르지만, 이 잔류 가스의 흐름의 방향은 배기구의 위치에 따라 적절히 특정되며, 수직 방향으로 한정되는 것은 아니다.
가스 공급관(232a)으로부터는 실리콘(Si), 탄소(C) 및 할로겐 원소[불소(F), 염소(Cl), 브롬(Br) 등]를 포함하고 Si-C 결합을 갖는 원료 가스로서, 예를 들어 Si, 알킬렌기로서의 메틸렌기 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 메틸렌기를 포함하는 클로로실란계 원료 가스가, MFC(241a), 밸브(243a), 노즐(249a)을 통해 처리실(201) 내에 공급된다. 메틸렌기를 포함하는 클로로실란계 원료 가스는 메틸렌기 및 클로로기를 포함하는 실란계 원료 가스이고, 적어도 Si와, C를 포함한 메틸렌기와, 할로겐 원소로서의 Cl를 포함하는 원료 가스이다. 가스 공급관(232a)으로부터 공급되는 메틸렌기를 포함하는 클로로실란계 원료 가스로서는, 예를 들어 메틸렌비스(트리클로로실란) 가스, 즉 비스(트리클로로실릴)메탄[(SiCl3)2CH2, 약칭: BTCSM] 가스를 이용할 수 있다.
도 10의 (a)에 도시한 바와 같이, BTCSM은 그 화학 구조식 중(1분자 중)에 알킬렌기로서의 메틸렌기를 포함한다. BTCSM에 포함되는 메틸렌기는 2개의 결합손이 각각 Si와 결합하여 Si-C-Si 결합을 이루고 있다. 원료 가스가 갖는 Si-C 결합은, 예를 들어 BTCSM에 포함되는 Si-C-Si 결합의 일부이고, BTCSM에 포함되는 메틸렌기는 이러한 Si-C 결합을 구성하는 C를 포함한다.
또한, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스에는, 예를 들어 Si, 알킬렌기로서의 에틸렌기 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 에틸렌기를 포함하는 클로로실란계 원료 가스가 포함된다. 에틸렌기를 포함하는 클로로실란계 원료 가스로서는, 예를 들어 에틸렌비스(트리클로로실란) 가스, 즉 1,2-비스(트리클로로시릴)에탄[(SiCl3)2C2H4, 약칭: BTCSE) 가스 등을 이용할 수 있다.
도 10의 (b)에 도시한 바와 같이, BTCSE는 그 화학 구조식 중(1분자 중)에 알킬렌기로서의 에틸렌기를 포함한다. BTCSE에 포함되는 에틸렌기는 2개의 결합손이 각각 Si와 결합하여 Si-C-C-Si 결합을 이루고 있다. 원료 가스가 갖는 Si-C 결합은, 예를 들어 BTCSE에 포함되는 Si-C-C-Si 결합의 일부이고, BTCSE에 포함되는 에틸렌기는 이러한 Si-C 결합을 구성하는 C를 포함한다.
또한, 알킬렌기는 일반식 CnH2n +2로 나타내는 쇄상 포화 탄화수소(알칸)로부터 수소(H) 원자를 2개 제거한 관능기이고, 일반식 CnH2n으로 나타내는 원자의 집합체이다. 알킬렌기에는 상기에 예로 든 메틸렌기나 에틸렌기 외에, 프로필렌기나 부틸렌기 등이 포함된다. 이와 같이, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스에는 Si, 알킬렌기 및 할로겐 원소를 포함하는 알킬렌할로실란계 원료 가스가 포함된다. 알킬렌할로실란계 원료 가스는 알킬렌기를 포함하는 할로실란계 원료 가스이고, 할로실란계 원료 가스에서의 Si의 결합손에 많은 할로겐 원소가 결합한 상태를 유지한 상태에서, 예를 들어 Si-Si 결합 간에 알킬렌기가 도입된 구조를 갖는 가스라고도 할 수 있다. BTCSM 가스 및 BTCSE 가스 등은 알킬렌할로실란계 원료 가스에 포함된다.
또한, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스에는, 예를 들어 Si, 알킬기로서의 메틸기 및 할로겐기로서의 클로로기를 포함하는 원료 가스인 메틸기를 포함하는 클로로실란계 원료 가스가 포함된다. 메틸기를 포함하는 클로로실란계 원료 가스는, 메틸기 및 클로로기를 포함하는 실란계 원료 가스이고, 적어도 Si와, C를 포함한 메틸기와, 할로겐 원소로서의 Cl를 포함하는 원료 가스이다. 메틸기를 포함하는 클로로실란계 원료 가스로서는, 예를 들어 1,1,2,2-테트라클로로-1,2-디메틸디실란[(CH3)2Si2Cl4, 약칭: TCDMDS] 가스 및 1,2-디클로로-1,1,2,2-테트라메틸디실란[(CH3)4Si2Cl2, 약칭: DCTMDS] 가스, 1-모노클로로-1,1,2,2,2-펜타메틸디실란[(CH3)5Si2Cl, 약칭: MCPMDS] 가스 등을 이용할 수 있다.
도 10의 (c)에 도시한 바와 같이, TCDMDS는 그 화학 구조식 중(1분자 중)에 알킬기로서의 메틸기를 2개 포함한다. TCDMDS에 포함되는 2개의 메틸기는 각 결합손이 각각 Si와 결합하여 Si-C 결합을 이루고 있다. 원료 가스가 갖는 Si-C 결합은, 예를 들어 TCDMDS에 포함되는 Si-C 결합이고, TCDMDS에 포함되는 2개의 메틸기는 이러한 Si-C 결합을 구성하는 C를 각각 포함한다.
도 10의 (d)에 도시한 바와 같이, DCTMDS는 그 화학 구조식 중(1분자 중)에 알킬기로서의 메틸기를 4개 포함한다. DCTMDS에 포함되는 4개의 메틸기는 각 결합손이 각각 Si와 결합하여 Si-C 결합을 이루고 있다. 원료 가스가 갖는 Si-C 결합은, 예를 들어 DCTMDS에 포함되는 Si-C 결합이고, DCTMDS에 포함되는 4개의 메틸기는 이러한 Si-C 결합을 구성하는 C를 각각 포함한다.
또한, 알킬기는 일반식 CnH2n +2로 나타내는 쇄상 포화 탄화수소(알칸)로부터 H 원자를 1개 제거한 관능기이고, 일반식 CnH2n +1로 나타내는 원자의 집합체이다. 알킬기에는 상기에 예로 든 메틸기 외에, 에틸기, 프로필기, 부틸기 등이 포함된다. 이와 같이, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스에는 Si, 알킬기 및 할로겐 원소를 포함하는 알킬할로실란계 원료 가스가 포함된다. 알킬할로실란계 원료 가스는 알킬기를 포함하는 할로실란계 원료 가스이고, 할로실란계 원료 가스의 일부의 할로겐기가 알킬기로 치환된 구조를 갖는 가스라고도 할 수 있다. TCDMDS 가스, DCTMDS 가스 및 MCPMDS 가스 등은 알킬할로실란계 원료 가스에 포함된다.
BTCSM 가스, BTCSE 가스, TCDMDS 가스, DCTMDS 가스, MCPMDS 가스는 1분자 중에 C, 할로겐 원소(Cl) 및 적어도 2개의 Si를 포함하고 Si-C 결합을 갖는 원료 가스라고 할 수 있다. 이 타입의 원료 가스를 이용함으로써 후술하는 바와 같이, 형성하는 박막 내에 C를 고농도로 도입하는 것이 가능해진다.
또한, 원료 가스는 기체 상태의 원료, 예를 들어 상온 상압 하에서 액체 상태인 원료를 기화함으로써 얻어지는 가스나, 상온 상압 하에서 기체 상태인 원료 등이다. 본 명세서에서 "원료"라는 용어를 이용한 경우는, "액체 상태인 액체 원료"를 의미하는 경우, "기체 상태인 원료 가스"를 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다. 따라서, 본 명세서에서 "할로실란계 원료(클로로실란계 원료)"라는 용어를 이용한 경우는, "액체 상태인 할로실란계 원료(클로로실란계 원료)"를 의미하는 경우, "기체 상태인 할로실란계 원료 가스(클로로실란계 원료 가스)"를 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다. BTCSM, BTCSE, TCDMDS, DCTMDS, MCPMDS와 같이 상온 상압 하에서 액체 상태인 액체 원료를 이용하는 경우에는, 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 원료 가스(BTCSM 가스, BTCSE 가스, TCDMDS 가스, DCTMDS 가스, MCPMDS 가스)로서 공급하게 된다.
가스 공급관(232b)으로부터는 산화 가스로서, 예를 들어 산소(O)를 포함하는 가스(산소 함유 가스)가, MFC(241b), 밸브(243b), 노즐(249b)을 통해 처리실(201) 내에 공급된다. 가스 공급관(232b)으로부터 공급되는 산화 가스로서는, 예를 들어 수증기(H2O 가스)를 이용할 수 있다. H2O 가스의 공급 시에는, 외부 연소 장치(도시하지 않음)에 산소(O2) 가스와 수소(H2) 가스를 공급하여 H2O 가스를 생성하여, 공급하는 구성으로 해도 된다.
가스 공급관(232c)으로부터는 산해리상수(이하, pKa라고도 함)가 5 내지 11 정도, 바람직하게는 5 내지 7인 촉매 가스로서, 예를 들어 고립 전자쌍을 갖는 질소(N)를 포함하는 가스(질소계 가스)가, MFC(241c), 밸브(243c), 노즐(249c)을 통해 처리실(201) 내에 공급된다. 여기서, 산해리상수(pKa)는 산의 강도를 정량적으로 나타내는 지표의 하나이고, 산으로부터 수소 이온이 방출되는 해리 반응에서의 평형 상수 Ka를 부의 상용 대수로 나타낸 것이다. 촉매 가스는 고립 전자쌍을 갖는 N을 포함함으로써, 그 촉매 작용에 의해 웨이퍼(200)의 표면, 혹은 H2O 가스 등의 산화 가스가 갖는 O-H 결합의 결합력을 약화시켜, 원료 가스나 후술하는 개질 가스의 분해를 촉진하고, 또한 H2O 가스 등에 의한 산화 반응을 촉진한다. 고립 전자쌍을 갖는 N을 포함하는 질소계 가스로서는, 예를 들어 암모니아(NH3)가 갖는 수소 원자 중 적어도 1개를 알킬기 등의 탄화수소기로 치환한 아민을 포함하는 아민계 가스를 들 수 있다. 가스 공급관(232c)으로부터 공급되는 촉매 가스로서는, 예를 들어 아민계 가스인 피리딘(C5H5N) 가스를 이용할 수 있다.
도 10의 (e)에 도시한 바와 같이, 촉매 가스로서 이용되는 각종 아민은, 예를 들어 피리딘(C5H5N, pKa=5.67) 외에, 아미노피리딘(C5H6N2, pKa=6.89), 피콜린(C6H7N, pKa=6.07), 루티딘(C7H9N, pKa=6.96), 피페라진(C4H10N2, pKa=9.80) 및 피페리딘(C5H11N, pKa=11.12) 등을 포함한다. 도 10의 (e)에 도시하는 각종 아민은 탄화수소기가 환상으로 된 환상 아민이기도 하다. 이들 환상 아민은 C와 N의 복수 종류의 원소로부터 그 환상 구조가 구성되는 복소환 화합물, 즉 질소 함유 복소환 화합물이라고도 할 수 있다. 이들 촉매 가스로서의 아민계 가스는 아민계 촉매 가스라고도 할 수 있다.
여기서, 아민계 가스는 기체 상태의 아민, 예를 들어 상온 상압 하에서 액체 상태인 아민을 기화함으로써 얻어지는 가스나, 상온 상압 하에서 기체 상태인 아민 등을 포함하는 가스이다. 본 명세서에서 "아민"이라는 용어를 이용한 경우는, "액체 상태인 아민"을 의미하는 경우, "기체 상태인 아민계 가스"를 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다. 피리딘, 아미노피리딘, 피콜린, 루티딘, 피페라진 및 피페리딘과 같이 상온 상압 하에서 액체 상태인 아민을 이용하는 경우에는 액체 상태의 아민을 기화기나 버블러 등의 기화 시스템에 의해 기화하여 아민계 가스(피리딘 가스, 아미노피리딘 가스, 피콜린 가스, 루티딘 가스, 피페라진 가스 및 피페리딘 가스)로서 공급하게 된다.
가스 공급관(232d)으로부터는 소정의 Ⅲ족 원소[붕소(B), 인듐(In) 등] 또는 V족 원소[인(P), 비소(As) 등]를 포함하는 개질 가스로서, 예를 들어 Ⅲ족 원소로서의 B를 포함하는 붕소 함유 가스(B 함유 가스)가, MFC(241d), 밸브(243d), 노즐(249d), 버퍼실(237)을 통해 처리실(201) 내에 공급된다. B 함유 가스에는 보란계 화합물을 포함하는 가스가 포함된다. 보란계 화합물을 포함하는 가스에는 모노보란(BH3) 가스나 디보란(B2H6) 가스와 같은 보란계 가스나, 이들 보란계 가스가 갖는 수소 원자(H) 중 적어도 1개를 다른 원소 등으로 치환한 보란 유도체를 포함하는 가스가 포함된다. 보란 유도체를 포함하는 가스에는, 예를 들어 H를 할로겐 원소로 치환한 할로보란계 가스 등이 포함된다. 가스 공급관(232d)으로부터 공급되는 B 함유 가스로서는, 예를 들어 모노보란이 갖는 3개의 H를 Cl로 치환한 트리클로로보란(BCl3:삼염화 붕소) 가스를 이용할 수 있다.
가스 공급관(232e)으로부터는 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스로서, 예를 들어 V족 원소로서의 인(P)을 포함하는 인 함유 가스(P 함유 가스)가, MFC(241e), 밸브(243e), 가스 공급관(232d), 노즐(249d), 버퍼실(237)을 통해 처리실(201) 내에 공급된다. P 함유 가스에는 포스핀계 화합물을 포함하는 가스가 포함된다. 포스핀계 화합물을 포함하는 가스에는 포스핀(PH3) 가스나 디포스핀(P2H4) 가스와 같은 포스핀계 가스나, 이들이 갖는 수소 원자 중 적어도 1개를 다른 원소 등으로 치환한 포스핀 유도체를 포함하는 가스가 포함된다. 가스 공급관(232e)으로부터 공급되는 P 함유 가스로서는, 예를 들어 포스핀계 가스인 포스핀(PH3) 가스를 이용할 수 있다.
여기서, 보란계 가스 및 보란 유도체를 포함하는 가스(이하, 이들을 모두 보란계 가스 등이라고도 함)는 기체 상태의 보란계 화합물, 예를 들어 상온 상압 하에서 액체 상태인 보란계 화합물을 기화함으로써 얻어지는 가스나, 상온 상압 하에서 기체 상태인 보란계 화합물 등을 포함하는 가스이다. 또한, 포스핀계 가스 및 포스핀 유도체를 포함하는 가스(이하, 이들을 모두 포스핀계 가스 등이라고도 함)라 함은, 기체 상태의 포스핀계 화합물, 예를 들어 상온 상압 하에서 액체 상태인 포스핀계 화합물을 기화함으로써 얻어지는 가스나, 상온 상압 하에서 기체 상태인 포스핀계 화합물 등을 포함하는 가스이다. 본 명세서에서 "보란계 화합물"이라는 용어를 이용한 경우는, "액체 상태인 보란계 화합물"을 의미하는 경우, "기체 상태인 보란계 화합물"을 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다. 또한, 본 명세서에서 "포스핀계 화합물"이라는 용어를 이용한 경우는, "액체 상태인 포스핀계 화합물"을 의미하는 경우, "기체 상태인 포스핀계 화합물"을 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다. 상온 상압 하에서 액체 상태인 보란계 화합물이나 포스핀계 화합물을 이용하는 경우에는, 액체 상태의 보란계 화합물이나 포스핀계 화합물을 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 보란계 화합물을 포함하는 가스 등이나 포스핀계 화합물을 포함하는 가스 등으로서 공급하게 된다.
가스 공급관(232f)으로부터는 플라즈마의 착화를 어시스트하는 어시스트 가스(착화 가스)로서, 예를 들어 아르곤(Ar) 가스가, MFC(241f), 밸브(243f), 가스 공급관(232d), 노즐(249d), 버퍼실(237)을 통해 처리실(201) 내에 공급된다.
가스 공급관(232g 내지 232j)으로부터는 불활성 가스로서, 예를 들어 질소(N2) 가스가 각각 MFC(241g 내지 241j), 밸브(243g 내지 243j), 가스 공급관(232a 내지 232d), 노즐(249a 내지 249d), 버퍼실(237)을 통해 처리실(201) 내에 공급된다. 불활성 가스로서의 N2 가스는 퍼지 가스로서도 작용한다. 또한, 가스 공급관(232j)으로부터 공급되는 불활성 가스로서의 N2 가스는 플라즈마의 착화를 어시스트하는 어시스트 가스로서도 작용하는 경우가 있다.
각 가스 공급관으로부터 상술한 바와 같은 가스를 각각 흘리는 경우, 주로, 가스 공급관(232a), MFC(241a), 밸브(243a)에 의해, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스를 공급하는 원료 가스 공급계가 구성된다. 또한, 노즐(249a), BTCSM 가스 공급원(242a)을 원료 가스 공급계에 포함하여 생각해도 된다. 원료 가스 공급계를 원료 공급계라고 칭할 수도 있다.
또한, 주로, 가스 공급관(232b), MFC(241b), 밸브(243b)에 의해, 산화 가스 공급계가 구성된다. 또한, 노즐(249b), H2O 가스 공급원(242b)을 산화 가스 공급계에 포함하여 생각해도 된다.
또한, 주로, 가스 공급관(232c), MFC(241c), 밸브(243c)에 의해, 촉매 가스 공급계가 구성된다. 또한, 노즐(249c), 피리딘 가스 공급원(242c)을 촉매 가스 공급계에 포함하여 생각해도 된다. 촉매 가스 공급계를 아민계 촉매 가스 공급계라고 칭할 수도 있다.
또한, 주로, 가스 공급관(232d, 232e), MFC(241d, 241e), 밸브(243d, 243e)에 의해, 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 개질 가스 공급계가 구성된다. 또한, 노즐(249d), 버퍼실(237), BCl3 가스 공급원(242d), PH3 가스 공급원(242e)을 개질 가스 공급계에 포함하여 생각해도 된다. 또한, 개질 가스 공급계는 각각 다른 소정 원소를 포함하는 복수 종류의 개질 가스나, 분자 구조가 각각 다른 복수 종류의 개질 가스를 각각 공급하는 복수의 공급 라인(공급계)의 집합체라고 볼 수도 있다. 즉, 개질 가스 공급계는, 주로 가스 공급관(232d), MFC(241d), 밸브(243d)에 의해 구성되는 BCl3 가스 공급 라인과, 주로 가스 공급관(232e), MFC(241e), 밸브(243e)에 의해 구성되는 PH3 가스 공급 라인의 집합체라고 할 수 있다. 이때, 개개의 공급 라인에, 노즐(249d)이나 버퍼실(237)이나, 대응하는 각 개질 가스 공급원(242d, 242e)을 포함하여 생각해도 된다.
또한, 주로, 가스 공급관(232f), MFC(241f), 밸브(243f)에 의해, 플라즈마의 착화를 어시스트하는 어시스트 가스를 공급하는 어시스트 가스 공급계가 구성된다. 또한, 노즐(249d), 버퍼실(237), Ar 가스 공급원(242f)을 어시스트 가스 공급계에 포함하여 생각해도 된다. 또한, 어시스트 가스로서의 N2 가스를 공급하는 가스 공급관(232j), MFC(241j), 밸브(243j)나, 또는 N2 가스 공급원(242j)을 어시스트 가스 공급계에 포함하여 생각해도 된다. 이때, 어시스트 가스 공급계는 분자 구조 등이 각각 상이한 복수 종류의 어시스트 가스를 각각 공급하는 복수의 공급 라인(공급계)의 집합체라고 볼 수도 있다. 즉, 어시스트 가스 공급계는, 주로 가스 공급관(232f), MFC(241f), 밸브(243f)에 의해 구성되는 Ar 가스 공급 라인과, 주로 가스 공급관(232j), MFC(241j), 밸브(243j)에 의해 구성되는 N2 가스 공급 라인의 집합체라고 할 수 있다. 이때, 개개의 공급 라인에, 노즐(249d)이나 버퍼실(237)이나, 대응하는 각 가스 공급원(242f, 242j)을 포함하여 생각해도 된다.
또한, 주로, 가스 공급관(232g 내지 232j), MFC(241g 내지 241j), 밸브(243g 내지 243j)에 의해, 불활성 가스 공급계가 구성된다. 또한, 가스 공급관(232a 내지 232d)에서의 가스 공급관(232g 내지 232j)과의 접속부로부터 하류측, 노즐(249a 내지 249d), 버퍼실(237), N2 가스 공급원(242g 내지 242j)을 불활성 가스 공급계에 포함하여 생각해도 된다. 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
또한, 원료 가스 공급계나 산화 가스 공급계나 촉매 가스 공급계 등의, 개질 가스 공급계나 어시스트 가스 공급계 이외의 공급계에 대해서도, 분자 구조 등이 각각 상이한 복수 종류의 가스를 각각 공급하는 공급 라인(공급계)을 복수 설치해도 된다.
버퍼실(237) 내에는, 도 2에 도시한 바와 같이 도전체로 이루어지고, 가늘고 긴 구조를 갖는 2개의 막대 형상 전극(269, 270)이, 반응관(203)의 하부로부터 상부에 걸쳐 웨이퍼(200)의 적층 방향을 따라 배치되어 있다. 막대 형상 전극(269, 270) 각각은 노즐(249d)과 평행하게 설치되어 있다. 막대 형상 전극(269, 270) 각각은 상부로부터 하부에 걸쳐 전극 보호관(275)에 의해 덮임으로써 보호되어 있다. 막대 형상 전극(269, 270) 중 일방은 정합기(272)를 통해 고주파 전원(273)에 접속되고, 타방은 기준 전위인 접지에 접속되어 있다. 정합기(272)를 통해 고주파 전원(273)으로부터 막대 형상 전극(269, 270) 사이에 고주파(RF) 전력을 인가함으로써, 막대 형상 전극(269, 270) 사이의 플라즈마 생성 영역(224)에 플라즈마가 생성된다. 주로, 막대 형상 전극(269, 270), 전극 보호관(275)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 플라즈마원이 구성된다. 또한, 정합기(272), 고주파 전원(273)을 플라즈마원에 포함하여 생각해도 된다. 플라즈마원은, 후술하는 바와 같이 가스를 플라즈마로 활성화(여기)시키는 활성화 기구(여기부)로서 기능한다.
전극 보호관(275)은 막대 형상 전극(269, 270) 각각을 버퍼실(237) 내의 분위기와 격리한 상태에서 버퍼실(237) 내에 삽입할 수 있는 구조로 되어 있다. 여기서, 전극 보호관(275)의 내부의 산소 농도가 외기(대기)의 산소 농도와 동일 정도이면, 전극 보호관(275) 내에 각각 삽입된 막대 형상 전극(269, 270)은 히터(207)에 의한 열로 산화되어 버린다. 따라서, 전극 보호관(275)의 내부에 N2 가스 등의 불활성 가스를 충전해 두거나, 불활성 가스 퍼지 기구를 이용하여 전극 보호관(275)의 내부를 N2 가스 등의 불활성 가스로 퍼지함으로써, 전극 보호관(275)의 내부의 산소 농도를 저감시켜, 막대 형상 전극(269, 270)의 산화를 억제할 수 있도록 구성되어 있다.
반응관(203)에는 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치되어 있다. 배기관(231)에는 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 개재하여, 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있다. APC 밸브(244)는 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐함으로써, 처리실(201) 내의 진공 배기 및 진공 배기 정지를 행할 수 있고, 또한 진공 펌프(246)를 작동시킨 상태에서, 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 밸브 개방도를 조절함으로써, 처리실(201) 내의 압력을 조정할 수 있도록 구성되어 있는 밸브이다. 주로, 배기관(231), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 또한, 진공 펌프(246)를 배기계에 포함하여 생각해도 된다. 배기관(231)은 반응관(203)에 설치하는 경우에 한정되지 않고, 노즐(249a 내지 249d)과 마찬가지로 매니폴드(209)에 설치해도 된다.
매니폴드(209)의 하방에는 매니폴드(209)의 하단 개구를 기밀하게 폐색 가능한 노구 덮개로서의 시일 캡(219)이 설치되어 있다. 시일 캡(219)은 매니폴드(209)의 하단에 수직 방향 하측으로부터 접촉되도록 구성되어 있다. 시일 캡(219)은, 예를 들어 스테인리스 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 시일 캡(219)의 상면에는 매니폴드(209)의 하단과 접촉하는 시일 부재로서의 O링(220b)이 설치되어 있다. 시일 캡(219)의 처리실(201)과 반대측에는 후술하는 보트(217)를 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)의 회전축(255)은 시일 캡(219)을 관통하여 보트(217)에 접속되어 있다. 회전 기구(267)는 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시키도록 구성되어 있다. 시일 캡(219)은 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성되어 있다. 보트 엘리베이터(115)는 시일 캡(219)을 승강시킴으로써, 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하도록 구성되어 있다. 즉, 보트 엘리베이터(115)는 보트(217) 및 보트(217)에 지지되는 웨이퍼(200)를, 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성된다.
기판 지지구로서의 보트(217)는, 예를 들어 석영이나 탄화실리콘 등의 내열성 재료로 이루어지고, 복수매의 웨이퍼(200)를 수평 자세이고, 또한 서로 중심을 정렬시킨 상태에서 정렬시켜 다단으로 지지하도록 구성되어 있다. 보트(217)의 하부에는, 예를 들어 석영이나 탄화실리콘 등의 내열성 재료로 이루어지는 단열 부재(218)가 설치되어 있어, 히터(207)로부터의 열이 시일 캡(219)측으로 전해지기 어려워지도록 구성되어 있다. 또한, 단열 부재(218)는 석영이나 탄화실리콘 등의 내열성 재료로 이루어지는 복수매의 단열판과, 이들 단열판을 수평 자세로 다단으로 지지하는 단열판 홀더에 의해 구성해도 된다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치되어 있고, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)로의 통전 상태를 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포로 되도록 구성되어 있다. 온도 센서(263)는 노즐(249a 내지 249d)과 마찬가지로 L자형으로 구성되어 있고, 반응관(203)의 내벽을 따라 설치되어 있다.
도 3에 도시한 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는 CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 통해, CPU(121a)와 데이터 교환 가능하도록 구성되어 있다. 컨트롤러(121)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)가 접속되어 있다.
기억 장치(121c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(121c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 박막 형성 등의 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피 등이, 판독 가능하게 저장되어 있다. 프로세스 레시피는 후술하는 박막 형성 공정 등의 기판 처리 공정에서의 각 수순을 컨트롤러(121)에 실행시켜, 소정의 결과를 얻을 수 있도록 조합된 것으로, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여, 간단히, 프로그램이라고도 한다. 또한, 본 명세서에서 프로그램이라는 용어를 이용한 경우는, 프로세스 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양쪽을 포함하는 경우가 있다. 또한, RAM(121b)은 CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에어리어)으로서 구성되어 있다.
I/O 포트(121d)는 상술한 MFC(241a 내지 241j), 밸브(243a 내지 243j), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 온도 센서(263), 히터(207), 정합기(272), 고주파 전원(273), 회전 기구(267), 보트 엘리베이터(115) 등에 접속되어 있다.
CPU(121a)는 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행함과 더불어, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성되어 있다. 그리고, CPU(121a)는 판독한 프로세스 레시피의 내용을 따르도록, MFC(241a 내지 241j)에 의한 각종 가스의 유량 조정 동작, 밸브(243a 내지 243j)의 개폐 동작, APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(244)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작, 정합기(272)에 의한 임피던스 조정 동작, 고주파 전원(273)의 전력 공급 등을 제어하도록 구성되어 있다.
또한, 컨트롤러(121)는 전용 컴퓨터로서 구성되어 있는 경우에 한정되지 않고, 범용 컴퓨터로서 구성되어 있어도 된다. 예를 들어, 상술한 프로그램을 저장한 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)(123)를 준비하여, 이러한 외부 기억 장치(123)를 이용하여 범용 컴퓨터에 프로그램을 인스톨하는 것 등에 의해, 본 실시 형태에 따른 컨트롤러(121)를 구성할 수 있다. 또한, 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(123)를 통해 공급하는 경우에 한정되지 않는다. 예를 들어, 인터넷이나 전용 회선 등의 통신 수단을 이용하여, 외부 기억 장치(123)를 통하지 않고 프로그램을 공급하도록 해도 된다. 기억 장치(121c)나 외부 기억 장치(123)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여, 간단히 기록 매체라고도 한다. 본 명세서에서 기록 매체라는 용어를 이용한 경우는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그 양쪽을 포함하는 경우가 있다.
(2) 박막 형성 공정
다음으로, 상술한 기판 처리 장치의 처리로(202)를 이용하여, 반도체 장치(반도체 디바이스)의 제조 공정의 일공정으로서, 기판 상에 박막을 형성(성막)하는 시퀀스예에 대해 설명한다. 또한, 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태에서는, 기판으로서의 웨이퍼(200)에 대해 실리콘(Si), 탄소(C) 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 공정과, 웨이퍼(200)에 대해 산화 가스와 제2 촉매 가스를 공급하는 공정과, 웨이퍼(200)에 대해 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘(Si), 산소(O), 탄소(C) 및 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 박막을 웨이퍼(200) 상에 형성한다.
여기서, 사이클이 "원료 가스와 제1 촉매 가스를 공급하는 공정"과 "산화 가스와 제2 촉매 가스를 공급하는 공정"과 "개질 가스를 공급하는 공정"의 각 공정을 포함한다는 것은, 1사이클 내에 각 공정이 1회 이상 포함되어 있는 것을 말한다. 따라서, 1사이클에 있어서, 각 공정을 1회씩 행해도 되고, 혹은 적어도 어느 하나의 공정을 복수 회 행해도 된다. 1사이클에 있어서, 각 공정을 동일한 횟수 행해도 되고, 상이한 횟수 행해도 된다. 사이클 내에서의 각 공정의 실시순은 임의로 결정할 수 있다. 이와 같이, 각 공정을 행하는 횟수, 순서, 조합 등을 적절히 변경함으로써, 막질이나 막 조성이나 성분 비율 등이 상이한 박막을 형성할 수 있다. 또한, "사이클을 소정 횟수 행한다"는 것은, 이 사이클을 1회 이상 행하는 것, 즉 이 사이클을 1회 행하는 것, 또는 복수 회 반복하는 것을 말한다.
예를 들어, 본 실시 형태의 1사이클은, 웨이퍼(200)에 대해 원료 가스와 제1 촉매 가스를 공급하는 공정과, 웨이퍼(200)에 대해 산화 가스와 제2 촉매 가스를 공급하는 공정을 포함하는 세트를 소정 횟수 행함으로써, Si, O 및 C를 포함하는 제1 박막을 웨이퍼(200) 상에 형성하는 공정과, 웨이퍼(200)에 대해 개질 가스를 공급하는 공정을 행함으로써, 제1 박막을, 실리콘, 산소 및 탄소에 더하여 소정의 Ⅲ족 원소 또는 V족 원소를 더 포함하는 제2 박막으로 개질하는 공정을 행하는 것을 포함한다.
또한, 본 실시 형태에서는, 각 공정은 논플라즈마의 분위기 하에서 행해진다.
또한, 본 실시 형태에서는 형성하는 박막의 조성비가 화학양론 조성, 또는 화학양론 조성과는 상이한 소정의 조성비로 되도록 하는 것을 목적으로 하여, 형성하는 박막을 구성하는 복수의 원소를 포함하는 복수 종류의 가스의 공급 조건을 제어한다. 예를 들어, 형성하는 박막을 구성하는 복수의 원소 중 적어도 1개의 원소가 다른 원소보다도 화학양론 조성에 대해 과잉으로 되도록 하는 것을 목적으로 하여, 공급 조건을 제어한다. 이하, 형성하는 박막을 구성하는 복수의 원소의 비율, 즉 박막의 조성비를 제어하면서 성막을 행하는 시퀀스예에 대해 설명한다.
이하, 본 실시 형태의 성막 시퀀스에 대해, 도 4, 도 5의 (a)를 이용하여 설명한다.
여기서는, 웨이퍼(200)에 대해 원료 가스로서의 BTCSM 가스와, 제1 촉매 가스로서의 피리딘 가스를 공급하는 공정(스텝 1a)과, 웨이퍼(200)에 대해 산화 가스로서의 H2O 가스와, 제2 촉매 가스로서의 피리딘 가스를 공급하는 공정(스텝 2a)을 포함하는 세트를 소정 횟수(n회) 행함으로써, Si, O 및 C를 포함하는 제1 박막으로서 실리콘산탄화막(SiOC막)을 웨이퍼(200) 상에 형성하는 공정과, 웨이퍼(200)에 대해 개질 가스로서의 BCl3 가스를 공급하는 공정을 행함으로써, SiOC막을, Si, O 및 C에 더하여 B를 더 포함하는 제2 박막으로서 B를 포함하는 SiOC막으로 개질하는 공정을 포함하는 사이클을 소정 횟수, 예를 들어 1회 행하는 예에 대해 설명한다.
본 성막 시퀀스에 의해, Si, O, C 및 B를 포함하는 박막으로서, B가 도프(첨가)된 SiOC막이 웨이퍼(200) 상에 형성된다. 또한, 이 B가 도프된 SiOC막을, 실리콘산탄붕화막(SiOCB막)이나 실리콘산붕탄화막(SiOBC막) 등이라고 할 수도 있다.
또한, 본 명세서에서 "웨이퍼"라는 용어를 이용한 경우는, "웨이퍼 그 자체"를 의미하는 경우나, "웨이퍼와 그 표면에 형성된 소정의 층이나 막 등의 적층체(집합체)"를 의미하는 경우(즉, 표면에 형성된 소정의 층이나 막 등을 포함하여 웨이퍼라고 칭하는 경우)가 있다. 또한, 본 명세서에서 "웨이퍼의 표면"이라는 용어를 이용한 경우는, "웨이퍼 그 자체의 표면(노출면)"을 의미하는 경우나, "웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최외측 표면"을 의미하는 경우가 있다.
따라서, 본 명세서에서 "웨이퍼에 대해 소정의 가스를 공급한다"라고 기재한 경우에는, "웨이퍼 그 자체의 표면(노출면)에 대해 소정의 가스를 직접 공급한다"는 것을 의미하는 경우나, "웨이퍼 상에 형성되어 있는 층이나 막 등에 대해, 즉 적층체로서의 웨이퍼의 최외측 표면에 대해 소정의 가스를 공급한다"는 것을 의미하는 경우가 있다. 또한, 본 명세서에서 "웨이퍼 상에 소정의 층(또는 막)을 형성한다"라고 기재한 경우에는, "웨이퍼 그 자체의 표면(노출면) 상에 소정의 층(또는 막)을 직접 형성한다"는 것을 의미하는 경우나, "웨이퍼 상에 형성되어 있는 층이나 막 등의 위, 즉 적층체로서의 웨이퍼의 최외측 표면 상에 소정의 층(또는 막)을 형성한다"는 것을 의미하는 경우가 있다.
본 명세서에서 "기판"이라는 용어를 이용한 경우도, "웨이퍼"라는 용어를 이용한 경우와 마찬가지이고, 그 경우, 상기 설명에서, "웨이퍼"를 "기판"으로 치환하여 생각하면 된다.
(웨이퍼 차지 및 보트 로드)
복수매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)되면, 도 1에 도시한 바와 같이, 복수매의 웨이퍼(200)를 지지한 보트(217)는 보트 엘리베이터(115)에 의해 들어올려져 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은 O링(220b)을 통해 매니폴드(209)의 하단을 시일한 상태로 된다.
(압력 조정 및 온도 조정)
처리실(201) 내가 원하는 압력(진공도)으로 되도록 진공 펌프(246)에 의해 진공 배기된다. 이때, 처리실(201) 내의 압력은 압력 센서(245)에 의해 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다(압력 조정). 진공 펌프(246)는 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안 항시 작동시킨 상태를 유지한다. 또한, 처리실(201) 내의 웨이퍼(200)가 원하는 온도로 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도 분포로 되도록 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)로의 통전 상태가 피드백 제어된다(온도 조정). 히터(207)에 의한 처리실(201) 내의 가열은 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안 계속해서 행해진다. 단, 후술하는 바와 같이, 실온에서 웨이퍼(200)에 대한 처리를 행하는 경우에는 히터(207)에 의한 처리실(201) 내의 가열은 행하지 않아도 된다. 계속해서, 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 개시한다. 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안 계속해서 행해진다.
(SiOC막 형성 공정)
그 후, 다음 2개의 스텝, 즉 스텝 1a, 2a를 순차 실행한다.
[스텝 1a]
(BTCSM 가스+피리딘 가스 공급)
밸브(243a)를 개방하여 가스 공급관(232a) 내에 BTCSM 가스를 흘린다. BTCSM 가스는 MFC(241a)에 의해 유량 조정되어, 가스 공급 구멍(250a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 BTCSM 가스가 공급되게 된다(BTCSM 가스 공급). 이때 동시에, 밸브(243g)를 개방하여 가스 공급관(232g) 내에 N2 가스 등의 불활성 가스를 흘린다. N2 가스는 MFC(241g)에 의해 유량 조정되어, BTCSM 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한, 밸브(243c)를 개방하여 가스 공급관(232c) 내에 피리딘 가스를 흘린다. 피리딘 가스는 MFC(241c)에 의해 유량 조정되어, 가스 공급 구멍(250c)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 피리딘 가스가 공급되게 된다(피리딘 가스 공급). 이때 동시에, 밸브(243i)를 개방하여 가스 공급관(232i) 내에 N2 가스 등의 불활성 가스를 흘린다. N2 가스는 MFC(241i)에 의해 유량 조정되어, 피리딘 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한, 노즐(249b, 249d) 내나 버퍼실(237) 내로의 BTCSM 가스 및 피리딘 가스의 침입을 방지하기 위해, 밸브(243h, 243j)를 개방하여 가스 공급관(232h, 232j) 내에 N2 가스를 흘린다. N2 가스는 가스 공급관(232b, 232d), 노즐(249b, 249d), 버퍼실(237)을 통해 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적절하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 13330㎩, 바람직하게는 133 내지 2666㎩의 범위 내의 압력이며, 예를 들어 1333㎩로 한다. MFC(241a)에 의해 제어되는 BTCSM 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241c)에 의해 제어되는 피리딘 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241g 내지 241j)에 의해 제어되는 N2 가스의 공급 유량은, 각각, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. BTCSM 가스 및 피리딘 가스를 웨이퍼(200)에 대해 공급하는 시간, 즉 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 100초, 바람직하게는 5 내지 60초의 범위 내의 시간으로 한다.
이때, 히터(207)의 온도는 웨이퍼(200)의 온도가, 예를 들어 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도로 되는 온도로 설정한다. 또한, BTCSM 가스 공급 시에, 촉매 가스를 공급하지 않는 경우에는, 웨이퍼(200)의 온도가 250℃ 미만으로 되면 웨이퍼(200) 상에 BTCSM이 화학 흡착되기 어려워져, 실용적인 성막 레이트가 얻어지지 않게 되는 경우가 있다. 본 실시 형태와 같이, 촉매 가스로서의 피리딘 가스를 공급함으로써, 웨이퍼(200)의 온도를 250℃ 미만으로 해도, 이를 해소하는 것이 가능해진다. 피리딘 가스의 존재 하에서, 웨이퍼(200)의 온도를 150℃ 이하, 나아가서는 100℃ 이하로 함으로써, 웨이퍼(200)에 가해지는 열량을 저감시킬 수 있어, 웨이퍼(200)가 받는 열 이력의 제어를 양호하게 행할 수 있다. 피리딘 가스의 존재 하에서는, 웨이퍼(200)의 온도가 실온 이상의 온도이면, 웨이퍼(200) 상에 BTCSM을 충분히 흡착시킬 수 있어, 충분한 성막 레이트가 얻어지게 된다. 따라서, 웨이퍼(200)의 온도는 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도로 하는 것이 좋다.
상술한 조건 하에서 웨이퍼(200)에 대해 BTCSM 가스를 공급함으로써, 웨이퍼(200)(표면의 하지막) 상에 제1층으로서, 예를 들어 1원자층 미만 내지 수원자층 정도의 두께의 C 및 Cl를 포함하는 실리콘 함유층(Si 함유층)이 형성된다. C 및 Cl를 포함하는 Si 함유층은 C 및 Cl를 포함하는 실리콘층(Si층)이어도 되고, BTCSM 가스의 흡착층이어도 되고, 그 양쪽을 포함하고 있어도 된다.
여기서, C 및 Cl를 포함하는 Si층은 Si에 의해 구성되고 C 및 Cl를 포함하는 연속적인 층 외에, 불연속인 층이나, 이들이 겹쳐서 생기는 C 및 Cl를 포함하는 실리콘 박막(Si 박막)도 포함하는 총칭이다. Si에 의해 구성되고 C 및 Cl를 포함하는 연속적인 층을, C 및 Cl를 포함하는 Si 박막이라고 하는 경우도 있다. C 및 Cl를 포함하는 Si층을 구성하는 Si는 C나 Cl과의 결합이 완전히 끊어져 있지 않은 것 외에, C나 Cl과의 결합이 완전히 끊어져 있는 것도 포함한다.
또한, BTCSM 가스의 흡착층은 BTCSM 가스의 가스 분자가 연속적인 흡착층 외에, 불연속인 흡착층도 포함한다. 즉, BTCSM 가스의 흡착층은 BTCSM 분자로 구성되는 1분자층 혹은 1분자층 미만의 두께의 흡착층을 포함한다. BTCSM 가스의 흡착층을 구성하는 BTCSM[(SiCl3)2CH2] 분자는, 도 10의 (a)에 화학 구조식을 나타내는 것뿐만 아니라, Si와 C의 결합이 일부 끊어진 것이나, Si와 Cl의 결합이 일부 끊어진 것도 포함한다. 즉, BTCSM 가스의 흡착층은 BTCSM 분자의 화학 흡착층이나, BTCSM 분자의 물리 흡착층을 포함한다.
또한, 1원자층 미만의 두께의 층은 불연속으로 형성되는 원자층을 의미하고 있고, 1원자층의 두께의 층은 연속적으로 형성되는 원자층을 의미하고 있다. 또한, 1분자층 미만의 두께의 층은 불연속으로 형성되는 분자층을 의미하고 있고, 1분자층의 두께의 층은 연속적으로 형성되는 분자층을 의미하고 있다. 또한, C 및 Cl를 포함하는 Si 함유층은 C 및 Cl를 포함하는 Si층과 BTCSM 가스의 흡착층의 양쪽을 포함할 수 있지만, 상술한 바와 같이, C 및 Cl를 포함하는 Si 함유층에 대해서는 "1원자층", "수원자층" 등의 표현을 이용하는 것으로 한다.
웨이퍼(200) 상에 형성되는 제1층으로서의 C 및 Cl를 포함하는 Si 함유층의 두께가 수원자층을 초과하면, 후술하는 스텝 2a에서의 산화의 작용이 제1층의 전체에 미치지 않게 된다. 또한, 웨이퍼(200) 상에 형성 가능한 제1층의 두께의 최소값은 1원자층 미만이다. 따라서, 제1층의 두께는 1원자층 미만 내지 수원자층 정도로 하는 것이 바람직하다. 또한, 제1층의 두께를 1원자층 이하, 즉 1원자층 또는 1원자층 미만으로 함으로써, 후술하는 스텝 2a에서의 산화 반응의 작용을 상대적으로 높일 수 있어, 스텝 2a에서의 산화 반응에 필요로 하는 시간을 단축할 수 있다. 스텝 1a에서의 제1층의 형성에 필요로 하는 시간을 단축할 수도 있다. 결과적으로, 1세트당 처리 시간을 단축할 수 있어, 전체적인 처리 시간을 단축하는 것도 가능해진다. 즉, 성막 레이트를 높게 하는 것도 가능해진다. 또한, 제1층의 두께를 1원자층 이하로 함으로써, 막 두께 균일성의 제어성을 높이는 것도 가능해진다.
BTCSM 가스가 자기 분해(열분해)되는 조건 하, 즉 BTCSM의 열분해 반응이 발생하는 조건 하에서는, 웨이퍼(200) 상에 Si가 퇴적됨으로써 C 및 Cl를 포함하는 Si층이 형성된다. BTCSM 가스가 자기 분해(열분해)되지 않는 조건 하, 즉 BTCSM의 열분해 반응이 발생하지 않는 조건 하에서는, 웨이퍼(200) 상에 BTCSM 가스가 흡착됨으로써 BTCSM 가스의 흡착층이 형성된다. 또한, 웨이퍼(200) 상에 BTCSM 가스의 흡착층을 형성하는 것보다도, 웨이퍼(200) 상에 C 및 Cl를 포함하는 Si층을 형성하는 쪽이, 성막 레이트를 높게 할 수 있어 바람직하다. 단, 본 실시 형태에서는, 웨이퍼(200)의 온도를, 예를 들어 150℃ 이하의 저온으로 하고 있으므로, 웨이퍼(200) 상에 C 및 Cl를 포함하는 Si층이 형성되는 것보다도, 웨이퍼(200) 상에 BTCSM 가스의 흡착층이 형성되는 쪽이, 우위로 될 가능성이 있다. 또한, 촉매 가스를 공급하지 않는 경우에는, BTCSM 가스의 흡착층에서는, 웨이퍼(200) 표면 등의 하지에 대한 결합이나 BTCSM 분자끼리의 결합이, 화학 흡착보다도 약한 물리 흡착의 상태가 우위로 되어 버릴 가능성이 있다. 즉, 촉매 가스를 공급하지 않는 경우에는, BTCSM 가스의 흡착층은 그 대부분이 BTCSM 가스의 물리 흡착층으로 구성되어 버릴 가능성이 있다.
촉매 가스로서의 피리딘 가스는, 웨이퍼(200)의 표면에 존재하는 O-H 결합의 결합력을 약화시켜, BTCSM 가스의 분해를 재촉하고, BTCSM 분자의 화학 흡착에 의한 제1층의 형성을 촉진시킨다. 즉, 도 6의 (a)에 도시한 바와 같이, 예를 들어 웨이퍼(200)의 표면에 존재하는 O-H 결합에, 촉매 가스로서의 피리딘 가스가 작용하여 O-H 사이의 결합력을 약화시킨다. 결합력이 약해진 H와 BTCSM 가스의 Cl가 반응함으로써 염화수소(HCl) 가스가 생성되어 탈리하고, Cl를 잃은 BTCSM 분자(할로겐화물)가 웨이퍼(200)의 표면에 화학 흡착한다. 즉, 웨이퍼(200)의 표면에, BTCSM 가스의 화학 흡착층이 형성된다. 피리딘 가스가 O-H 사이의 결합력을 약화시키는 것은, 피리딘 분자 중의 고립 전자쌍을 갖는 N 원자가, H를 끌어당기는 작용을 갖기 때문이다. N 원자 등을 포함하는 소정의 화합물이 H를 끌어당기는 작용의 크기는, 예를 들어 상술한 산해리상수(pKa)를 지표의 하나로 할 수 있다.
상술한 바와 같이, pKa는 산으로부터 수소 이온이 방출되는 해리 반응에서의 평형 상수 Ka를 부의 상용 대수로 나타낸 상수이고, pKa가 큰 화합물은 H를 끌어당기는 힘이 강하다. 예를 들어, pKa가 5 이상인 화합물을 촉매 가스로서 이용함으로써 BTCSM 가스의 분해를 재촉하여 제1층의 형성을 촉진시킬 수 있다. 한편, 촉매 가스의 pKa가 과도하게 크면, BTCSM 분자로부터 인발된 Cl과 촉매 가스가 결합하고, 이에 의해 발생한 성분, 즉 염화암모늄(NH4Cl) 등의 염(Salt: 이온 화합물)이 파티클로 되는 경우가 있다. 이를 억제하기 위해서는, 촉매 가스의 pKa를 11 정도 이하, 바람직하게는 7 이하로 하는 것이 바람직하다. 피리딘 가스는 pKa가 약 5.67로 비교적 커서, H를 끌어당기는 힘이 강하다. 또한, pKa가 7 이하이므로, 파티클도 발생하기 어렵다.
이상과 같이, 촉매 가스로서의 피리딘 가스를 BTCSM 가스와 함께 공급함으로써, 예를 들어 150℃ 이하의 저온 조건 하라도, BTCSM 가스의 분해를 촉진하여, BTCSM 가스의 물리 흡착층의 형성이 아니라 화학 흡착층의 형성이 우세로 되도록, 제1층을 형성할 수 있다.
또한, 이상과 같이, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스로서 BTCSM 가스를 이용함으로써, 예를 들어 150℃ 이하의 비교적 저온의 조건 하라도, 제1층 내에 C를 도입할 수 있다. 이 C를 포함하는 제1층이, 그 후에 행해지는 스텝 2a에서 산화되어, 예를 들어 C를 고농도로 포함하는 실리콘산탄화층(SiOC층)이나, 이러한 SiOC층이 적층되어 이루어지고, C를 고농도로 포함하는 SiOC막을 형성할 수 있다. 또한, SiOC층이나 SiOC막 내의 C 농도를 고정밀도로 제어할 수 있다.
(잔류 가스 제거)
제1층으로서의 C 및 Cl를 포함하는 Si 함유층이 웨이퍼(200) 상에 형성된 후, 밸브(243a)를 폐쇄하여 BTCSM 가스의 공급을 정지한다. 또한, 밸브(243c)를 폐쇄하여 피리딘 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방된 상태로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 혹은 제1층의 형성에 기여한 후의 BTCSM 가스 및 피리딘 가스를 처리실(201) 내로부터 배제한다(잔류 가스 제거). 또한, 밸브(243g 내지 243j)는 개방한 상태로 하여, 불활성 가스로서의 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 혹은 제1층의 형성에 기여한 후의 BTCSM 가스 및 피리딘 가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 2a에서 악영향이 발생하는 일은 없다. 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없고, 예를 들어 반응관(203)[처리실(201)]의 용적과 동일 정도의 양을 공급함으로써, 스텝 2a에서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스로서는, BTCSM 가스 외에, BTCSE 가스, TCDMDS 가스, DCTMDS 가스, MCPMDS 가스 등을 이용해도 된다. 촉매 가스로서는 피리딘 가스 외에, 아미노피리딘 가스, 피콜린 가스, 루티딘 가스, 피페라진 가스 및 피페리딘 가스 등의 환상 아민계 가스를 이용해도 되고, 또한 암모니아(NH3, pKa=9.2) 가스 등의 비아민계 가스를 이용해도 된다. 불활성 가스로서는 N2 가스 외에, Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 이용해도 된다.
[스텝 2a]
(H2O 가스+피리딘 가스 공급)
스텝 1a가 종료되어 처리실(201) 내의 잔류 가스를 제거한 후, 밸브(243b)를 개방하여 가스 공급관(232b) 내에 H2O 가스를 흘린다. H2O 가스는 MFC(241b)에 의해 유량 조정되어, 가스 공급 구멍(250b)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 논플라즈마의 분위기 하에서, 웨이퍼(200)에 대해 H2O 가스가 공급되게 된다(H2O 가스 공급). 이때 동시에, 밸브(243h)를 개방하여 가스 공급관(232h) 내에 불활성 가스로서의 N2 가스를 흘린다. N2 가스는 MFC(241h)에 의해 유량 조정되어, H2O 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한, 스텝 1a에서의 피리딘 가스의 공급과 마찬가지로 하여, 웨이퍼(200)에 대해 피리딘 가스를 공급한다.
또한, 노즐(249a, 249d) 내나 버퍼실(237) 내로의 H2O 가스 및 피리딘 가스의 침입을 방지하기 위해, 밸브(243g, 243j)를 개방하여 가스 공급관(232g, 232j) 내에 N2 가스를 흘린다. N2 가스는 가스 공급관(232a, 232d), 노즐(249a, 249d), 버퍼실(237)을 통해 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적절하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 13330㎩, 바람직하게는 133 내지 2666㎩의 범위 내의 압력이며, 예를 들어 1333㎩로 한다. MFC(241b)에 의해 제어되는 H2O 가스의 공급 유량은, 예를 들어 1000 내지 10000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241c)에 의해 제어되는 피리딘 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241g 내지 241j)에 의해 제어되는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. H2O 가스 및 피리딘 가스를 웨이퍼(200)에 대해 공급하는 시간, 즉 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 100초, 바람직하게는 5 내지 60초의 범위 내의 시간으로 한다. 히터(207)의 온도는 웨이퍼(200)의 온도가, 스텝 1a에서의 웨이퍼(200)의 온도와 동일한 온도대, 즉, 예를 들어 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도로 되도록 설정한다.
처리실(201) 내에 공급된 H2O 가스는 열로 활성화되어, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대해, 열로 활성화된 H2O 가스가 공급되게 된다. 즉, 처리실(201) 내에 흐르는 가스는 열적으로 활성화된 H2O 가스이고, 처리실(201) 내에는 BTCSM 가스는 흐르지 않는다. 따라서, H2O 가스는 기상 반응을 일으키는 일은 없고, 활성화된 상태에서 웨이퍼(200)에 대해 공급되어, 스텝 1a에서 웨이퍼(200) 상에 형성된 제1층(C 및 Cl를 포함하는 Si 함유층)의 적어도 일부와 반응한다. 이에 의해, 제1층은 논플라즈마로 열적으로 산화되어, Si, O 및 C를 포함하는 제2층, 즉 SiOC층으로 변화된다.
촉매 가스로서의 피리딘 가스는 H2O 가스가 갖는 O-H 결합의 결합력을 약화시켜, H2O 가스의 분해를 재촉하여, H2O 가스와 제1층의 반응을 촉진시킨다. 즉, 도 6의 (b)에 도시한 바와 같이, H2O 가스가 갖는 O-H 결합에 촉매로서의 피리딘 가스가 작용하여, O-H 사이의 결합력을 약화시킨다. 결합력이 약해진 H와, 웨이퍼(200) 상에 형성된 제1층이 갖는 Cl가 반응함으로써, 염화수소(HCl) 가스가 생성되어 탈리하고, H를 잃은 H2O 가스의 O가, Cl가 탈리하여 적어도 C의 일부가 남은 제1층의 Si와 결합한다.
또한, H2O 가스와 피리딘 가스를 공급하는 공정에서는, 원하는 막 조성 등에 따라, 공급하는 피리딘 가스의 공급량을 적절히 조정할 수 있다. 피리딘 가스의 공급량을 증가시키면 피리딘 가스의 작용이 높아져 H2O 가스의 산화력이 향상되고, Si-C 결합이 절단되어 C가 탈리하기 쉬워지고, 결과적으로 SiOC층 내의 C 농도가 저하된다. 피리딘 가스의 공급량을 저하시키면 피리딘 가스의 작용이 약해져 H2O 가스의 산화력이 저하되어, Si-C 결합이 유지되기 쉬워지고, 결과적으로 SiOC층 내의 C 농도가 높아진다. 따라서, 피리딘 가스의 공급량을 적절히 조정함으로써, SiOC층 내, 나아가서는, SiOC층이 적층되어 이루어지는 SiOC막 내의 C 농도나, 실리콘 농도(Si 농도)나, 산소 농도(O 농도) 등을 상대적으로 변화시킬 수 있다.
또한, 산화 가스와 제2 촉매 가스를 공급하는 공정에서 공급하는 촉매 가스의 공급량의 조정은 상술한 원료 가스와 제1 촉매 가스를 공급하는 공정에서 공급하는 촉매 가스의 공급량의 조정과는 독립되어 별개로 행할 수 있다. 즉, 양 공정에서의 촉매 가스의 공급량이 동일해지도록 각각 조정해도 되고, 다르도록 각각 조정해도 된다.
또한, 이때, 촉매 가스의 공급량이나 유량 등을 상이한 수치로 설정한 프로세스 레시피(처리 수순이나 처리 조건이 기재된 프로그램)를 미리 복수 준비해 둠으로써, 촉매 가스의 공급량의 조정이 용이해진다. 오퍼레이터(조작원)는 원하는 막 조성 등에 따라, 적정한 프로세스 레시피를 적절히 선택하여 성막 처리를 실행하면 된다. 따라서, 1대의 기판 처리 장치에서 다양한 조성비, 막질의 박막을 범용적으로, 또한 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 조작 부담(처리 수순이나 처리 조건의 입력 부담 등)을 저감시킬 수 있어, 조작 오류를 피하면서, 기판 처리를 신속히 개시할 수 있게 된다.
또한, 예를 들어 150℃ 이하의 저온 조건 하에서는, 수분(H2O)을 비교적 많이 포함한 SiOC층이 형성되기 쉽다. 따라서, 이와 같은 SiOC층이 적층되어 이루어지는 SiOC막 내에도, 수분 등이 많이 포함되는 경우가 있다. SiOC층이나 SiOC막 내에 포함되는 수분은, 예를 들어 산화 가스로서 이용한 H2O 가스 등에 유래된다.
(잔류 가스 제거)
그 후, 밸브(243b)를 폐쇄하여 H2O 가스의 공급을 정지한다. 또한, 밸브(243c)를 폐쇄하여 피리딘 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방된 상태로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 혹은 반응에 기여한 후의 H2O 가스나 피리딘 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다(잔류 가스 제거). 또한, 밸브(243g 내지 243j)는 개방된 상태로 하여, 불활성 가스로서의 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 혹은 제2층의 형성에 기여한 후의 H2O 가스나 피리딘 가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 1a에서 악영향이 발생하는 일은 없다. 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없고, 예를 들어 반응관(203)[처리실(201)]의 용적과 동일 정도의 양을 공급함으로써, 스텝 1a에서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
산화 가스로서는 H2O 가스 외에, 과산화수소(H2O2) 가스, 수소(H2) 가스+산소(O2) 가스, H2 가스+오존(O3) 가스 등을 이용해도 된다. 촉매 가스로서는 피리딘 가스 외에, 상기에 예로 든 각종 아민계 가스, 또는 비아민계 가스를 이용해도 된다. 불활성 가스로서는, N2 가스 외에, 상기에 예로 든 각종 희가스를 이용해도 된다.
또한, 본 발명자들에 따르면, 본 실시 형태의 가스계 및 조건 범위 내에서 종합적으로 판단하면, 각 공정을 통해, 촉매 가스로서 보다 바람직한 것은 피리딘 가스라고 생각된다. 이어서, TEA 가스가 바람직하고, 그 다음으로 피페리딘 가스가 바람직하다고 생각된다.
(소정 횟수 실시)
상술한 스텝 1a, 2a를 1세트로 하여, 이 세트를 1회 이상, 즉 소정 횟수(n회) 행함으로써, 소정 조성 및 소정 막 두께의 SiOC막을 웨이퍼(200) 상에 성막할 수 있다. 상술한 세트는 복수 회 반복하는 것이 바람직하다. 즉, 1세트당 형성하는 SiOC층의 두께를 원하는 막 두께보다도 작게 하여, 상술한 세트를 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다.
이때, 각 스텝에서의 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어함으로써, SiOC층에서의 각 원소 성분, 즉 Si 성분, O 성분 및 C 성분의 비율, 즉 Si 농도, O 농도 및 C 농도를 미세 조정할 수 있어, SiOC막의 조성비를 보다 치밀하게 제어할 수 있다.
또한, 세트를 복수 회 행하는 경우, 적어도 2세트째 이후의 각 스텝에서, "웨이퍼(200)에 대해 소정의 가스를 공급한다"라고 기재한 부분은, "웨이퍼(200) 상에 형성되어 있는 층에 대해, 즉 적층체로서의 웨이퍼(200)의 최외측 표면에 대해 소정의 가스를 공급한다"는 것을 의미하고 있다. 또한, "웨이퍼(200) 상에 소정의 층을 형성한다"라고 기재한 부분은, "웨이퍼(200) 상에 형성되어 있는 층의 위, 즉 적층체로서의 웨이퍼(200)의 최외측 표면 상에 소정의 층을 형성한다"는 것을 의미하고 있다. 이 점은 상술한 바와 같다. 또한, 이 점은 후술하는 변형예나 다른 실시 형태에서 세트나 사이클을 복수 회 행하는 경우의 설명에서도 마찬가지이다.
(SiOC막 개질 공정)
이상과 같이 형성된 SiOC막은, 예를 들어 150℃ 이하의 저온 조건 하에서 형성된 막이지만, 우수한 에칭 내성이나 저유전율을 갖는다. 그러나, SiOC막은 애싱 내성이 떨어지는 경우가 있고, O2 플라즈마 등을 이용한 애싱 등에 의해 SiOC막의 에칭 내성이 손상되어 버리는 경우가 있다. 한편, SiOC막 등의 박막에서는, 막을 투과하는 광에 대해 소정의 굴절률이나 감쇠 계수(흡수 계수)를 갖는 광학 특성이 요구되는 경우가 있다.
따라서, 본 실시 형태에서는, 개질 가스로서의 BCl3 가스에 의해 SiOC막을 B를 포함하는 SiOC막으로 개질하는 공정을 행하여, 고애싱 내성과 우수한 광학 특성을 갖는 박막을 형성한다.
(압력 조정 및 온도 조정)
처리실(201) 내가 원하는 압력(진공도)으로 되도록, APC 밸브(244)를 피드백 제어하면서, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기한다(압력 조정). 또한, 처리실(201) 내의 웨이퍼(200)가 원하는 온도로 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도 분포로 되도록, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)로의 통전 상태가 피드백 제어된다(온도 조정). 이 공정에서도, 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 계속해 둔다.
(BCl3 가스 공급)
밸브(243d)를 개방하여 가스 공급관(232d) 내에 BCl3 가스를 흘린다. BCl3 가스는 MFC(241d)에 의해 유량 조정되어, 가스 공급 구멍(250d)으로부터 버퍼실(237) 내에 공급되고, 또한 가스 공급 구멍(250e)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 BCl3 가스가 공급되게 된다(BCl3 가스 공급). 이때 동시에, 밸브(243j)를 개방하여 가스 공급관(232j) 내에 N2 가스 등의 불활성 가스를 흘린다. N2 가스는 MFC(241j)에 의해 유량 조정되어, BCl3 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한, 노즐(249a 내지 249c) 내로의 BCl3 가스의 침입을 방지하기 위해, 밸브(243g 내지 243i)를 개방하여, 가스 공급관(232g 내지 232i) 내에 N2 가스를 흘린다. N2 가스는 가스 공급관(232a 내지 232c), 노즐(249a 내지 249c)을 통해 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적절하게 조정하여 처리실(201) 내의 압력을, 예를 들어 대기압 미만의 압력, 바람직하게는 1 내지 13330㎩(0.0075 내지 100Torr), 보다 바람직하게는 133 내지 2666㎩(1 내지 20Torr)의 범위 내의 압력이며, 예를 들어 1333㎩(10Torr)로 한다. MFC(241d)에 의해 제어되는 BCl3 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241g 내지 241j)에 의해 제어되는 N2 가스의 공급 유량은, 각각, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. BCl3 가스를 웨이퍼(200)에 대해 공급하는 시간은, 예를 들어 1 내지 120분, 바람직하게는 10 내지 120분의 범위 내의 시간으로 한다.
이때, 히터(207)의 온도는 웨이퍼(200)의 온도가, 예를 들어 상술한 SiOC막을 형성하는 공정에서의 웨이퍼(200)의 온도보다도 높은 온도로 되는 온도로 설정한다. 구체적으로는, 웨이퍼(200)의 온도를 200℃ 이상 900℃ 이하, 바람직하게는 200℃ 이상 700℃ 이하, 보다 바람직하게는 200℃ 이상 600℃ 이하의 범위 내의 온도이며, 예를 들어 600℃로 되는 온도로 설정한다. 이와 같은 온도 범위는, 예를 들어 웨이퍼(200)가 받는 열 부하나 열 이력 등을 고려한 후 결정된다. 즉, 웨이퍼(200)의 온도가 900℃를 초과하면 열 부하가 지나치게 커져, 웨이퍼(200) 상에 형성되는 반도체 디바이스의 전기 특성 등에 영향을 미칠 수도 있다. 웨이퍼(200)의 온도를 적어도 900℃ 이하로 함으로써, 이 열 부하에 의한 전기 특성 등으로의 영향을 억제하는 것이 가능해진다. 구체적으로는, 열 처리 대상의 SiOC막이 형성된 웨이퍼(200)가 메모리 디바이스용인 경우에는, 900℃ 정도의 열까지 견딜 수 있다. 또한, 이러한 웨이퍼(200)가 로직 디바이스용이라도, 700℃ 정도의 열까지 견딜 수 있다. 웨이퍼(200)의 온도를 또한 600℃ 이하로 하면, 보다 확실하게 디바이스 구조 등의 열 손상을 피하는 것이 용이해진다. 한편, 웨이퍼(200)의 온도가 200℃ 미만으로 되어 버리면, SiOC막의 개질의 효과가 저하되어, BCl3 가스의 공급 시간, 즉 개질 처리의 시간이 장기화되어, 생산성이 저하되어 버린다. 웨이퍼(200)의 온도를 200℃ 이상으로 함으로써 SiOC막의 개질이 적절하게 촉진되어, 개질 처리의 시간을 실용적인 처리 시간 내로 할 수 있다. 따라서, 웨이퍼(200)의 온도는 200℃ 이상 900℃ 이하, 바람직하게는 200℃ 이상 700℃ 이하, 보다 바람직하게는 200℃ 이상 600℃ 이하의 범위 내의 온도로 하는 것이 좋다.
처리실(201) 내에 공급된 BCl3 가스는 열로 활성화되어, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해, 열로 활성화된 BCl3 가스가 공급되게 된다. 즉, 처리실(201) 내에 흐리는 가스는 열적으로 활성화된 BCl3 가스이고, 처리실(201) 내에는 BTCSM 가스나 H2O 가스는 흐르지 않는다. 따라서, BCl3 가스는 기상 반응을 일으키는 일은 없고, 활성화된 상태에서 웨이퍼(200)에 대해 공급되고, 스텝 1a, 2a를 소정 횟수 행함으로써 웨이퍼(200) 상에 형성된 제1 박막(SiOC막)의 적어도 일부와 반응한다. 이에 의해, SiOC막은 논플라즈마로 열적으로 개질되어, Si, O, C 및 B를 포함하는 제2 박막, 즉 B를 포함하는 SiOC막으로 변화된다.
이때, 상기와 같이 웨이퍼(200)의 온도를 비교적 높은 온도로 하고 있으므로, BCl3 가스와 SiOC막의 반응이 촉진되어, B 성분을 SiOC막 내까지 넣을 수 있다. 또한, 웨이퍼(200)의 온도를, 상술한 SiOC막을 형성하는 공정에서의 웨이퍼(200)의 온도보다도 높은 온도로 하고 있으므로, 상술한 바와 같이, SiOC막이 수분을 많이 포함하는 경우에는, 막 내로부터 수분이 탈리하기 쉬워진다. SiOC막의 수분이 빠진 부분에는 미소한 구멍(포어), 즉 미소한 공간이 생겨, SiOC막은 다공성 형상의 막으로 된다. 이와 같은 수분이 빠진 구멍에 B가 들어감으로써, B 성분이 한층 더 SiOC막 내에 도입되기 쉬워져, SiOC막의 개질이 막의 대략 전체에 미치게 된다. 이때, SiOC막 내에 도입된 B 중 적어도 일부가, 막 내의 성분, 예를 들어 Si 등과 Si-B 결합 등을 형성하고 있어도 된다.
또한, SiOC막의 개질 처리는, 예를 들어 상술한 온도 조정에 의해 웨이퍼(200)의 온도가 원하는 온도까지 승온된 후, 웨이퍼(200)의 온도가 이러한 원하는 온도에서 안정적으로 유지된 상태에서 행해진다. 단, 상술한 웨이퍼(200)의 온도를 조정하는 공정에서 웨이퍼(200)를 승온시킬 때, 임의의 타이밍에서 웨이퍼(200)에 대한 BCl3 가스의 공급을 개시하여, SiOC막 개질 공정을 개시해도 된다. 혹은, 후술하는 처리실(201) 내를 퍼지하는 공정에서 행하는 웨이퍼(200)의 강온을 BCl3 가스의 공급 중에 개시하여, 웨이퍼(200)를 강온시키면서, SiOC막 개질 공정을 계속해도 된다. 따라서, SiOC막을 개질하는 공정은, 주로, 웨이퍼(200)의 온도가 소정 온도로 유지된 상태에서 웨이퍼(200)에 대해 BCl3 가스의 공급을 행하고 있는 기간을 가리킨다. 이때, 웨이퍼(200)의 온도를 조정(승온)하는 공정 및 웨이퍼(200)의 온도를 강온하는 공정 중 적어도 일부의 기간에 BCl3 가스의 공급을 행함으로써, 이들 기간을 SiOC막을 개질하는 공정에 포함하도록 해도 된다. 단, 상술한 바와 같이 온도 조정된 원하는 온도는 SiOC막 내에 B를 도입하는 데 적합한 온도이다. 따라서, 예를 들어 웨이퍼(200)의 승온 중 또는 강온 중의 이보다 낮은 온도에서는, SiOC막 내로의 B의 도입이 제한되거나, 혹은 전혀 일어나지 않아, 개질 처리가 거의 진행되지 않는 경우가 있다. 따라서, 개질 처리는 웨이퍼(200)를 상기 원하는 온도로 유지하여, 일정 온도에서 행하는 것이 보다 바람직하다. 이에 의해, B의 SiOC막 내로의 도입 속도나 도입량도 안정되어, 보다 양질이고 안정된 특성을 갖는 박막이 얻어진다.
이상과 같이, SiOC막을, B를 포함하는 SiOC막으로 개질함으로써, 애싱 내성이 높은 박막을 얻을 수 있다. 또한, SiOC막 내에 B가 함유됨으로써, 투과광에 있어서의 박막의 굴절률 및 감쇠 계수가 높아져, 우수한 광학 특성을 갖는 박막을 얻을 수 있다. BCl3 가스 공급 시의 처리실(201) 내의 압력, 웨이퍼(200)의 온도, BCl3 가스의 공급 유량 및 공급 시간 등을 조정함으로써, 막 내에 함유시키는 B 농도를 제어하여 박막의 광학 특성을 더욱 제어할 수도 있다.
(잔류 가스 제거 및 퍼지)
그 후, 밸브(243d)를 폐쇄하여 BCl3 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방된 상태로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 혹은 반응에 기여한 후의 BCl3 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다(잔류 가스 제거). 또한, 밸브(243g 내지 243j)는 개방된 상태로 하여, 불활성 가스로서의 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 혹은 SiOC막의 개질에 기여한 후의 BCl3 가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다(퍼지).
이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 대기압 복귀를 안전하게 행할 수 있다. 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없고, 예를 들어 반응관(203)[처리실(201)]의 용적과 동일 정도의 양을 공급함으로써, 대기압 복귀에 있어서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스로서는, Ⅲ족 원소로서의 B를 포함하는 가스로서 BCl3 가스 외에, 모노클로로보란(BClH2) 가스, 디클로로보란(BCl2H) 가스, 트리플루오로보란(BF3) 가스, 트리브로모보란(BBr3) 가스 등의 할로보란계 가스나, 모노보란(BH3) 가스, 디보란(B2H6) 가스 등의 보란계 가스 등을 이용해도 된다. 불활성 가스로서는 N2가스 외에, 상기에 예로 든 각종 희가스를 이용해도 된다.
(대기압 복귀)
처리실(201) 내가 불활성 가스로 퍼지된 후에도 밸브(243g 내지 243j)를 개방한 상태로 하여, 가스 공급관(232g 내지 232j) 각각으로부터 불활성 가스로서의 N2 가스를 처리실(201) 내에 계속해서 공급함으로써, 처리실(201) 내의 분위기가 불활성 가스로 치환되고(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
또한, 웨이퍼(200)의 온도가, 예를 들어 200℃ 미만, 바람직하게는 실온 정도의 온도로 되도록 웨이퍼(200)를 강온시킨다(강온 공정). 즉, 히터(207)로의 통전 상태를 조정하거나, 혹은 히터(207)로의 통전을 정지하여, 웨이퍼(200)의 온도를 저하시킨다. 이러한 웨이퍼(200)의 강온을, 상기의 퍼지 및 대기압 복귀와 병행하게 행함으로써, N2 가스 등의 퍼지 가스의 냉각 효과에 의해, 보다 단시간에 웨이퍼(200)의 온도를 소정 온도까지 저하시킬 수 있다. 단, 상술한 바와 같이, 웨이퍼(200)의 온도를 저하시키는 강온 공정을 BCl3 가스 공급 공정의 기간 중에 개시해도 된다.
(보트 언로드 및 웨이퍼 디스차지)
그 후, 보트 엘리베이터(115)에 의해 시일 캡(219)이 하강되어, 매니폴드(209)의 하단이 개구됨과 더불어, 처리 종료된 웨이퍼(200)가 보트(217)에 지지된 상태에서 매니폴드(209)의 하단으로부터 반응관(203)의 외부로 반출(보트 언로드)된다. 그 후, 처리 종료된 웨이퍼(200)는 보트(217)로부터 취출된다(웨이퍼 디스차지).
(3) 본 실시 형태에 따른 효과
본 실시 형태에 따르면, 이하에 나타내는 1개 또는 복수의 효과를 발휘한다.
(a) 본 실시 형태의 성막 시퀀스에 따르면, SiOC막 형성 공정의 스텝 1a에서 웨이퍼(200)에 대해 BTCSM 가스와 피리딘 가스를 공급한다. 이와 같이, Si, C, Cl를 포함하고 Si-C 결합을 갖는 원료 가스, 특히 1분자 중에 C, Cl 및 적어도 2개의 Si를 포함하고 Si-C 결합을 갖는 원료 가스를 이용함으로써, 예를 들어 SiOC층 내에 C를 고농도로 도입할 수 있다. 결과적으로, C 농도가 높은 SiOC막을 형성하는 것이 가능해진다.
여기서, 저온 조건 하에서 촉매 가스를 이용하여 얻어지는 SiO막에서는, 1% 농도의 불산(1% HF 수용액)에 대한 습식 에칭 레이트(이후, WER이라고도 함)가, 예를 들어 약 600Å/min이다. 저온 조건 하에서 플라즈마를 이용하여 얻어지는 SiO막이라도, 이러한 WER는, 예를 들어 약 200Å/min이다. 산화로 내에서 실리콘 웨이퍼를 열 산화하여 얻어지는 열 산화막에 있어서, WER이, 예를 들어 약 60Å/min인 것으로부터 보면, 저온 조건 하에서 성막된 SiO막의 WER은 3배 이상 높다. 이와 같이, 높은 수치의 WER은 이들 SiO막의 에칭 내성이, 예를 들어 열 산화막보다 뒤떨어져 있는 것을 나타낸다. 에칭 내성의 향상을 위해서는, 예를 들어 C 등을 포함하는 SiO막, 즉 SiOC막을 형성하는 것이 바람직하다.
이 경우, 비교적 고온에서의 성막이면, SiOC막은, 예를 들어 이하와 같은 방법으로 형성할 수 있다. 즉, 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스 등을 이용하여 Si 함유층을 형성하는 공정이나, 이를 산화 가스로 산화하여 실리콘 산화층(SiO층)으로 하는 공정에 더하여, 예를 들어 프로필렌(C3H6) 가스 등의 탄화수소계 가스를 플라즈마로 여기하여 웨이퍼(200)에 대해 공급하는 공정을 마련한다. 이에 의해, Si 함유층 또는 SiO층 내에 C가 도입되어 SiOC층이 형성되고, 결과적으로 SiOC막을 형성할 수 있다. 그러나, 본 실시 형태와 같이, 예를 들어 150℃ 이하의 비교적 저온에서 성막을 행할 때에는, 층 내에 C를 도입하는 것이 어려워, SiOC막을 형성하는 것이 곤란하다. 즉, 형성되는 박막에 있어서, 충분한 C 농도가 얻어지지 않아, 예를 들어 C를 거의 포함하지 않는 저에칭 내성의 SiO막이 형성되어 버리는 경우가 있다.
따라서, 본 실시 형태에서는, BTCSM 가스를 원료 가스로서 이용한다. 이에 의해, 웨이퍼(200) 상에 초기층으로서 제1층을 형성하는 단계에서 제1층 내에 C를 도입할 수 있어, 충분한 C 농도를 갖는 SiOC막을 형성할 수 있다. 또한, SiOC막 s내의 C 농도를 고정밀도로 제어할 수 있다. 따라서, 예를 들어 에칭 내성이 높고, 유전율이 낮은 SiOC막을 얻을 수 있다.
(b) 또한, 본 실시 형태의 성막 시퀀스에 따르면, SiOC막 개질 공정에서, 웨이퍼(200)에 대해 BCl3 가스를 공급하여, SiOC막을, B를 포함하는 SiOC막으로 개질한다. 이에 의해, SiOC막의 고에칭 내성 및 저유전율을 유지하면서, 고애싱 내성을 갖는 박막을 얻는 것이 가능해진다.
막 내에 C를 포함하는 SiOC막은 애싱 내성이 낮은 것이 있다. 또한, 그 때문에, O2 플라즈마 등을 이용한 애싱 등에 의해 SiOC막의 에칭 내성이 저하되어 버리는 경우가 있다. 이는 O2 플라즈마 등이 갖는 강한 산화력에 의해, SiOC막의 산화가 더 진행하여, 막 내에 많은 C-O 결합이 형성되기 때문이라고 생각된다. O와 결합한 C는 CO 가스나 CO2 가스로 되어 SiOC 막 내로부터 용이하게 탈리해 버린다. 따라서, 애싱에 의해 SiOC막 내의 C 농도가 저하되어, 저에칭 내성의 막으로 되어 버린다고 생각된다.
여기서, 예를 들어 SiOC막 내에 새로운 원소를 도입함으로써, 막 내에서의 C-O 결합의 형성을 억제하여 애싱 내성을 향상시키는 방법이 생각된다. 막 내에 용이하게 도입 가능한 원소로서는, 예를 들어 질소(N) 등이 생각된다. 그러나, N을 포함하는 박막, 예를 들어 SiOCN막은, 예를 들어 SiOC막에 비해 유전율이 높고, 또한 핫 인산에 대한 내성이 뒤떨어지는 것이 알려져 있다.
따라서, 본 실시 형태에서는, BCl3 가스를 개질 가스로서 이용하여 SiOC막 내에 B를 도입한다. 이에 의해, Si, O, C 각각의 결합 상태가 개질 전의 SiOC막과는 변화되어, O2 플라즈마 등을 이용한 애싱 시에 막 내에 C-O 결합이 형성되기 어렵고, 또한 막 내로부터 C가 탈리하는 것을 억제할 수 있다. 따라서, 예를 들어 개질 공정을 행하기 전의 B를 포함하지 않는 SiOC막에 비해, 박막의 애싱 내성, 즉 산화 내성을 향상시킬 수 있다. 즉, 예를 들어 막 내에 N을 도입하지 않고, SiOC막이 갖는 고에칭 내성 및 저유전율을 유지하면서, 고애싱 내성을 갖는 박막을 얻는 것이 가능해진다. 또한, 이에 의해, 애싱에 의한 박막의 에칭 내성의 열화를 억제하는 것이 가능해진다.
(c) 또한, 본 실시 형태의 성막 시퀀스에 따르면, SiOC막 개질 공정에서, SiOC막을 개질하여 B를 포함하는 SiOC막으로 한다. 이에 의해, 예를 들어 개질 공정을 행하기 전의 B를 포함하지 않는 SiOC막에 비해, 투과광에 있어서의 박막의 굴절률 및 감쇠 계수(흡수 계수)를 함께 높일 수 있다.
SiOC막 등의 박막은, 예를 들어 하드 마스크로서 이용되는 경우가 있고, 이 경우, 막을 투과하는 광에 대해 소정의 굴절률이나 감쇠 계수를 나타내는 우수한 광학 특성이 요구되는 경우가 있다. 예를 들어, 미세화가 진행되는 선단 디바이스 등에서는 다층 하드 마스크가 다용되고 있고, 포토리소그래피 공정에서는, 일부에 패턴이 형성된 다층 하드 마스크나 그 하지막에 대해, 정확하게 레지스트 패턴을 중첩하는 기술이 중요해진다. 레지스트 패턴의 중첩은, 예를 들어 다층 하드 마스크에 레이저광을 투과시켜, 하지막 등에 설치한 얼라인먼트 마크를 확인함으로써 행해진다. 따라서, 다층 하드 마스크를 구성하는 각 층에 있어서 레이저광의 반사나 감쇠(흡수)를 억제하기 위해, 각 층의 굴절률, 감쇠 계수, 층 두께 등이 면밀하게 규정되어 있다.
본 실시 형태에서는, B를 포함하는 SiOC막 내의 B 농도를 조정함으로써 굴절률 및 감쇠 계수를 제어하여, 굴절률 및 감쇠 계수를 원하는 값으로 할 수 있다. 즉, 굴절률 및 감쇠 계수의 제어성이 높은 우수한 광학 특성의 박막을 얻을 수 있다. 따라서, 이러한 박막이, 예를 들어 다층 하드 마스크 등에 적용되는 경우, 레지스트 패턴의 위치 정렬 정밀도를 향상시킬 수 있다. 또한, 원하는 광학 특성에 따른 다층 하드 마스크의 각 층의 조합의 폭을 넓힐 수 있다.
그런데, 트랜지스터나, 차세대 메모리로서, RRAM(등록 상표)이나 MRAM이 개발되어 있다. 이들 차세대 메모리에 이용하는 박막에는 저온 성막, 저WER(고에칭 내성), 저유전율 등을 만족시키는 것이 요구된다. 이와 같은 박막으로서는, 예를 들어 실리콘 질화막(SiN막)에 C를 첨가한 실리콘탄질화막(SiCN막)이나, 이러한 막 내에 O를 더 첨가한 실리콘산탄질화막(SiOCN막) 등의 박막이 이용되는 경우가 있다. 한편, 이들 박막의 에칭 내성을 더욱 향상시켜, 유전율을 더욱 저하시키고자 하면, 막 내의 C 농도나 O 농도를 증가시켜, N 농도를 저하시킬 필요가 있다. 그러나, 예를 들어 각종 가스를 교대로 공급하여 성막하는 상술한 바와 같은 방법에서, 또한 저온 영역에서, N 농도를, 예를 들어 불순물 레벨로 억제하여, 고농도의 C를 막 내에 함유시키는 것은 곤란하다.
본 실시 형태에서는, SiOC막의 성막 시, 예를 들어 150℃ 이하의 저온에서 처리를 행한다. 이와 같은 저온의 조건 하라도, Si-C 결합을 갖는 원료 가스를 이용함으로써 박막 내의 C 농도를 높이거나 고정밀도로 제어할 수 있다. 또한, SiOC막의 개질 공정을 행하여 SiOC막을, B를 포함하는 SiOC막으로 하고 있으므로, 예를 들어 애싱 후에도 막 내의 C 농도를 고농도로 유지할 수 있다.
(4) 본 실시 형태의 변형예
다음으로, 본 실시 형태의 변형예에 대해, 도 5의 (b) 및 도 7을 이용하여 설명한다.
(변형예)
상술한 SiOC막 개질 공정에서는, 공급하는 개질 가스의 종류를 선택함으로써, 예를 들어 B 이외의 원소를 SiOC막 내의 함유 원소로서 선택할 수 있다.
즉, SiOC막 개질 공정에서는 개질 가스로서, 각각 상이한 소정 원소를 포함하는 복수 종류의 개질 가스, 즉 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 복수 종류의 개질 가스 중에서 Ⅲ족 원소를 포함하는 가스 또는 V족 원소를 포함하는 가스 중 특정한 개질 가스를 선택하여 공급함으로써, SiOC막 내의 함유 원소를 선택할 수 있다.
복수 종류의 개질 가스 중에서 특정한 개질 가스를 선택하여 공급하기 위해서는, 각각 상이한 소정 원소를 포함하는 복수 종류의 개질 가스나, 분자 구조가 각각 상이한 복수 종류의 개질 가스를 각각 공급하는 복수의 공급 라인 중에서 특정한 공급 라인을 선택함으로써, 특정한 개질 가스를 공급할 수 있다. 상술한 바와 같이, 도 4, 도 5의 (a)에 도시한 성막 시퀀스의 예에서는, BCl3 가스 공급 라인, PH3 가스 공급 라인 등 중에서 BCl3 가스 공급 라인을 선택함으로써, 특정한 개질 가스로서 BCl3 가스를 공급하고 있다. 또한, 도 5의 (b)에 도시한 바와 같이, 본 실시 형태의 변형예의 성막 시퀀스예에서는, BCl3 가스 공급 라인, PH3 가스 공급 라인 등 중에서 PH3 가스 공급 라인을 선택함으로써, 특정한 개질 가스로서 PH3 가스를 공급하고 있다. 이와 같이, 개질 가스로서 BCl3 가스 대신에, PH3 가스를 이용함으로써 상술한 실시 형태에서 얻어지는 B를 포함하는 SiOC막과는 달리, P을 포함하는 SiOC막이 얻어진다. 여기서, P을 포함하는 SiOC막을, P이 도프(첨가)된 SiOC막이라고 할 수도 있고, 실리콘산탄인화막(SiOCP막)이나 실리콘산인탄화막(SiOPC막) 등이라고 할 수도 있다.
본 변형예에서는, 상술한 스텝 1a, 2a와 마찬가지의 스텝을 소정 횟수 행하여 웨이퍼(200) 상에 SiOC막을 형성한 후에, 상술한 BCl3 가스 공급 공정 대신에, 웨이퍼(200)에 대해 PH3 가스를 공급하는 공정을 포함하는 SiOC막 개질 공정을 행한다. 웨이퍼(200)에 대한 PH3 가스의 공급 수순에 대해 이하에 설명한다.
(PH3 가스 공급)
밸브(243e)를 개방하여 가스 공급관(232e) 내에 PH3 가스를 흘린다. PH3 가스는 MFC(241e)에 의해 유량 조정되어, 가스 공급 구멍(250d)으로부터 버퍼실(237) 내에 공급되고, 또한 가스 공급 구멍(250e)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 PH3 가스가 공급되게 된다(PH3 가스 공급). 이때 동시에 밸브(243j)를 개방하여 가스 공급관(232j) 내에 N2 가스 등의 불활성 가스를 흘린다. N2 가스는 MFC(241j)에 의해 유량 조정되어, PH3 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
처리실(201) 내에 공급된 PH3 가스는 열로 활성화되어, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해, 열로 활성화된 PH3 가스가 공급되게 된다. 즉, 처리실(201) 내에 흐르는 가스는 열적으로 활성화된 PH3 가스이고, 처리실(201) 내에는 BTCSM 가스나 H2O 가스는 흐르지 않는다. 따라서, PH3 가스는 기상 반응을 일으키는 일은 없고, 활성화된 상태에서 웨이퍼(200)에 대해 공급되어, 스텝 1a, 2a와 마찬가지의 스텝을 소정 횟수 행함으로써 웨이퍼(200) 상에 형성된 SiOC막의 적어도 일부와 반응한다. 이에 의해, SiOC막은 논플라즈마로 열적으로 개질되어, Si, O, C 및 P을 포함하는 박막, 즉 P을 포함하는 SiOC막으로 변화된다.
이때, 웨이퍼(200)의 온도를 비교적 높은 온도로 하고 있으므로, PH3 가스와 SiOC막의 반응이 촉진되어, P 성분을 SiOC막 내까지 넣을 수 있다. 또한, 웨이퍼(200)의 온도를, SiOC막을 형성하는 공정에서의 웨이퍼(200)의 온도보다도 높은 온도로 하고 있으므로, SiOC막이 수분을 많이 포함하는 경우에는, 막 내로부터 수분이 탈리하기 쉬워진다. SiOC막의 수분이 빠진 부분에는 미소한 구멍(포어)이 생겨, SiOC막은 다공성 형상의 막으로 된다. 이와 같은 수분이 빠진 구멍에 P가 들어감으로써, P 성분이 한층 더 SiOC막 내에 도입되기 쉬워져, SiOC막의 개질이 막의 대략 전체에 미치게 된다. 이때, SiOC막 내에 도입된 P의 적어도 일부가, 막 내의 성분, 예를 들어 Si 등과 Si-P 결합 등을 형성하고 있어도 된다.
이와 같이, SiOC막을, P를 포함하는 SiOC막으로 개질함으로써, 애싱 내성이 높은 박막을 얻을 수 있다. 또한, SiOC막 내에 P가 함유됨으로써, 투과광에 있어서의 박막의 굴절률 및 감쇠 계수가 높아져, 우수한 광학 특성을 갖는 박막을 얻을 수 있다. PH3 가스 공급 시의 처리실(201) 내의 압력, 웨이퍼(200)의 온도, PH3 가스의 공급 유량 및 공급 시간 등을 조정함으로써, 막 내에 함유시키는 P 농도를 제어하여 박막의 광학 특성을 더욱 제어할 수도 있다.
SiOC막이 P를 포함하는 SiOC막으로 개질된 후, 밸브(243e)를 폐쇄하여 PH3 가스의 공급을 정지한다.
또한, 이때의 처리실(201) 내의 압력, 개질 가스, N2 가스 등의 각 가스의 공급 유량, 공급 시간, 웨이퍼(200)의 온도 등의 처리 조건에 대해서는, 예를 들어 상술한 실시 형태의 처리 조건과 마찬가지의 범위 내의 처리 조건으로 할 수 있다. 또한, PH3 가스를 공급할 때에는, 상술한 실시 형태와 마찬가지로, 미사용으로 되어 있는 노즐(249a 내지 249c) 등으로의 가스의 침입을 방지하는 N2 가스 공급을 행한다.
소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스로서는, V족 원소로서의 P를 포함하는 가스로서 포스핀(PH3) 가스 외에, 디포스핀(P2H4) 가스 등의 포스핀계 가스나, 클로로포스핀(PClH2) 가스, 디클로로포스핀(PCl2H) 가스, 트리클로로포스핀(PCl3) 가스, 트리플루오로포스핀(PF3) 가스, 트리브로모포스핀(PBr3) 가스 등의 할로포스핀계 가스 등을 이용해도 된다. 불활성 가스로서는, N2 가스 외에, 상기에 예로 든 각종 희가스를 이용해도 된다.
이상과 같이, SiOC막 개질 공정에서, 웨이퍼(200)에 대해 B 함유 가스를 공급하는 대신에, P 함유 가스를 공급함으로써, B 대신 P를 포함하는 SiOC막이 얻어진다. 이와 같이, 웨이퍼(200)에 대해 공급하는 가스의 종류를 변경함으로써, 막 내의 조성 등을 다르게 하는 방법은, 예를 들어 SiOC막 형성 공정에 대해서도 적용할 수 있다.
즉, 상술한 원료 가스와 제1 촉매 가스를 공급하는 공정에서는, 공급하는 원료 가스의 종류를 적절히 선택함으로써, SiOC층 내의, 나아가서는, SiOC층이 적층되어 이루어지는 SiOC막 내의 C 농도를 제어할 수 있다. 즉, 원료 가스와 제1 촉매 가스를 공급하는 공정에서는, 원료 가스로서, 분자 구조가 각각 상이한 복수 종류의 원료 가스 중에서 특정한 원료 가스를 선택하여 공급함으로써, SiOC층이나 SiOC막 내의 C 농도를 제어할 수 있다.
선택되는 원료 가스의 종류에 의해, SiOC막 내의 C 농도의 제어가 가능해지는 하나의 요인으로서는, 예를 들어 각 원료 가스의 분자 구조 중에 있어서의 C의 배치의 차이가 생각된다. 즉, 1분자 중에 Si-C-Si 결합이나 Si-C-C-Si 결합을 갖는 알킬렌할로실란계 원료 가스인 BTCSM 가스나 BTCSE 가스 등은 C가 Si에 끼워 넣어진 분자 구조를 취한다. 이 때문에, 남은 Si의 결합손에 많은 Cl가 결합한 상태가 유지되어 있다. 예를 들어, BTCSM 가스나 BTCSE 가스는 모두, Si의 4개의 결합손 중 3개의 결합손에 Cl가 결합하고 있다. 분자 중에 다수 포함되는 Cl는 BTCSM 가스나 BTCSE 가스 등의 반응성을 향상시키는 것으로 생각된다. 이에 의해, BTCSM 가스나 BTCSE 가스 등을 이용함으로써, 예를 들어 SiOC막의 성막 레이트가 향상된다. 또한, 함유되는 Cl에 의해 BTCSM 가스나 BTCSE 가스 등의 반응성 및 성막 레이트가 향상됨으로써, BTCSM 가스나 BTCSE 가스 등을 이용한 성막 처리의 이용 가능한 조건 범위(프로세스 윈도우)도 확장된다. 이와 같이, 광범위한 프로세스 윈도우 중에서, 예를 들어 원하는 C 농도가 얻어지는 성막 조건을 선택할 수 있으므로, 결과적으로, SiOC막 내의 C 농도를 높이는 것이 용이해진다. 또한, SiOC막 내의 C 농도의 제어성도 향상시킬 수 있다. 또한, BTCSM 가스 내에 포함되는 C의 수는, 예를 들어 TCDMDS 가스 등에 비해 적지만, 이 점은 SiOC막 내의 C 농도의 향상에 불리하게는 작용하지 않는다고 생각된다. 본 발명자들에 따르면, 예를 들어 BTCSM 가스를 이용한 쪽이, TCDMDS 가스를 이용한 경우보다도 C 농도의 향상이 비교적 도모되기 쉬운 것을 확인하고 있다.
또한, 메틸기 등의 알킬기가 Si에 결합한 알킬할로실란계 원료 가스인 TCDMDS 가스나 DCTMDS 가스 등은 클로로실란계 원료 가스의 일부의 클로로기가 메틸기로 치환된 분자 구조를 취한다. 이와 같이 가스 분자 중의 Cl의 수가 줄어든 만큼, 이들 TCDMDS 가스나 DCTMDS 가스 등에서는, 반응이 비교적 천천히 진행되어, 보다 치밀한 SiOC막이 얻어지기 쉽다. 이 때문에, 예를 들어 C 농도를 적절하게 억제한 SiOC막이어도, 높은 에칭 내성을 유지하기 쉽다. 또한, TCDMDS 가스와 DCTMDS 가스의 비교에서는, 분자 중에 메틸기, 즉 C를 다수 포함하는 DCTMDS 가스의 쪽이, 막 내로의 C의 도입량에 유리하게 작용하는 것을 확인하고 있다.
또한, 이와 마찬가지로, 산화 가스와 제2 촉매 가스를 공급하는 공정에서도, 원하는 막 조성 등에 따라, 공급하는 촉매 가스의 종류를 적절히 선택할 수 있다. 예를 들어, 각각 상이한 분자 구조를 갖는 촉매 가스는, 예를 들어 촉매 작용의 강도도 상이하다고 생각된다. 이러한 촉매 작용의 강도의 차이가, 촉매 가스의 종류의 선택에 의해 SiOC막의 막 조성 등의 제어가 가능해지는 하나의 요인으로서 생각된다. 예를 들어, 촉매 작용의 지표로 되는 pKa값이 큰 촉매 가스를 선택함으로써, 산화 가스의 산화력이 향상되어 Si-C 결합이 절단되고, C 농도가 저하되는 경향이 강해지는 경우가 있다. 또한, 예를 들어 pKa가 작은 촉매 가스를 선택함으로써, 산화 가스의 산화력이 저하되어 Si-C 결합이 유지되고, C 농도가 높아지는 경향이 강해지는 경우가 있다. 또한, SiOC막의 막 조성 등의 제어가 가능해지는 다른 요인으로서는, 각종 촉매 가스나 생성되는 염 등의 촉매 반응에 관한 각종 물질의 증기압의 차이, 또는 이들 pKa값이나 증기압의 차이 등이 합쳐진 복합 요인 등이 생각된다. 이와 같이, 분자 구조가 각각 상이한 복수 종류의 촉매 가스 중에서 특정한 촉매 가스를 선택하여 공급함으로써, 예를 들어 SiOC층이나 SiOC막 내의 C 농도를 제어할 수 있다.
또한, 산화 가스와 제2 촉매 가스를 공급하는 공정에서 공급하는 촉매 가스의 종류는, 원료 가스와 촉매 가스를 공급하는 공정에서 공급하는 촉매 가스의 종류와 동일하게 해도 되고, 다르게 해도 된다.
또한, 상기 원료 가스나 촉매 가스의 종류를 선택하는 경우에 있어서, SiOC막 내의 C 농도를 제어함으로써, Si 농도 및 O 농도도 상대적으로 변화시켜도 된다. 즉, SiOC막의 조성을 전체적으로 변화시켜도 되고, 또한 SiOC막의 조성을 전체적으로 제어하는 것을 목적으로 하여 상기 원료 가스나 촉매 가스의 종류를 선택해도 된다.
또한, 상술한 스텝 1a, 2a의 세트를 복수 회 행하는 경우, 그 도중에, 원료 가스나 촉매 가스의 종류를 변경해도 된다. 또한, 스텝 1a, 2a의 세트를 복수 회 행하는 경우, 그 도중에, 촉매 가스의 공급량을 변경해도 된다. 이에 의해, SiOC막 내의 C 농도를 막 두께 방향으로 변화시킬 수 있다.
또한, 상술한 바와 같이, 본 실시 형태 및 변형예의 기판 처리 장치는, 복수의 개질 가스 공급 라인을 구비하여, 각각 상이한 소정 원소를 포함하는 복수 종류의 개질 가스나, 분자 구조가 각각 상이한 복수 종류의 개질 가스 중에서 특정한 개질 가스를 선택하여 공급 가능하게 구성되어 있다. 또한, 본 실시 형태 및 변형예의 기판 처리 장치가, 복수의 원료 가스 공급 라인이나 촉매 가스 공급 라인을 구비하여, 분자 구조 등이 각각 상이한 복수 종류의 원료 가스나 촉매 가스 중에서 특정한 원료 가스나 촉매 가스를 선택하여 공급 가능하도록 구성되어 있어도 된다. 이들에 의해, 원하는 막 조성 등에 따라, 복수 종류의 가스 중에서 특정한 개질 가스나 원료 가스나 촉매 가스를 선택하여 공급하는 것이 용이해진다. 따라서, 1대의 기판 처리 장치에서 다양한 조성비, 막질의 박막을 범용적으로, 또한 재현성 좋게 형성할 수 있게 된다. 또한, 가스종의 추가나 교체 등에 대한 장치 운용의 자유도를 확보할 수 있다.
또한, 본 실시 형태 및 변형예의 기판 처리 장치에서는, 상술한 각종 박막의 성막에 이용되는 프로세스 레시피(처리 수순이나 처리 조건이 기재된 프로그램)를, 개질 가스나 원료 가스나 촉매 가스의 종류마다, 즉 상이한 가스계마다 미리 복수 준비해 둘 수 있다. 또한, 본 실시 형태 및 변형예의 기판 처리 장치에서는, 상이한 처리 조건마다 복수의 프로세스 레시피를 준비해 둘 수 있다. 이들에 의해, 원하는 막 조성 등에 따라, 복수 종류의 가스 중에서 특정한 개질 가스나 원료 가스나 촉매 가스를 선택하여 공급하는 것이 용이해진다. 오퍼레이터는 복수의 프로세스 레시피 중에서 원하는 막 조성 등에 따라, 적정한 프로세스 레시피를 적절히 선택하여 성막 처리를 실행하면 된다. 따라서, 1대의 기판 처리 장치에서 다양한 조성비, 막질의 박막을 범용적으로, 또한 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 조작 부담(처리 수순이나 처리 조건의 입력 부담 등)을 저감시킬 수 있어, 조작 오류를 피하면서, 기판 처리를 신속하게 개시할 수 있게 된다.
(다른 변형예)
상술한 실시 형태에서는, SiOC막 형성 공정과 SiOC막 개질 공정을, 처리에 따른 웨이퍼(200)를 동일한 처리실(201) 내에 수용한 상태에서 행하고 있었다. 본 변형예에서는, SiOC막 형성 공정과 SiOC막 개질 공정을, 처리에 따른 웨이퍼(200)를 각각 상이한 처리실 내에 수용하여 행한다.
즉, 도 7에 도시한 바와 같이, 예를 들어 SiOC막 형성 공정을, 상술한 실시 형태와 마찬가지로, 도 1, 도 2에 도시하는 기판 처리 장치(이하, 제1 기판 처리 장치라고도 함)가 구비하는 처리실(201)(이하, 제1 처리실이라고도 함) 내에서 행한다. 제1 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)(이하, 제1 제어부라고도 함)에 의해 제어된다. 그리고, 상술한 스텝 1a, 2a와 마찬가지의 스텝 1b, 2b를 포함하는 세트를 소정 횟수 실시한 후, 처리실(201) 내의 퍼지 및 대기압 복귀, 보트 언로드, 웨이퍼 디스차지를 순차 실행한다.
계속해서, 보트(217)로부터 취출된 웨이퍼(200) 상에 형성된 SiOC막을 개질하는 공정을, 처리실(201)과는 다른 처리실 내에서 행한다. 이러한 처리실로서는, 예를 들어 상술한 실시 형태와 마찬가지의 기판 처리 장치이며, SiOC막 형성 공정을 행한 장치와는 별도의 기판 처리 장치(이하, 제2 기판 처리 장치라고도 함)가 구비하는 처리실(이하, 제2 처리실이라고도 함)을 이용할 수 있다. 제2 기판 처리 장치를 구성하는 각 부의 동작은 제2 제어부에 의해 제어된다. 제2 기판 처리 장치에서는, 제1 기판 처리 장치에서 상술한 실시 형태와 마찬가지로, 웨이퍼 차지, 보트 로드를 순차 실행한다. 또한, 상술한 실시 형태의 SiOC막 개질 공정을 행할 때와 마찬가지로, 압력 조정, 온도 조정, BCl3 가스 공급, 잔류 가스 제거를 행한다. 그 후에는 상술한 실시 형태와 마찬가지로, 퍼지, 대기압 복귀, 보트 언로드 및 웨이퍼 디스차지를 순차 실행한다.
또한, 상기의 경우에 있어서, 주로, SiOC막을 형성하는 제1 기판 처리 장치와 SiOC막을 개질하는 제2 기판 처리 장치에 의해 기판 처리 시스템이 구성되게 된다.
이상과 같이, SiOC막 형성 공정과 SiOC막 개질 공정은 동일한 처리실(201) 내에서(인 사이투(In-Situ) 방식으로) 행할 수도 있고, 또한 각각 상이한 처리실(제1 처리실 및 제2 처리실) 내에서(엑스 사이투(Ex-Situ) 방식으로) 행할 수도 있다. 인 사이투 방식으로 양 공정을 행하면, 도중에 웨이퍼(200)가 대기 폭로되는 일 없이, 웨이퍼(200)를 진공 하에 둔 상태에서, 일관하여 처리를 행할 수 있다. 따라서, 한층 더 안정된 성막 처리를 행할 수 있다. 또한, 엑스 사이투 방식으로 양 공정을 행하면, 각각의 처리실 내의 온도를, 예를 들어 각 공정에서의 처리 온도 또는 그에 근접한 온도로 미리 설정해 둘 수 있어, 온도 조정에 필요로 하는 시간을 단축할 수 있다. 따라서, 한층 더 생산 효율을 높일 수 있다.
또한, SiOC막을 개질 처리하는 처리실은 상술한 실시 형태의 기판 처리 장치와는 다른 장치, 예를 들어 열 처리에 이용하는 열 처리로나 확산을 행하는 확산로 등이 구비하는 처리실이어도 된다.
<제2 실시 형태>
다음으로, 본 발명의 제2 실시 형태에 대해 설명한다.
(1) 박막 형성 공정
상술한 실시 형태에서는, 스텝 1a, 2a를 포함하는 세트를 소정 횟수 행하여 SiOC막을 형성하고, 그 SiOC막을 개질 가스에 의해 개질하였다. 본 실시 형태에서는, 상술한 스텝 1a, 2a와 마찬가지로 행하는 스텝 1c, 2c에 의해 형성한 SiOC층을, 소정 원소를 포함하는 SiOC층으로 개질하는 사이클을 소정 횟수 행하여 소정 원소를 포함하는 SiOC막을 형성한다. 본 실시 형태에서도, 상술한 실시 형태와 마찬가지로, 도 1, 도 2에 도시하는 기판 처리 장치를 이용한다. 또한, 이하의 설명에서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
도 8, 도 9의 (a)에 도시한 바와 같이, 본 실시 형태에서는, 웨이퍼(200)에 대해 원료 가스로서의 BTCSM 가스와, 제1 촉매 가스로서의 피리딘 가스를 공급하는 공정(스텝 1c)과, 웨이퍼(200)에 대해 산화 가스로서의 H2O 가스와, 제2 촉매 가스로서의 피리딘 가스를 공급하는 공정(스텝 2c), 웨이퍼(200)에 대해 개질 가스로서의 BCl3 가스를 공급하는 공정(스텝 3c)을 이 순서로 행하는 사이클을 소정 횟수 행함으로써, Si, O, C 및 B를 포함하는 박막으로서 B를 포함하는 SiOC막을 웨이퍼(200) 상에 형성하는 예에 대해 설명한다.
이때, 웨이퍼(200)에 대해 BCl3 가스를 공급하는 공정에서는 BCl3 가스를 플라즈마로 여기한 상태에서 웨이퍼(200)에 대해 공급한다.
또한, 본 시퀀스가 상술한 실시 형태의 성막 시퀀스와 다른 점은, BCl3 가스를 플라즈마로 여기하여 공급하는 스텝 3c 및 이를 포함하는 각 스텝의 실시순뿐이고, 그 밖의 개개의 스텝 1c, 2c는 상술한 실시 형태와 마찬가지이다. 이하, 본 실시 형태의 스텝 3c 및 이를 포함하는 각 스텝의 실시순에 대해 설명한다.
[스텝 3c]
(BCl3 가스 공급)
스텝 2c가 종료되어 처리실(201) 내의 잔류 가스를 제거한 후, 밸브(243d)를 개방하여 가스 공급관(232d) 내에 BCl3 가스를 흘린다. BCl3 가스는 MFC(241d)에 의해 유량 조정되어, 가스 공급 구멍(250d)으로부터 버퍼실(237) 내에 공급된다. 이때, 막대 형상 전극(269, 270) 사이에 고주파 전원(273)으로부터 정합기(272)를 통해 고주파(RF) 전력을 인가함으로써, 버퍼실(237) 내에 공급된 BCl3 가스는 플라즈마 여기되어, 활성종으로서 가스 공급 구멍(250e)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해, 플라즈마로 활성화(여기)된 BCl3 가스가 공급되게 된다(BCl3 가스 공급). 이때 동시에 밸브(243f)를 개방하여 가스 공급관(232f) 내에 Ar 가스를 흘린다. Ar 가스는 MFC(241f)에 의해 유량 조정되어, BCl3 가스와 함께 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다. 각 가스를 공급할 때에는 상술한 실시 형태와 마찬가지로, 미사용으로 되어 있는 노즐(249a 내지 249c) 등으로의 가스의 침입을 방지하는 N2 가스 공급을 적절히 행한다.
이때, APC 밸브(244)를 적절하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 대기압 미만의 압력, 바람직하게는 1 내지 13330㎩(0.0075 내지 100Torr), 보다 바람직하게는 133 내지 2666㎩(1 내지 20Torr)의 범위 내의 압력이며, 예를 들어 1333㎩(10Torr)로 한다. MFC(241d)에 의해 제어되는 BCl3 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241f)에 의해 제어되는 Ar 가스의 공급 유량 및 MFC(241g 내지 241i)에 의해 제어되는 N2 가스의 공급 유량은 각각, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. BCl3 가스를 웨이퍼(200)에 대해 공급하는 시간은, 예를 들어 1 내지 100초, 바람직하게는 5 내지 60초의 범위 내의 시간으로 한다.
히터(207)의 온도는 웨이퍼(200)의 온도가, 스텝 1c, 2c에서의 웨이퍼(200)의 온도와 마찬가지의 온도대, 즉, 예를 들어 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도로 되도록 설정한다. 고주파 전원(273)으로부터 막대 형상 전극(269, 270) 사이에 인가하는 고주파 전력은, 예를 들어 50 내지 1000W의 범위 내의 전력으로 되도록 설정한다.
이때, 처리실(201) 내에 흐르는 가스는 플라즈마로 여기된 BCl3 가스이고, 예를 들어 B 라디칼(B*) 등의 활성종을 포함하고 있다. 또한, 처리실(201) 내에는 BTCSM 가스나 H2O 가스는 흐르지 않는다. 따라서, BCl3 가스는 기상 반응을 일으키는 일은 없고, 활성화된 상태에서 웨이퍼(200)에 대해 공급된다. 주로, 이 활성종에 의해, 스텝 1c, 2c에서 웨이퍼(200) 상에 형성된 제2층으로서의 SiOC층에 대해 개질 처리가 행해진다. 이 활성종이 갖는 에너지는, 예를 들어 상술한 실시 형태와 같이, 열적으로 활성화된 BCl3 가스가 갖는 에너지보다도 높다. 이 때문에, 활성종의 에너지를 SiOC층에 부여함으로써, SiOC층 내에 포함되는 Si-O 결합, Si-C 결합 등의 적어도 일부가 분리된다. 활성종인 B*은 O나 C와의 결합이 분리된 Si의 남은 결합손과 결합한다. 이와 같이, SiOC층 내에 도입된 B 중 적어도 일부는 Si-B 결합을 형성한다고 생각된다. 또한, 막 내의 B의 일부가 O나 C의 남은 결합손과 결합하여 B-O 결합이나 B-C 결합을 형성해도 된다. 이와 같이 하여, 제2층으로서의 SiOC층은 B를 포함하는 제3층, 즉 B를 포함하는 SiOC층으로 변화된다(개질된다).
또한, BCl3 가스와 동시에 흘리는 Ar 가스는 전리 에너지가 비교적 낮고, 자신이 플라즈마 상태로 여기됨으로써, 플라즈마의 착화를 어시스트하는 어시스트 가스로서 작용한다. 이와 같이, Ar 가스의 존재 하에서 고주파 전원(273)으로부터 막대 형상 전극(269, 270) 사이에 고주파 전력을 인가함으로써, BCl3 가스를 플라즈마로 여기하는 것이 보다 용이해진다. 이때, 예를 들어 Ar 가스의 공급을 BCl3 가스의 공급보다 먼저 개시해도 된다. 즉, Ar 가스를 단독으로 공급하면서, 고주파 전원(273)으로부터 막대 형상 전극(269, 270) 사이에 고주파 전력을 인가하고, 그 상태에서, BCl3 가스의 공급을 개시해도 된다. 이에 의해, 버퍼실(237) 내의 플라즈마 상태로 된 Ar 가스 분위기 중에 BCl3 가스가 공급되게 되어, BCl3 가스가 한층 더 플라즈마로 여기되기 쉬워진다. 또한, Ar 가스는 플라즈마 중에서의 BCl3 가스의 해리를 어시스트하는 어시스트 가스로서 작용해도 된다.
(잔류 가스 제거)
제3층으로서의 B를 포함하는 SiOC층이 웨이퍼(200) 상에 형성된 후, 고주파 전원(273)으로부터 막대 형상 전극(269, 270) 사이로의 고주파 전력의 인가를 정지한다. 또한, 밸브(243d)를 폐쇄하여 BCl3 가스의 공급을 정지한다. 또한, 밸브(243f)를 폐쇄하여 Ar 가스의 공급을 정지한다. 단, Ar 가스의 공급은 정지하지 않아도 되고, 이 경우, 주로 노즐(249d) 내나 버퍼실(237) 내를 퍼지하는 퍼지 가스로서 Ar 가스를 기능시킬 수 있다. 혹은, Ar 가스로부터 N2 가스로 공급 가스를 전환하여 노즐(249d) 내나 버퍼실(237) 내의 퍼지를 계속하도록 해도 된다. 이때, 상술한 실시 형태와 마찬가지의 수순으로, 처리실(201) 내로부터의 잔류 가스의 제거를 행한다.
소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스로서는, Ⅲ족 원소로서의 B를 포함하는 BCl3 가스 외에, 상기에 예로 든 B 함유 가스를 이용해도 되고, 또한 V족 원소로서의 P를 포함하는 P 함유 가스를 이용해도 된다. 플라즈마의 착화를 어시스트하는 어시스트 가스로서는 Ar 가스 외에, He 가스, Ne 가스, Xe 가스 등의 희가스나, N2 가스 등을 이용해도 된다. 불활성 가스로서는, N2 가스 외에, 상기에 예로 든 각종 희가스를 이용해도 된다.
(소정 횟수 실시)
스텝 1c, 2c, 3c를 1사이클로 하여, 이 사이클을 1회 이상, 즉 소정 횟수(n회) 행함으로써, 소정 조성 및 소정 막 두께의 B를 포함하는 SiOC막을 웨이퍼(200) 상에 성막할 수 있다. 상술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉, 1사이클당 형성하는 B를 포함하는 SiOC층의 두께를 원하는 막 두께보다도 작게 하여, 상술한 사이클을 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다.
그 후, 상술한 실시 형태와 마찬가지의 수순으로, 퍼지, 대기압 복귀, 보트 언로드 및 웨이퍼 디스차지를 행하여, 본 실시 형태의 성막 처리를 종료한다.
(2) 본 실시 형태에 따른 효과
본 실시 형태에 따르면, 상술한 제1 실시 형태와 마찬가지의 효과를 발휘하는 것 외에, 이하에 나타내는 하나 또는 복수의 효과를 발휘한다.
(a) 본 실시 형태의 성막 시퀀스에 따르면, 스텝 3c에서, BCl3 가스를 플라즈마로 여기한 상태에서 웨이퍼(200)에 대해 공급한다. 이에 의해, BCl3 가스가, 열적으로 활성화된 상태보다도 한층 더 활성화된 상태로 되어, BCl3 가스의 SiOC층에 대한 개질 작용을 현저하게 높일 수 있다. 활성종인 B*은, 간단히 SiOC층 내에 도입될 뿐만 아니라, 그 대부분이 Si 등과 결합하여, 보다 견고하고 안정된 상태에서, SiOC층 내에 포함되게 된다. 즉, 이러한 개질 처리에 의해 얻어지는 B를 포함하는 SiOC층을, Si-B 결합 등을 많이 포함하는 보다 견고하고 안정된 층으로 할 수 있다. 따라서, 최종적으로 얻어지는 B를 포함하는 SiOC막을 한층 더 양질의 박막으로 할 수 있다.
(b) 또한, 본 실시 형태의 성막 시퀀스에 따르면, 스텝 3c에서, 플라즈마로 여기한 상태의 BCl3 가스에 의해, SiOC층에 대한 개질 작용을 현저하게 높일 수 있다. 이에 의해, 예를 들어 150℃ 이하의 저온 조건 하라도, SiOC층의 개질 처리를 충분히 행할 수 있다. 따라서, 웨이퍼(200)가 받는 열 이력을 한층 개선할 수 있다.
(c) 또한, 본 실시 형태의 성막 시퀀스에 따르면, 스텝 3c에서의 웨이퍼(200)의 온도를, 스텝 1c, 2c에서의 웨이퍼(200)의 온도와 동등한 온도로 한다. 이에 의해, 예를 들어 스텝 1c, 2c, 3c를 이 순서로 행하는 사이클을 소정 횟수 행할 때에, 도중에 온도 조정을 행하지 않고 각 스텝을 실시할 수 있다. 따라서, 웨이퍼(200)의 승온이나 강온에 필요로 하는 시간을 생략하여 1사이클당의 처리 시간을 단축할 수 있어, 전체적인 처리 시간을 단축하는 것이 가능해진다.
(3) 본 실시 형태의 변형예
다음으로, 본 실시 형태의 변형예에 대해, 도 9의 (b)를 이용하여 설명한다. 본 변형예에서는, SiOC층의 개질 처리에 있어서, BCl3 가스를 플라즈마로 여기하여 웨이퍼(200)에 대해 공급하는 것이 아니라, BCl3 가스를 촉매 가스로서의 피리딘 가스와 함께 웨이퍼(200)에 대해 공급하는 점이, 상술한 제2 실시 형태와는 다르다.
또한, 본 변형예의 시퀀스가 상술한 제2 실시 형태의 성막 시퀀스와 다른 것은 BCl3 가스를 피리딘 가스와 함께 공급하는 점뿐이고, 그 외에는 상술한 제2 실시 형태의 성막 시퀀스와 마찬가지이다. 이하, 본 변형예의 BCl3 가스와 피리딘 가스를 공급하는 수순에 대해 설명한다.
(BCl3 가스+피리딘 가스 공급)
스텝 1c, 2c와 마찬가지로 행하는 스텝이 종료되어 처리실(201) 내의 잔류 가스를 제거한 후, 밸브(243d)를 개방하여 가스 공급관(232d) 내에 BCl3 가스를 흘린다. BCl3 가스는 MFC(241d)에 의해 유량 조정되어, 가스 공급 구멍(250d)으로부터 버퍼실(237) 내에 공급되고, 또한 가스 공급 구멍(250e)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 BCl3 가스가 공급되게 된다(BCl3 가스 공급). 이때 동시에, 밸브(243j)를 개방하여 가스 공급관(232j) 내에 N2 가스 등의 불활성 가스를 흘린다. N2 가스는 MFC(241j)에 의해 유량 조정되어, BCl3 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한, 밸브(243c)를 개방하여 가스 공급관(232c) 내에 피리딘 가스를 흘린다. 피리딘 가스는 MFC(241c)에 의해 유량 조정되어, 가스 공급 구멍(250c)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 피리딘 가스가 공급되게 된다(피리딘 가스 공급). 이때 동시에, 밸브(243i)를 개방하여 가스 공급관(232i) 내에 N2 가스 등의 불활성 가스를 흘린다. N2 가스는 MFC(241i)에 의해 유량 조정되어, 피리딘 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
상기 각 가스를 공급할 때에는, 상술한 실시 형태와 마찬가지로, 그때 미사용으로 되어 있는 노즐(249a, 249b) 내로의 가스의 침입을 방지하는 N2 가스 공급을 적절히 행한다.
이때, APC 밸브(244)를 적절하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 대기압 미만의 압력, 바람직하게는 1 내지 13330㎩(0.0075 내지 100Torr), 보다 바람직하게는 133 내지 2666㎩(1 내지 20Torr)의 범위 내의 압력이며, 예를 들어 1333㎩(10Torr)로 한다. MFC(241d)에 의해 제어되는 BCl3 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241c)에 의해 제어되는 피리딘 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241g 내지 241j)에 의해 제어되는 N2 가스의 공급 유량은, 각각, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. BCl3 가스 및 피리딘 가스를 웨이퍼(200)에 대해 공급하는 시간은, 예를 들어 1 내지 100초, 바람직하게는 5 내지 60초의 범위 내의 시간으로 한다. 히터(207)의 온도는 웨이퍼(200)의 온도가, 스텝 1c, 2c와 마찬가지로 행하는 스텝에서의 웨이퍼(200)의 온도와 마찬가지의 온도대, 즉, 예를 들어 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도로 되도록 설정한다.
피리딘 가스는 BCl3 가스와 함께 웨이퍼(200)에 대해 공급됨으로써, 웨이퍼(200) 상에 형성된 SiOC층의 표면에 존재하는 O-H 결합의 결합력을 약화시킨다. 결합력이 약해진 H와 BCl3 가스의 Cl가 반응함으로써 HCl 가스가 생성되어 탈리하고, Cl를 잃은 BCl3 분자가 SiOC층의 표면에 화학 흡착하는 것 등에 의해 B가 SiOC층 내에 도입된다. 이와 같이, 피리딘 가스는 BCl3 가스의 분해를 재촉하여, 저온 조건 하에서의 BCl3 가스에 의한 SiOC층의 개질을 가능하게 한다. SiOC층은 논플라즈마로 열적으로 개질되어, B를 포함하는 제3층, 즉 B를 포함하는 SiOC층으로 변화된다. 이때, SiOC층 내에 도입된 B의 적어도 일부가, 층 내의 성분, 예를 들어 Si 등과 Si-B 결합 등을 형성하고 있어도 된다.
그 후, 밸브(243d)를 폐쇄하여 BCl3 가스의 공급을 정지한다. 또한, 밸브(243c)를 폐쇄하여 피리딘 가스의 공급을 정지한다.
이상과 같이, BCl3 가스를 피리딘 가스와 함께 웨이퍼(200)에 대해 공급함으로써도, 예를 들어 150℃ 이하의 저온 조건 하에서, BCl3 가스를 활성화하여 BCl3 가스의 SiOC층에 대한 개질을 행할 수 있다. 또한, SiOC층의 개질 처리에서의 웨이퍼(200)의 온도를, SiOC층의 형성 시의 웨이퍼(200)의 온도와 동등하게 할 수 있어, 1사이클당 처리 시간을 단축할 수 있다.
또한, 상술한 제2 실시 형태 및 그 변형예에서는 웨이퍼(200)에 대해 각 가스를 공급하는 공정을 순차 행하는 사이클을 소정 횟수 행하는 것으로 하였다. 그러나, 상술한 제1 실시 형태와 마찬가지로, 스텝 1a, 2a와 마찬가지로 행하는 스텝을 포함하는 세트를 소정 횟수 행함으로써, 우선은, 웨이퍼(200) 상에 SiOC막을 형성하는 것으로 해도 된다. 그 후에, BCl3 가스를 플라즈마로 여기하거나, 혹은 BCl3 가스를 피리딘 가스와 함께, 웨이퍼(200)에 대해 공급해도 된다. 이에 의해, 이러한 SiOC막을 개질하여 B를 포함하는 SiOC막으로 해도 된다.
단, BCl3 가스를 피리딘 가스와 함께 웨이퍼(200)에 대해 공급함으로써 SiOC막을 개질하는 경우에는, 웨이퍼(200)의 온도를, SiOC막을 형성할 때의 웨이퍼(200)의 온도보다도 높은 온도로 하는 것이 바람직하다. 구체적으로는, 웨이퍼(200)의 온도를, 예를 들어 상술한 제1 실시 형태의 SiOC막 개질 공정에서의 웨이퍼(200)의 온도와 동일한 온도로 설정한다. SiOC막을 개질할 때의 웨이퍼(200)의 온도가, SiOC막을 형성할 때의 웨이퍼(200)의 온도와 동일 정도이면, 적어도 SiOC막의 표층 부분을 개질시키는 효과는 얻어지지만, BCl3 가스에 의한 개질 작용이 막 전체에 미치지 않을 가능성이 있다. SiOC막을 개질할 때의 웨이퍼(200)의 온도를, SiOC막을 형성할 때의 웨이퍼(200)의 온도보다 높은 온도로 함으로써, BCl3 가스에 의한 개질 작용을 SiOC막의 대략 전체에 골고루 미치게 할 수 있어, 보다 균질한 박막을 얻을 수 있다. 또한, SiOC 막 내로부터 수분이 탈리하기 쉬워져, 수분이 빠진 구멍에 B가 도입되기 쉬워진다.
또한, BCl3 가스를 플라즈마로 여기하여 웨이퍼(200)에 대해 공급함으로써 SiOC막을 개질하는 경우에는, 웨이퍼(200)의 온도를, 예를 들어 스텝 1a, 2a와 마찬가지로 행하는 스텝에서의 웨이퍼(200)의 온도와 동등한 온도로 할 수 있다. 플라즈마로 여기된 BCl3 가스는 보다 활성된 상태로 되어 있으므로, 이와 같은 저온 조건 하라도, BCl3 가스의 개질 작용을 SiOC막의 대략 전체에 골고루 미치게 할 수 있다. 단, 플라즈마를 이용하는 경우라도, 웨이퍼(200)의 온도를 스텝 1a, 2a와 마찬가지로 행하는 스텝에서의 웨이퍼(200)의 온도보다 높여도 되고, 웨이퍼(200)의 온도를, 예를 들어 500℃ 이하의 온도로 할 수 있다. 이에 의해, SiOC 막 내로부터 수분이 탈리하기 쉬워져, 수분이 빠진 구멍에 B가 도입되기 쉬워진다.
<다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명하였지만, 본 발명은 상술한 실시 형태나 변형예로 한정되는 것은 아니고, 그 요지를 일탈하지 않는 범위에서 다양한 변경이 가능이다.
예를 들어, 상술한 제1 실시 형태에서는 스텝 1a, 2a를 소정 횟수 행하여, SiOC막 개질 공정을 행하는 사이클을 1회만 행하는 예에 대해 설명하였지만, 이러한 사이클을 복수 회 반복해도 된다. 또한, 상술한 제2 실시 형태에서는 스텝 1c, 2c, 3c를 이 순서로 1회씩 행하는 사이클을 소정 횟수 행하는 예에 대해 설명하였지만, 예를 들어 스텝 1c, 2c를 복수 회 반복하고, 스텝 3c를 행하는 사이클을 소정 횟수 행해도 된다.
또한, 상술한 실시 형태 등에서는, 개질 가스를 공급하는 공정을, 원료 가스나 산화 가스를 공급하는 공정과는 별개로 행하는 예에 대해 설명하였지만, 개질 가스를 공급하는 공정을, 원료 가스와 제1 촉매 가스를 공급하는 공정의 실시 중에 행해도 된다. 또한, 개질 가스를 공급하는 공정을, 산화 가스와 제2 촉매 가스를 공급하는 공정의 실시 중에 행해도 된다.
또한, 상술한 실시 형태 등에서는 Ⅲ족 원소로서의 B를 포함하는 개질 가스로서, 할로보란계 가스나, 보란계 가스 등을 이용하는 예에 대해 설명하였지만, B 함유 가스로서는 이들 외에, 트리메틸보란[(CH3)3B] 가스, 트리에틸보란[(C2H5)3B] 가스, 트리노르말프로필보란[(n-C3H7)3B] 가스, 트리이소프로필보란[(i-C3H7)3B] 가스, 트리노르말부틸보란[(n-C4H9)3B] 가스, 트리이소부틸보란[(i-C4H9)3B] 가스, 트리터셔리부틸보란[(t-C4H9)3B] 가스, 터셔리부틸보란(t-C4H9BH2) 가스 등의 알킬보란계 가스나, 아미노보란(NH2BH2) 가스, 트리스(디메틸아미노)보란{[(CH3)2N)]3B} 가스, 비스(디메틸아미노)보란{BH[N(CH3)2]2} 가스, 비스(디메틸아미노)클로로보란{[(CH3)2N]2BCl} 가스 등의 아미노보란계 가스나, 비스(디메틸아미노)메틸보란{CH3B[N(CH3)2]2} 가스, 디메틸아미노디메틸보란[(CH3)2BN(CH3)2] 가스, 디에틸아미노디에틸보란{(C2H5)2BN(C2H5)2} 가스 등의 보라나스아미드계 가스나, 트리메톡시보란[B(OCH3)3] 가스, 트리에톡시보란[B(OC2H5)3] 가스, 트리노르말프로폭시보란[B(n-OC3H7)3] 가스, 트리이소프로폭시보란[B(i-OC3H7)3] 가스, 트리노르말부톡시보란[B(n-OC4H9)3] 가스, 트리이소부톡시보란[B(i-OC4H9)3] 가스, 트리제2부톡시보란[B(sec-OC4H9)3] 가스 등의 알콕시보란계 가스나, 옥시염화붕소(BOCl3) 가스, 트리비닐보란[(CH2=CH)3B] 가스 등을 이용해도 된다. 또한, 이들의 B 함유 가스의 B를 Ⅲ족 원소로서의 In으로 치환한 In 함유 가스 등을 이용하여, 소정 원소로서 In을 포함하는 SiOC막 등을 형성해도 된다.
또한, 상술한 실시 형태 등에서는, V족 원소로서의 P를 포함하는 개질 가스로서, 포스핀계 가스나, 할로포스핀계 가스 등을 이용하는 예에 대해 설명하였지만, P 함유 가스로서는, 이들 외에, 트리메틸포스핀[(CH3)3P] 가스, 트리에틸포스핀[(C2H5)3P] 가스, 트리노르말프로필포스핀[(n-C3H7)3P] 가스, 트리이소프로필포스핀[(i-C3H7)3P] 가스, 트리노르말부틸포스핀[(n-C4H9)3P] 가스, 트리이소부틸포스핀[(i-C4H9)3P] 가스, 트리터셔리부틸포스핀[(t-C4H9)3P] 가스, 터셔리부틸포스핀(t-C4H9PH2) 가스 등의 알킬포스핀계 가스나, 아미노포스핀(NH2PH2) 가스, 트리스(디메틸아미노)포스핀{[(CH3)2N)]3P} 가스, 비스(디메틸아미노)포스핀{PH[N(CH3)2]2} 가스, 비스(디메틸아미노)클로로포스핀{[(CH3)2N]2PCl} 가스 등의 아미노포스핀계 가스나, 비스(디메틸아미노)메틸포스핀{CH3P[N(CH3)2]2} 가스, 디메틸아미노디메틸포스핀[(CH3)2PN(CH3)2] 가스, 디에틸아미노디에틸포스핀[(C2H5)2PN(C2H5)2] 가스 등의 포스피나스아미드계 가스나, 트리메톡시포스핀[P(OCH3)3] 가스, 트리에톡시포스핀[P(OC2H5)3] 가스, 트리노르말프로폭시포스핀[P(n-OC3H7)3] 가스, 트리이소프로폭시포스핀[P(i-OC3H7)3] 가스, 트리노르말부톡시포스핀[P(n-OC4H9)3] 가스, 트리이소부톡시포스핀[P(i-OC4H9)3] 가스, 트리제2부톡시포스핀[P(sec-OC4H9)3] 가스 등의 알콕시포스핀계 가스나, 트리메틸포스피네이트[PO(OCH3)3] 가스, 트리에틸포스피네이트[PO(OC2H5)3] 가스, 트리노르말프로필포스피네이트[PO(n-OC3H7)3] 가스, 트리이소프로필포스피네이트[PO(i-OC3H7)3] 가스, 트리노르말부틸포스피네이트[PO(n-OC4H9)3] 가스, 트리이소부틸포스피네이트[PO(i-OC4H9)3] 가스 등의 인산에스테르계 가스나, 옥시염화인(POCl3) 가스, 트리비닐포스핀[(CH2=CH)3P] 가스 등을 이용해도 된다. 또한, 이들의 P 함유 가스의 P를 V족 원소로서의 As로 치환한 As 함유 가스 등을 이용하여, 소정 원소로서 As를 포함하는 SiOC막 등을 형성해도 된다.
또한, 상술한 제2 실시 형태에서는, 개질 가스로서의 BCl3 가스를 플라즈마로 여기하여 웨이퍼(200)에 대해 공급하는 경우, 어시스트 가스로서의 Ar 가스와 공통의 노즐(249d)을 이용하여, Ar 가스와 함께 버퍼실(237)을 통해 BCl3 가스를 처리실(201) 내에 공급하는 예에 대해 설명하였다. 그러나, BCl3 가스는 Ar 가스를 공급하는 노즐(249d)과는 별개의 버퍼실(237) 외부에 설치된 노즐로부터, 버퍼실(237)을 통하지 않고 처리실(201) 내에 공급해도 된다. 이 경우라도, 가스 공급 구멍(250e)으로부터 플라즈마 상태에서 처리실(201) 내에 공급되는 Ar 가스의 어시스트에 의해, 처리실(201) 내에 공급된 BCl3 가스를 플라즈마로 여기할 수 있다.
또한, 상술한 제2 실시 형태에서는 BCl3 가스를 플라즈마로 여기하여 웨이퍼(200)에 대해 공급하는 경우, 어시스트 가스로서 Ar 가스를 함께 공급하는 예에 대해 설명하였다. 그러나, 버퍼실(237)을 통해 BCl3 가스를 공급하는 경우에서는, 어시스트 가스를 이용하지 않아도 된다. 이 경우, BCl3 가스 공급 시의 처리실(201) 내나 버퍼실(237) 내의 압력, 막대 형상 전극(269, 270) 사이에 인가하는 고주파 전력 등을 조정함으로써, 플라즈마 상태의 BCl3 가스를 안정적으로 얻을 수 있다.
또한, 상술한 실시 형태 등에서는, 스텝 2a, 2b, 2c 등에서, 촉매 가스와 함께 H2O 가스 등의 산화 가스를 이용하여 C 및 Cl를 포함하는 Si 함유층을 산화하여 SiOC층으로 변화시키는 예에 대해 설명하였다. 그러나, 플라즈마로 여기한 O2 가스 등의 산화 가스를 이용하여 C 및 Cl를 포함하는 Si 함유층을 산화하여 SiOC층으로 변화시켜도 된다.
또한, 상술한 실시 형태 등에서는 박막으로서 B 등의 소정 원소를 포함하는 SiOC막을 형성하는 예에 대해 설명하였지만, 이러한 박막과, 이러한 박막과는 상이한 조성이나 원소를 포함하는 박막을 적층한 적층막을 형성해도 된다.
예를 들어, 이 경우, 웨이퍼(200)에 대해 Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와 제1 촉매 가스를 공급하는 공정과, 웨이퍼(200)에 대해 산화 가스와 제2 촉매 가스를 공급하는 공정과, 웨이퍼(200)에 대해 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 공정을 포함하는 세트를 소정 횟수 행함으로써, Si, O, C 및 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 제1 박막을 형성하는 공정(세트 1)과, 웨이퍼(200)에 대해 적어도 Si 및 할로겐 원소를 포함하는 원료 가스와 제3 촉매 가스를 공급하는 공정과, 웨이퍼(200)에 대해 산화 가스와 제4 촉매 가스를 공급하는 공정을 포함하는 세트를 소정 횟수 행함으로써, 적어도 Si, O를 포함하는 제2 박막을 형성하는 공정(세트 2)을 포함하는 사이클을 소정 횟수 행함으로써, 제1 박막과 제2 박막의 적층막을 웨이퍼(200) 상에 형성한다.
이때, 산화 가스나 촉매 가스나 개질 가스로서는, 상술한 실시 형태 등에 예로 든 각종 가스를 이용할 수 있다. 또한, 원료 가스를 포함한 각 가스의 공급 공정에서는 상술한 실시 형태나 변형예의 각각에 대응하는 공정에서의 조건을 이용할 수 있다.
또한, 세트 1에서는 상술한 바와 마찬가지로, 제1 박막으로서 B 등의 소정 원소를 포함하는 SiOC막을 형성할 수 있다. 이때, Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스로서는, 예를 들어 상술한 BTCSM 가스, BTCSE 가스, TCDMDS 가스, DCTMDS 가스, MCPMDS 가스 등을 이용할 수 있다.
또한, 세트 2에서는, 제2 박막으로서, 예를 들어 실리콘 산화막(SiO2막, 이하, SiO막이라고도 함)을 형성할 수 있다. 이때, 적어도 Si 및 할로겐 원소를 포함하는 원료 가스로서는, 예를 들어 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스 및 모노클로로실란(SiH3Cl, 약칭: MCS) 가스 등을 이용할 수 있다. 이와 같이, 에칭 내성이나 유전율이나 애싱 내성이 상이한 2개의 막, 즉 상기 소정 원소를 포함하는 SiOC막과, SiO막의 적층막을 형성함으로써, 적층막에서의 이들 모든 특성의 제어성을 한층 더 향상시킬 수 있다.
또한, 세트 2에서는, 제2 박막으로서, 예를 들어 SiOC막을 형성할 수 있다. 이때, 적어도 Si 및 할로겐 원소를 포함하는 원료 가스로서는, 예를 들어 상술한BTCSM 가스, BTCSE 가스, TCDMDS 가스, DCTMDS 가스, MCPMDS 가스 등을 이용할 수 있다. 이와 같이, 애싱 내성이 상이한 2개의 막, 즉 상기 소정 원소를 포함하는 SiOC막과, 상기 소정 원소를 포함하지 않는 SiOC막의 적층막을 형성함으로써, 적층막에서의 애싱 내성의 제어성을 한층 더 향상시킬 수 있다.
또한, 세트 2에서는, 제2 박막으로서, 예를 들어 SiOC막에 질소(N)를 더 첨가한 SiOCN막을 형성할 수 있다. 이 경우, 세트 2가, 웨이퍼(200)에 대해 질화 가스(질소 함유 가스)를 공급하는 공정을 더 포함하도록 하면 된다. 질화 가스로서는, 예를 들어 암모니아(NH3) 가스, 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8 가스, 이들 화합물을 포함하는 가스 등을 이용할 수 있다. 이와 같이, 모두 애싱 내성이 높은 2개의 막, 즉 상기 소정 원소를 포함하는 SiOC막과, SiOCN막의 적층막을 형성함으로써, 적층막에서의 애싱 내성을 한층 더 향상시킬 수 있다.
또한, 세트 1, 2를 포함하는 사이클을 1회 행함으로써, 제1 박막과 제2 박막이 각각 1층씩 적층되어 이루어지는 적층막(스택막)을 형성할 수 있다. 또한, 세트 1, 2를 포함하는 사이클을 복수 회 행함으로써, 제1 박막과 제2 박막이 각각 복수 적층되어 이루어지는 적층막(라미네이트 막)을 형성할 수 있다.
트랜지스터의 미세화와 더불어, 게이트 전극의 주변 구조로서 이용되는 사이드 월 스페이서(SWS) 등을 구성하는 산화막 등의 박막에 대해, 저온 성막, 저유전율, 저WER 등의 요구가 높아지고 있다. 또한, 차세대 메모리로서 개발되고 있는 RRAM(등록 상표)이나 MRAM에는 이들의 메모리 소자를 둘러싸는 박막을 에치 스토퍼 등의 보호막으로 하여 공정이 진행된다. 이러한 공정 후에 성막되는 박막 등에도, 예를 들어 RRAM에서는 350℃ 이하, MRAM에 이르러서는 250℃ 이하의 저온 성막이 요구되고 있다. 본 발명에 따르면, 저온 조건 하에서 저유전율, 저WER, 또한 이들 특성이 애싱 후에도 유지되는 박막을 형성할 수 있어, 상기와 같은 요구에 대해 본 발명을 적절하게 적용할 수 있다.
상술한 각 실시 형태나 각 변형예의 방법에 의해 형성한 Si계 박막을, SWS로서 사용함으로써, 누설 전류가 적고, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능해진다.
또한, 상술한 각 실시 형태나 각 변형예의 방법에 의해 형성한 Si계 박막을, 에치 스토퍼로서 사용함으로써, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능해진다.
상술한 제2 실시 형태와 그 변형예를 제외한 각 실시 형태나 각 변형예에 따르면, 플라즈마를 이용하지 않고 이상적 양론비의 Si계 박막을 형성할 수 있다. 또한, 플라즈마를 이용하지 않고 Si계 박막을 형성할 수 있으므로, 예를 들어 DPT의 SADP막 등, 플라즈마 손상을 우려하는 공정으로의 적응도 가능해진다.
또한, 이들 각종 박막의 성막에 이용되는 프로세스 레시피(처리 수순이나 처리 조건이 기재된 프로그램)는 기판 처리의 내용(형성하는 박막의 막종, 조성비, 막질, 막 두께 등)에 따라, 각각 개별로 준비하는(복수 준비하는) 것이 바람직하다. 그리고, 기판 처리를 개시할 때, 기판 처리의 내용에 따라, 복수의 프로세스 레시피 중에서 적정한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 구체적으로는, 기판 처리의 내용에 따라 개별로 준비된 복수의 프로세스 레시피를, 전기 통신 회선이나 당해 프로세스 레시피를 기록한 기록 매체[외부 기억 장치(123)]를 통해, 기판 처리 장치가 구비하는 기억 장치(121c) 내에 미리 저장(인스톨)해 두는 것이 바람직하다. 그리고, 기판 처리를 개시할 때, 기판 처리 장치가 구비하는 CPU(121a)가, 기억 장치(121c) 내에 저장된 복수의 프로세스 레시피 중에서 기판 처리의 내용에 따라, 적정한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 이와 같이 구성함으로써, 1대의 기판 처리 장치에서 다양한 막종, 조성비, 막질, 막 두께의 박막을 범용적으로, 또한 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 조작 부담(처리 수순이나 처리 조건의 입력 부담 등)을 저감시킬 수 있어, 조작 오류를 피하면서, 기판 처리를 신속히 개시할 수 있게 된다.
상술한 프로세스 레시피는 새롭게 작성하는 경우에 한정되지 않고, 예를 들어 기판 처리 장치에 이미 인스톨되어 있던 기존의 프로세스 레시피를 변경함으로써 준비해도 된다. 프로세스 레시피를 변경하는 경우에는, 변경 후의 프로세스 레시피를, 전기 통신 회선이나 당해 프로세스 레시피를 기록한 기록 매체를 통해, 기판 처리 장치에 인스톨해도 된다. 기존의 기판 처리 장치가 구비하는 입출력 장치(122)를 조작하여, 기판 처리 장치에 이미 인스톨되어 있던 기존의 프로세스 레시피를 직접 변경하도록 해도 된다.
또한, 상술한 실시 형태 등의 성막 시퀀스에서는, SiOC막, B 등의 소정 원소를 포함하는 SiOC막, 적층막 등의 형성을 실온에서 행하는 예에 대해서도 설명하였다. 이 경우, 히터(207)에 의한 처리실(201) 내의 가열을 행할 필요는 없어, 기판 처리 장치에 히터를 설치하지 않아도 된다. 이에 의해, 기판 처리 장치의 가열계의 구성을 간소화할 수 있어, 기판 처리 장치를 보다 저렴하고 단순한 구조로 할 수 있다. 단, 이 경우에 있어서, SiOC막 등의 개질 공정을 고온에서 행할 때에는, SiOC막 등의 형성 공정을 행하는 처리실과는 다른 처리실에서, 엑스 사이투 방식으로 개질 공정을 행하게 된다.
또한, 상술한 실시 형태 등에서는, 한번에 복수매의 기판을 처리하는 뱃치식 기판 처리 장치를 이용하여 박막을 성막하는 예에 대해 설명하였지만, 본 발명은 이에 한정되지 않고, 한번에 1매 또는 수매의 기판을 처리하는 매엽식 기판 처리 장치를 이용하여 박막을 성막하는 경우에도 적절하게 적용할 수 있다. 또한, 상술한 실시 형태에서는 핫 월형의 처리로를 갖는 기판 처리 장치를 이용하여 박막을 성막하는 예에 대해 설명하였지만, 본 발명은 이에 한정되지 않고, 콜드 월형의 처리로를 갖는 기판 처리 장치를 이용하여 박막을 성막하는 경우에도 적절하게 적용할 수 있다.
또한, 상술한 각 실시 형태 및 각 변형예는 적절히 조합하여 이용할 수 있다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대해 부기한다.
(부기 1)
본 발명의 일 형태에 따르면,
기판에 대해 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 공정과,
상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 공정과,
상기 기판에 대해 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소, 탄소 및 상기 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기 2)
부기 1의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 사이클은,
상기 원료 가스와 제1 촉매 가스를 공급하는 공정과,
상기 산화 가스와 제2 촉매 가스를 공급하는 공정을 포함하는 세트를 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 제1 박막을 상기 기판 상에 형성하는 공정과,
상기 개질 가스를 공급하는 공정을 행함으로써, 상기 제1 박막을, 실리콘, 산소 및 탄소에 더하여 상기 소정의 Ⅲ족 원소 또는 V족 원소를 더 포함하는 제2 박막으로 개질하는 공정을 포함한다.
(부기 3)
부기 2의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 제1 박막을 형성하는 공정 및 상기 제1 박막을 개질하는 공정은 상기 기판을 동일한 처리실 내에 수용한 상태에서 행해진다.
(부기 4)
부기 2의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 제1 박막을 형성하는 공정 및 상기 제1 박막을 개질하는 공정 각각은 상기 기판을 각각 상이한 처리실 내에 수용한 상태에서 행해진다.
(부기 5)
부기 1의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 사이클은,
상기 원료 가스와 제1 촉매 가스를 공급하는 공정과,
상기 산화 가스와 제2 촉매 가스를 공급하는 공정과,
상기 개질 가스를 공급하는 공정을 이 순서로 행하는 공정을 포함한다.
(부기 6)
부기 1 내지 5 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스와 제1 촉매 가스를 공급하는 공정과, 상기 산화 가스와 제2 촉매 가스를 공급하는 공정에서는, 상기 기판의 온도를 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 온도로 한다.
(부기 7)
부기 1 내지 6 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스를 공급하는 공정에서는 상기 기판의 온도를 실온 이상 500℃ 이하의 온도로 한다.
(부기 8)
부기 1 내지 7 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스를 공급하는 공정에서는, 상기 기판의 온도를,
상기 원료 가스와 촉매 가스를 공급하는 공정과,
상기 산화 가스와 촉매 가스를 공급하는 공정에서의 상기 기판의 온도와 동등한 온도로 한다.
(부기 9)
부기 1 내지 6 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스를 공급하는 공정에서는 상기 기판의 온도를 200℃ 이상 900℃ 이하, 바람직하게는 200℃ 이상 700℃ 이하, 보다 바람직하게는 200℃ 이상 600℃ 이하의 온도로 한다.
(부기 10)
부기 1 내지 9 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 각 공정은 논플라즈마의 분위기 하에서 행해진다.
(부기 11)
부기 1 내지 8 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스를 공급하는 공정에서는 상기 개질 가스를 플라즈마로 여기한 상태에서 상기 기판에 대해 공급한다.
(부기 12)
부기 1 내지 10 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스를 공급하는 공정에서는 상기 개질 가스를 촉매 가스와 함께 상기 기판에 대해 공급한다.
(부기 13)
부기 1 내지 12 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스는 알킬기 및 알킬렌기를 포함하는 군으로부터 선택되는 적어도 하나를 포함한다.
(부기 14)
부기 13의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 알킬렌기를 포함하는 상기 원료 가스는 Si-C-Si 결합 및 Si-C-C-Si 결합을 포함하는 군으로부터 선택되는 적어도 하나를 갖는다.
(부기 15)
부기 1 내지 14 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 원료 가스는 상기 Si-C 결합을 구성하는 탄소를 포함하는 알킬기 및 상기 Si-C 결합을 구성하는 탄소를 포함하는 알킬렌기를 포함하는 군으로부터 선택되는 적어도 하나를 포함한다.
(부기 16)
부기 15의 반도체 장치의 제조 방법에 있어서,
상기 알킬렌기를 포함하는 상기 원료 가스는 상기 Si-C 결합을 그 일부에 포함하는 Si-C-Si 결합 및 상기 Si-C 결합을 그 일부에 포함하는 Si-C-C-Si 결합을 포함하는 군으로부터 선택되는 적어도 하나를 갖는다.
(부기 17)
부기 1 내지 16 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 촉매 가스는 아민계 촉매 가스를 포함한다.
(부기 18)
부기 1 내지 17 중 어느 하나의 반도체 장치의 제조 방법이며, 바람직하게는,
상기 개질 가스는 상기 Ⅲ족 원소로서 B 또는 In을 포함하고, 상기 V족 원소로서 P 또는 As를 포함한다.
(부기 19)
부기 1 내지 18 중 어느 하나의 반도체 장치의 제조 방법에 있어서, 바람직하게는,
상기 개질 가스는 붕소 함유 가스 및 인듐 함유 가스를 포함하는 군으로부터 선택되는 적어도 하나, 혹은 인 함유 가스 및 비소 함유 가스를 포함하는 군으로부터 선택되는 적어도 하나를 포함한다.
(부기 20)
본 발명의 또 다른 형태에 따르면,
기판에 대해 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 공정과,
상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 공정과,
상기 기판에 대해 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 공정
을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소, 탄소 및 상기 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하는 기판 처리 방법이 제공된다.
(부기 21)
본 발명의 또 다른 형태에 따르면,
기판을 수용하는 처리실과,
상기 처리실 내에 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스를 공급하는 원료 가스 공급계와,
상기 처리실 내에 산화 가스를 공급하는 산화 가스 공급계와,
상기 처리실 내에 제1 및 제2 촉매 가스를 공급하는 촉매 가스 공급계와,
상기 처리실 내에 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 개질 가스 공급계와,
상기 처리실 내의 기판에 대해 상기 원료 가스와 제1 촉매 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대해 상기 산화 가스와 제2 촉매 가스를 공급하는 처리와, 상기 기판에 대해 상기 개질 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소, 탄소 및 상기 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 박막을 상기 기판 상에 형성하는 처리를 행하도록 상기 원료 가스 공급계, 상기 산화 가스 공급계, 상기 촉매 가스 공급계 및 상기 개질 가스 공급계를 제어하는 제어부
를 포함하는 기판 처리 장치가 제공된다.
(부기 22)
본 발명의 또 다른 형태에 따르면,
기판 상에 제1 박막을 형성하는 제1 기판 처리 장치와, 상기 제1 박막을 개질하는 제2 기판 처리 장치를 포함하는 기판 처리 시스템으로서,
상기 제1 기판 처리 장치는,
기판을 수용하는 제1 처리실과,
상기 제1 처리실 내에 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스를 공급하는 원료 가스 공급계와,
상기 제1 처리실 내에 산화 가스를 공급하는 산화 가스 공급계와,
상기 제1 처리실 내에 제1 및 제2 촉매 가스를 공급하는 촉매 가스 공급계와,
상기 제1 처리실 내의 기판에 대해 상기 원료 가스와 제1 촉매 가스를 공급하는 처리와, 상기 제1 처리실 내의 상기 기판에 대해 상기 산화 가스와 제2 촉매 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 제1 박막을 상기 기판 상에 형성하는 처리를 행하도록 상기 원료 가스 공급계, 상기 산화 가스 공급계 및 상기 촉매 가스 공급계를 제어하는 제1 제어부를 포함하고,
상기 제2 기판 처리 장치는,
기판을 수용하는 제2 처리실과,
상기 제2 처리실 내로 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 개질 가스 공급계와,
상기 기판에 대해 상기 개질 가스를 공급하는 처리를 행함으로써, 상기 박막을 상기 소정 원소를 더 포함하는 제2 박막으로 개질하는 처리를 행하도록 상기 개질 가스 공급계를 제어하는 제2 제어부를 포함하는 기판 처리 시스템이 제공된다.
(부기 23)
본 발명의 또 다른 형태에 따르면,
기판 처리 장치의 처리실 내의 기판에 대해 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 수순과,
상기 처리실 내의 상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 수순과,
상기 기판에 대해 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 수순
을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소, 탄소 및 상기 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 박막을 상기 기판 상에 형성하는 수순을 컴퓨터에 실행시키는 프로그램 및 상기 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
121 : 컨트롤러(제어부)
200 : 웨이퍼(기판)
201 : 처리실
202 : 처리로
203 : 반응관
207 : 히터
209 : 매니폴드
231 : 배기관
232a 내지 232f : 가스 공급관
244: APC 밸브(압력 조정부)

Claims (19)

  1. 기판에 대해 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 공정과,
    상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 공정과,
    상기 기판에 대해 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 공정
    을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소와 함께 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 박막을 상기 기판 상에 형성하는 공정을 포함하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서, 상기 사이클은,
    상기 원료 가스와 상기 제1 촉매 가스를 공급하는 공정; 및
    상기 산화 가스와 상기 제2 촉매 가스를 공급하는 공정을 포함하는 세트를 소정 횟수 행함으로써, 실리콘, 산소 및 탄소를 포함하는 제1 박막을 상기 기판 상에 형성하는 공정과,
    상기 개질 가스를 공급하는 공정을 행함으로써, 상기 제1 박막을, 실리콘, 산소 및 탄소에 더하여 상기 소정의 Ⅲ족 원소 또는 V족 원소를 더 포함하는 제2 박막으로 개질하는 공정
    을 포함하는 반도체 장치의 제조 방법.
  3. 제2항에 있어서, 상기 제1 박막을 형성하는 공정 및 상기 제1 박막을 개질하는 공정은 상기 기판을 동일한 처리실 내에 수용한 상태에서 행해지는, 반도체 장치의 제조 방법.
  4. 제2항에 있어서, 상기 제1 박막을 형성하는 공정 및 상기 제1 박막을 개질하는 공정은 상기 기판을 각각 상이한 처리실 내에 수용한 상태에서 행해지는, 반도체 장치의 제조 방법.
  5. 제1항에 있어서, 상기 사이클은,
    상기 원료 가스와 상기 제1 촉매 가스를 공급하는 공정과,
    상기 산화 가스와 상기 제2 촉매 가스를 공급하는 공정과,
    상기 개질 가스를 공급하는 공정
    을 이 순서로 행하는 공정을 포함하는 반도체 장치의 제조 방법.
  6. 제1항에 있어서, 상기 원료 가스와 제1 촉매 가스를 공급하는 공정과, 상기 산화 가스와 제2 촉매 가스를 공급하는 공정에서는 상기 기판의 온도를 실온 이상 150℃ 이하의 온도로 하는, 반도체 장치의 제조 방법.
  7. 제1항에 있어서, 상기 개질 가스를 공급하는 공정에서는 상기 기판의 온도를 실온 이상 500℃ 이하의 온도로 하는, 반도체 장치의 제조 방법.
  8. 제1항에 있어서, 상기 개질 가스를 공급하는 공정에서는 상기 기판의 온도를, 상기 원료 가스와 상기 제1 촉매 가스를 공급하는 공정과, 상기 산화 가스와 상기 제2 촉매 가스를 공급하는 공정에서의 상기 기판의 온도와 동등한 온도로 하는, 반도체 장치의 제조 방법.
  9. 제1항에 있어서, 상기 개질 가스를 공급하는 공정에서는 상기 기판의 온도를 200℃ 이상 900℃ 이하의 온도로 하는, 반도체 장치의 제조 방법.
  10. 제1항에 있어서, 상기 각 공정은 논플라즈마의 분위기 하에서 행해지는, 반도체 장치의 제조 방법.
  11. 제1항에 있어서, 상기 개질 가스를 공급하는 공정에서는 상기 개질 가스를 플라즈마로 여기한 상태에서 상기 기판에 대해 공급하는, 반도체 장치의 제조 방법.
  12. 제1항에 있어서, 상기 개질 가스를 공급하는 공정에서는 상기 개질 가스를 제3 촉매 가스와 함께 상기 기판에 대해 공급하는, 반도체 장치의 제조 방법.
  13. 제1항에 있어서, 상기 원료 가스는 알킬기 및 알킬렌기를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는, 반도체 장치의 제조 방법.
  14. 제1항에 있어서, 상기 원료 가스는 Si-C-Si 결합 및 Si-C-C-Si 결합을 포함하는 군으로부터 선택되는 적어도 하나를 갖는, 반도체 장치의 제조 방법.
  15. 제1항에 있어서, 상기 제1 촉매 가스 및 제2 촉매 가스 각각은 아민계 촉매 가스를 포함하는 반도체 장치의 제조 방법.
  16. 제1항에 있어서, 상기 개질 가스는 상기 Ⅲ족 원소로서 B 또는 In을 포함하고, 상기 V족 원소로서 P 또는 As를 포함하는 반도체 장치의 제조 방법.
  17. 제1항에 있어서, 상기 개질 가스는 붕소 함유 가스 및 인듐 함유 가스를 포함하는 군으로부터 선택되는 적어도 하나, 혹은 인 함유 가스 및 비소 함유 가스를 포함하는 군으로부터 선택되는 적어도 하나를 포함하는 반도체 장치의 제조 방법.
  18. 기판을 수용하는 처리실과,
    상기 처리실 내에 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스를 공급하는 원료 가스 공급계와,
    상기 처리실 내에 산화 가스를 공급하는 산화 가스 공급계와,
    상기 처리실 내에 제1 및 제2 촉매 가스를 공급하는 촉매 가스 공급계와,
    상기 처리실 내에 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 개질 가스 공급계와,
    상기 처리실 내의 기판에 대해 상기 원료 가스와 상기 제1 촉매 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대해 상기 산화 가스와 상기 제2 촉매 가스를 공급하는 처리와, 상기 기판에 대해 상기 개질 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소와 함께 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 박막을 상기 기판 상에 형성하는 처리를 행하도록 상기 원료 가스 공급계, 상기 산화 가스 공급계, 상기 촉매 가스 공급계 및 상기 개질 가스 공급계를 제어하는 제어부
    를 포함하는 기판 처리 장치.
  19. 처리실 내의 기판에 대해 실리콘, 탄소 및 할로겐 원소를 포함하고 Si-C 결합을 갖는 원료 가스와, 제1 촉매 가스를 공급하는 수순과,
    상기 처리실 내의 상기 기판에 대해 산화 가스와 제2 촉매 가스를 공급하는 수순과,
    상기 기판에 대해 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 개질 가스를 공급하는 수순
    을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 산소 및 탄소와 함께 소정의 Ⅲ족 원소 또는 V족 원소를 포함하는 박막을 상기 기판 상에 형성하는 수순을 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체.
KR1020140036807A 2013-05-24 2014-03-28 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 KR101538581B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013110242A JP5998101B2 (ja) 2013-05-24 2013-05-24 半導体装置の製造方法、基板処理装置及びプログラム
JPJP-P-2013-110242 2013-05-24

Publications (2)

Publication Number Publication Date
KR20140138019A KR20140138019A (ko) 2014-12-03
KR101538581B1 true KR101538581B1 (ko) 2015-07-21

Family

ID=51935644

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140036807A KR101538581B1 (ko) 2013-05-24 2014-03-28 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Country Status (5)

Country Link
US (1) US9472391B2 (ko)
JP (1) JP5998101B2 (ko)
KR (1) KR101538581B1 (ko)
CN (1) CN104183480B (ko)
TW (1) TWI567792B (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6125946B2 (ja) * 2013-08-08 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6210828B2 (ja) * 2013-10-04 2017-10-11 株式会社Adeka 薄膜形成用原料、薄膜の製造方法
WO2015199111A1 (ja) 2014-06-25 2015-12-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
JP6594804B2 (ja) * 2016-03-11 2019-10-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10361282B2 (en) * 2017-05-08 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a low-K spacer
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
JP6956660B2 (ja) * 2018-03-19 2021-11-02 東京エレクトロン株式会社 クリーニング方法及び成膜装置
JP7197328B2 (ja) * 2018-11-05 2022-12-27 株式会社Adeka 薄膜形成用原料及び薄膜の製造方法
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11894220B2 (en) * 2019-07-17 2024-02-06 Applied Materials, Inc. Method and apparatus for controlling a processing reactor
JP7023905B2 (ja) 2019-08-30 2022-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100047821A (ko) * 2008-10-29 2010-05-10 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
KR20110089117A (ko) * 2009-02-17 2011-08-04 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
KR20110120859A (ko) * 2007-12-26 2011-11-04 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5958324A (en) * 1998-02-06 1999-09-28 Dow Corning Corporation Method for formation of crystalline boron-doped silicon carbide and amorphous boron silicon oxycarbide fibers from polymer blends containing siloxane and boron
US6610362B1 (en) * 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US6967405B1 (en) * 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8432040B2 (en) * 2006-06-01 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure design for low RC delay and leakage
JP2008071894A (ja) 2006-09-13 2008-03-27 Tokyo Electron Ltd 成膜方法
US7611922B2 (en) * 2006-11-13 2009-11-03 Dongbu Hitek Co., Ltd. Image sensor and method for manufacturing the same
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
JP5341358B2 (ja) * 2008-02-01 2013-11-13 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置及び基板処理方法
JP5384852B2 (ja) * 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
JP5421736B2 (ja) 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5572447B2 (ja) * 2010-05-25 2014-08-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5847566B2 (ja) 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2012128044A1 (ja) 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
WO2013027549A1 (ja) * 2011-08-25 2013-02-28 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP6199292B2 (ja) * 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
JP6155063B2 (ja) 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110120859A (ko) * 2007-12-26 2011-11-04 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
KR20100047821A (ko) * 2008-10-29 2010-05-10 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
KR20110089117A (ko) * 2009-02-17 2011-08-04 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치

Also Published As

Publication number Publication date
TWI567792B (zh) 2017-01-21
US20140349492A1 (en) 2014-11-27
US9472391B2 (en) 2016-10-18
TW201507000A (zh) 2015-02-16
JP5998101B2 (ja) 2016-09-28
KR20140138019A (ko) 2014-12-03
JP2014229834A (ja) 2014-12-08
CN104183480A (zh) 2014-12-03
CN104183480B (zh) 2017-03-29

Similar Documents

Publication Publication Date Title
KR101538581B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101670182B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR102186965B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6484478B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6242095B2 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
KR101553481B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US10090149B2 (en) Method of manufacturing semiconductor device by forming and modifying film on substrate
JP6415730B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR20140034071A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR20150104037A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20140114762A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101997959B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6339236B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
TW202118894A (zh) 基板處理裝置、電漿生成裝置、半導體裝置之製造方法及程式

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20180619

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190619

Year of fee payment: 5