CN104183480B - 半导体设备制造方法和基材处理装置 - Google Patents

半导体设备制造方法和基材处理装置 Download PDF

Info

Publication number
CN104183480B
CN104183480B CN201410139117.8A CN201410139117A CN104183480B CN 104183480 B CN104183480 B CN 104183480B CN 201410139117 A CN201410139117 A CN 201410139117A CN 104183480 B CN104183480 B CN 104183480B
Authority
CN
China
Prior art keywords
gas
supply
chip
modified
base material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410139117.8A
Other languages
English (en)
Other versions
CN104183480A (zh
Inventor
岛本聪
广濑义朗
佐野敦
镰仓司
野田孝晓
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN104183480A publication Critical patent/CN104183480A/zh
Application granted granted Critical
Publication of CN104183480B publication Critical patent/CN104183480B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一种半导体设备制造方法,其包括通过执行预定次数的循环来在基材上形成含硅、氧、碳和指定的第III族或第V族元素的薄膜。所述循环包括:向基材供给含硅、碳和卤族元素并具有Si‑C键的前体气体及第一催化气体;向基材供给氧化气体和第二催化气体;和向基材供给含指定的第III族或第V族元素的改性气体。

Description

半导体设备制造方法和基材处理装置
相关申请的交叉引用
本申请基于并要求2013年5月24日提交的日本专利申请号2013-110242的优先权,所述申请在此通过引用以其全文并入。
技术领域
本公开涉及半导体设备制造方法和基材处理装置。
背景技术
作为半导体设备制造工艺的一个实例,存在通过供应例如含硅前体气体和氧化气体给基材,在基材上形成氧化硅膜的情形。此时,通过采用例如催化气体可以在相对低的温度下形成膜,其改善半导体设备的热平衡。
当在基材上形成前述薄膜时,可以通过将例如碳等添加到所述薄膜来改善膜质量,例如抗湿法刻蚀性等。
然而,在相对低温的条件下,不能向薄膜中引入足够量的碳。这通常使得难以获得具有高抗刻蚀性的薄膜。此外,有时会出现加入碳的薄膜表现出低的抗灰化性(ashingresistance)的情形。
发明概述
本公开提供半导体设备制造方法和基材处理装置的一些实施方式,所述方法和装置能够形成具有优异抗灰化性同时保持高抗刻蚀性的薄膜。
依据本公开的一方面,提供了半导体设备制造方法,其包括:通过执行预定次数的循环,在基材上形成包含硅、氧、碳和指定的第III族或第V族元素的薄膜,所述循环包括:将含有硅、碳和卤族元素 并具有Si-C键的前体气体和第一催化气体供应给所述基材;将氧化气体和第二催化气体供应给所述基材;以及将包含指定的第III族或第V族元素的改性气体供应给所述基材。
根据本公开的另一个方面,提供了基材处理装置,其包含:处理室,其被构造为在其中容纳基材;前体气体供应系统,其被构造为将含有硅、碳和卤族元素并具有Si-C键的前体气体供应到所述处理室中;氧化气体供应系统,其被构造为将氧化气体供应到所述处理室中;催化气体供应系统,其被构造为将第一和第二催化气体供应到所述处理室中;改性气体供应系统,其被构造为将包含指定的第III族或第V族元素的改性气体供应到所述处理室中;以及控制单元,其被构造为控制所述前体气体供应系统、所述氧化气体供应系统、所述催化气体供应系统和所述改性气体供应系统,使得通过执行预定次数的循环来进行在基材上形成含有硅、氧、碳和指定的第III族或第V族元素的薄膜的工艺,所述循环包括:将所述前体气体和第一催化气体供应给容纳在所述处理室内的所述基材;将所述氧化气体和所述第二催化气体供应给容纳在所述处理室内的所述基材;以及将所述改性气体供应给所述基材。
附图简要说明
图1是说明适合在本公开第一实施方式中使用的基材处理装置的垂直处理炉的示意性构造图,所述处理炉被显示为纵剖视图。
图2是说明适合在本公开第一实施方式中使用的基材处理装置的垂直处理炉的示意性构造图,所述处理炉被显示为沿图1中线II-II剖取的剖视图。
图3是说明适合在本公开第一实施方式中使用的基材处理装置的控制器的示意性构造图,所述控制器的控制系统被显示为框图。
图4是显示在本公开第一实施方式的成膜顺序中的成膜流程的视图。
图5A是显示在本公开第一实施方式的成膜顺序中的气体供应时 机的视图,图5B是说明其变型实施例的视图。
图6A和6B是在本公开第一实施方式的成膜工艺中的催化剂作用的说明性视图,图6A是说明步骤1a的视图,而图6B是说明步骤2a的视图。
图7A和7B是对本公开第一实施方式的变型方案的成膜顺序中的成膜流程的视图,图7A显示SiOC膜形成工艺,图7B是显示SiOC膜改性工艺。
图8是显示在本公开第二实施方式的成膜顺序中的成膜流程的视图。
图9A是显示在本公开第二实施方式的成膜顺序中的气体供应时机和射频电源(RFpower)供应时机的视图,图9B是显示其变型实施例的视图。
图10A至10D是用作前体气体的多种硅烷的化学结构式的视图,分别显示了BTCSM、BTCSE、TCDMDS、和DCTMDS的化学结构式,而图10E是显示用作催化气体的多种胺的名称、化学组成式、化学结构式和酸解离常数的视图。
发明详述
现在将详细参考各种实施方式,其中的实施例在附图中说明。在以下详细描述中,提出了许多具体细节,以提供对本公开的深入理解。然而,本领域普通技术人员明了本公开可以在没有这些具体细节下实施。在其他情况下,没有详细描述公知的方法、流程、系统和组件,以免不必要地使所述多种实施方式的方面模糊。
第一个实施方式
本公开的第一个实施方式现将参照附图进行描述。
(1)基材处理装置的整体构造
如图1所示,处理炉202包括作为加热单元(加热机构)的加热器207。加热器207具有圆柱状形状,并被作为支撑板的加热器底座(未 显示)支撑,使其垂直安装。如后文所述,加热器207也作为激活机构(激发单元),以通过热来激活(激发)气体。
反应管203设置于加热器207内部,以与加热器207同心。反应管203由耐热材料如石英(SiO2)或碳化硅(SiC)制成,并具有上端封闭、下端打开的圆柱状形状。歧管(入口法兰)209与反应管203同心地设置于反应管203之下。歧管209由例如金属如不锈钢制成,并形成为其上下端部打开的圆柱状形状。歧管209的上端啮合反应管203的下端,且被构造为支撑反应管203。作为密封件的O形环220a被安装在歧管209和反应管203之间。歧管209被支撑在加热器底座上,由此使反应管203处于垂直安装状态。处理容器(反应容器)主要包括反应管203和歧管209。处理室201被限定在所述处理容器的中空圆柱形部分内。处理室201被构造为容纳作为基材的多个晶片200。晶片200水平堆叠成多个层,其将在垂直方向上排列于晶舟217(将在后文描述)内。
喷嘴249a至249d安装在处理室201内,以便穿过歧管209的侧壁。气体供应管232a至232d分别连接至喷嘴249a至249d。气体供应管232e和232f连接至气体供应管232d。采用这种方式,四个喷嘴249a至249d和多个气体供应管232a至232f被安装在反应管203内,并被构造为将多种不同类型的气体供应到处理室201中。
用作例如前体气体供应源的(SiCl3)2CH2(BTCSM)气体供应源242a连接到气体供应管232a的上游端。用作例如氧化气体供应源的H2O气体供应源242b连接到气体供应管232b的上游端。用作例如催化气体供应源的C5H5N(吡啶)气体供应源242c连接到气体供应管232c的上游端。用作例如惰性气体供应源的N2气体供应源242g至242i连接到分别与气体供应管232a至232c连接的气体供应管232g至232i的上游端。作为流率控制器(流率控制部分)的MFC(质量流率控制器)241a至241c和241g至241i以及作为开/关阀的阀243a至243c和243g至243i被以此顺序从气体供应管232a至232c和232g至232i(各个气体供应管242a至242c和242g至242i与其连接)的上游端分别安装在气体供应管232a至232c和232g至232i中。气体供应管232g至232i的下游端分别 在阀243a至243c的下游侧与气体供应管232a至232c相连接。
所述喷嘴249a至249c分别与气体供应管232a至232c的末端部分连接。如图2所示,所述喷嘴249a至249c被安装在反应管203内壁与晶片200之间的环形空间内,以便在晶片200堆叠方向上从反应管203内壁的下部向上延伸至其上部。也就是说,所述喷嘴249a至249c分别安装在位于晶片200在其中排列的晶片排列区域的横向侧部且水平围绕该晶片排列区域的区域,使得喷嘴249a至249c可以沿晶片排列区域延伸。每个所述喷嘴249a至249c被构造为L-形的长喷嘴。每个所述喷嘴249a至249c包括被安装为穿过歧管209侧壁的水平部分,以及被安装为至少从晶片排列区域的一端部分向上延伸至其另一端部分的垂直部分。用来通过其供应气体的气体供应孔250a至250c分别形成在所述喷嘴249a to249c的侧表面。如图2所示,所述气体供应孔250a至250c朝向反应管203中心打开,使得可以朝向晶片200供应气体。多个气体供应孔250a至250c从反应管203的下端部分至上端部分以预定开口间距设置。多个气体供应孔250a至250c的每一个都具有相同的开口面积。
用作例如改性气体供应源的BCl3气体供应源242d连接至气体供应管232d的上游端。用作例如改性气体供应源的PH3气体供应源242e连接至气体供应管232e的上游端。用作例如用来供应辅助点燃等离子体的气体的辅助气体供应源的Ar气体供应源242f连接至气体供应管232f的上游端。用作例如惰性气体供应源的N2气体供应源242j连接至气体供应管232j的上游端,气体供应管232j连接至气体供应管232d。作为流率控制器(流率控制部分)的MFCs241d至241f和241j以及作为开/关阀的阀243d至243f和243j被以此顺序从气体供应管232d至232f和232j的上游端分别安装在气体供应管232d至232f和232j中,气体供应源242d至242f和242j分别与气体供应管232d至232f和232j连接。气体供应管232e和232f的下游端和气体供应管232j的下游端在阀243d下游侧连接至气体供应管232d。
所述喷嘴249d连接至气体供应管232d的末端部分。所述喷嘴249d 安装在作为气体扩散空间的缓冲室237内。如图2所示,缓冲室237安装在反应管203内壁与晶片200之间的环形空间内,并在晶片200堆叠方向上沿着反应管203内壁从底部到顶部进行设置。也就是说,所述缓冲室237安装在位于晶片排列区域的横向侧部并水平包围晶片排列区域的区域中,以便沿着晶片排列区域延伸。用来通过其供应气体的气体供应孔250e形成在缓冲室237与晶片200相邻的壁的端部。气体供应孔250e朝向反应管203中心打开,使得气体可以朝向晶片200供应。多个气体供应孔250e以预定开孔间距从反应管203下部到上部设置。多个气体供应孔250e的每一个都具有相同开孔面积。
如图2所示,喷嘴249d安装在缓冲室237与气体供应孔250e在其中形成的端部相对的端部中,使得喷嘴249d在晶片200堆叠方向中从反应管203内壁的下部至其上部向上延伸。也就是说,喷嘴249d被安装位于晶片200在其中排列的晶片排列区域的横向侧部且水平围绕该晶片排列区域的区域,使得喷嘴249d沿晶片排列区域延伸。喷嘴249d被构造为L-形长喷嘴。喷嘴249d包括被安装为穿过歧管209侧壁的水平部分,以及被安装为至少从晶片排列区域的一端部分向上延伸至其另一端部分的垂直部分。用来通过其供应气体的气体供应孔250d形成在喷嘴249d侧表面。如图2所示,所述气体供应孔250d朝向缓冲室237中心打开。如与缓冲室237的气体供应孔250e一样,多个气体供应孔250d从反应管203下部至其上部形成。如果缓冲室237内压和处理室201内压之间的压差小,则各个气体供应孔250d可能具有相同的开口面积以及从上游侧(下部)至下游侧(上部)的相同的间距。然而,如果压差大,则从上游侧至下游侧,所述开口面积可能会增加或所述开口间距可能会减小。
在本实施方式中,以前述方式从上游侧至下游侧调节各个气体供应孔250d的开口面积或开口间距,由此首先以不同的流速下但以基本相等的流率从各个气体供应孔250d喷射气体。然后,从各个气体供应孔250d喷射的气体首先被引入到缓冲室237中,在这里使得不同的气体流速变得均匀。也就是说,从各个气体供应孔250d喷射进缓冲室237 中的气体的粒子速度在缓冲室237内被降低。其后,气体从气体供应孔250e喷射进处理室201中。因此,从各个气体供应孔250d喷射进缓冲室237中的气体在从气体供应孔250e喷射进处理室201中时变为具有均匀流率和均匀流速的气体。
在利用长喷嘴的本实施方式的气体供应方法中,气体经由喷嘴249a至249d和设置在垂直延伸的环形空间(即由反应管203的内壁和堆叠晶片200的端部所限定的圆柱形空间)内的缓冲室237进行转移。气体最初从形成在喷嘴249a至249d和缓冲室237中的气体供应孔250a至250e喷射进晶片200附近的反应管203中。因此,反应管203内气体的主流朝向与晶片200的表面平行的方向,即以水平方向。采用该构造,气体可被均匀地供给到各个晶片200并因此使得每个晶片200的表面上形成的膜的厚度均匀。另外,在晶片200的表面上流动的气体,即反应后留存的残余气体,将流向排气口,即后文描述的排气管231。残余气体的流动方向不限于竖直方向,而是根据排气口的位置来适当地决定。
含硅(Si)、碳(C)和卤族元素(如氟(F)、氯(Cl)或溴(Br))并具有Si-C键的前体气体,例如包含亚甲基的基于氯硅烷的前体气体(其为含Si、作为亚烷基基团的亚甲基基团和作为卤基的氯基的前体气体),通过MFC241a、阀243a和喷嘴249a从气体供应管232a供给到处理室201中。包含亚甲基基团的基于氯硅烷的前体气体指包含亚甲基和氯基的基于硅烷的前体气体。基于氯硅烷的前体气体为包含至少Si、含C的亚甲基基团以及作为卤族元素的Cl的前体气体。作为从气体供应管232a供给的包含亚甲基的基于氯硅烷的前体气体,可以使用例如亚甲基双(三氯硅烷)气体,即双(三氯甲硅烷基)甲烷[(SiCl3)2CH2,缩写:BTCSM]气体。
如图10A中所示,BTCSM在其化学结构式(一个分子)中包含作为亚烷基的亚甲基。在BTCSM中包含的亚甲基中,两个成键电子与Si键合,从而形成Si-C-Si键。前体气体的Si-C键为例如BTCSM中包含的Si-C-Si键的一部分。BTCSM中包含的亚甲基包含构成该Si-C键的C。
含Si、C和卤族元素并具有Si-C键的前体气体包括例如包含亚乙基的基于氯硅烷的前体气体,其为包含亚乙基作为亚烷基和作为卤基的氯基的前体气体。作为包含亚乙基的基于氯硅烷的前体气体,可以使用例如亚乙基双(三氯硅烷)气体,即1,2-双(三氯甲硅烷基)乙烷[(SiCl3)2C2H4,缩写:BTCSE]气体。
如图10B中所示,BTCSE在其化学结构式(一个分子)中包含作为亚烷基的亚乙基。在BTCSE中包含的亚乙基中,两个成键电子与Si键合,从而形成Si-C-C-Si键。前体气体的Si-C键为例如BTCSE中包含的Si-C-C-Si键的一部分。BTCSE中包含的亚乙基包含构成该Si-C键的C。
亚烷基为通过从由通式CnH2n+2所表示的链状饱和烃(链烷)中移除两个氢(H)原子所获得的官能团,并为由通式CnH2n所表示的原子集合。亚烷基不仅包括上面提到的亚甲基和亚乙基,而且包括亚丙基、亚丁基等。如上面所提到,含Si、C和卤族元素并具有Si-C键的前体气体包括含Si、亚烷基和卤族元素的基于亚烷基卤硅烷的前体气体。基于亚烷基卤硅烷的前体气体为包含亚烷基的基于卤硅烷的前体气体。可以说基于亚烷基卤硅烷的前体气体为具有如下结构的气体,在所述结构中在例如Si-Si键之间引入了亚烷基而同时保持了其中许多卤族元素与基于卤硅烷的前体气体的Si的成键电子键合的状态。基于亚烷基卤硅烷的前体气体包括BTCSM气体、BTCSE气体等。
含Si、C和卤族元素并具有Si-C键的前体气体还包括例如包含甲基的基于氯硅烷的前体气体,其为包含甲基作为烷基和氯基作为卤基的前体气体。包含甲基基团的基于氯硅烷的前体气体为包含甲基和氯基的基于硅烷的气体,并为包含至少Si、含C的甲基以及Cl作为卤族元素的前体气体。作为包含甲基的基于氯硅烷的前体气体,可以使用例如1,1,2,2-四氯-1,2-二甲基二硅烷[(CH3)2Si2Cl4,缩写:TCDMDS]气体、1,2-二氯-1,1,2,2-四甲基二硅烷[(CH3)4Si2Cl2,缩写:DCTMDS]气体、1-单氯-1,1,2,2,2-五甲基二硅烷[(CH3)5Si2Cl,缩写:MCPMDS]等。
如图10C中所示,TCDMDS在其化学结构式(一个分子)中包含 两个作为烷基的甲基。在TCDMDS中包含的两个甲基中,各个成键电子分别与Si键合,从而形成Si-C键。前体气体的Si-C键为例如TCDMDS中包含的Si-C键。TCDMDS中包含的两个甲基中的每一个包含构成该Si-C键的C。
如图10D中所示,DCTMDS在其化学结构式(一个分子)中包含四个作为烷基的甲基。在DCTMDS中包含的四个甲基中,各个成键电子分别与Si键合,从而形成Si-C键。前体气体的Si-C键为例如DCTMDS中包含的Si-C键。DCTMDS中包含的四个甲基中的每一个包含构成该Si-C键的C。
烷基为通过从由通式CnH2n+2所表示的链状饱和烃(链烷)中移除一个H原子所获得的官能团,并为由通式CnH2n+1所表示的原子集合。烷基不仅包括上面提到的甲基,而且包括乙基、丙基、丁基等。如上面所提到,含Si、C和卤族元素并具有Si-C键的前体气体包括含Si、烷基和卤族元素的基于烷基卤硅烷的前体气体。基于烷基卤硅烷的前体气体为包含烷基的基于卤硅烷的前体气体。可以说基于烷基卤硅烷的前体气体为具有如下结构的气体,在所述结构中作为基于卤硅烷的前体气体的一部分的卤基被烷基所取代。基于烷基卤硅烷的前体气体包括TCDMDS气体、DCTMDS气体、MCPMDS气体等。
可以说BTCSM气体、BTCSE气体、TCDMDS气体、DCTMDS气体或MCPMDS气体为一个分子中含C、卤族元素(Cl)和至少两个Si并具有Si-C键的前体气体。通过使用这些类型的前体气体,如后文所述,以高浓度将C引入薄膜中变得有可能。
前体气体指气相前体,例如,通过使在常温常压下保持在液相中的前体汽化所获得的气体或在常温常压下保持在气相中的前体。有时的情况是,本文中使用的术语“前体”指“保持在液相中的液体前体”、“保持在气相中的前体气体”或二者。因此,有时的情况是,本文中使用的术语“基于卤硅烷的前体(基于氯硅烷的前体)”指“保持在液相中的基于卤硅烷的前体(基于氯硅烷的前体)”、“保持在气相中的基于卤硅烷的前体气体(基于氯硅烷的前体气体)”或二者。在使用在常温常压 下保持在液相中的液体前体如BTCSM、BTCSE、TCDMDS、DCTMDS、MCPMDS等的情况下,液体前体通过汽化系统如汽化器或鼓泡器进行汽化并作为前体气体(BTCSM气体、BTCSE气体、TCDMDS气体、DCTMDS气体或MCPMDS气体)供给。
氧化气体,例如包含氧(O)的气体(含氧气体),通过MFC241b、阀243b和喷嘴249b从气体供应管232b供给到处理室201中。作为从气体供应管232b供给的氧化气体,可以使用例如水蒸汽(H2O气)。在供给H2O气时,有可能采用其中将氧(O2)气和氢(H2)气供给未示出的外部燃烧设备从而生成和供给H2O气的构造。
其酸解离常数(下文也称pKa)为约5至11、在一些实施方式中为5至7的催化气体,例如包含具有孤对电子的氮(N)的气体,通过MFC241c、阀243c和喷嘴249c从气体供应管232c供给到处理室201中。本文中使用的术语“酸解离常数(pKa)”为定量地指示酸的强度的指标。酸解离常数(pKa)以负常用对数指示其中从酸放出氢离子的解离反应中的平衡常数Ka。由于催化气体包含具有孤对电子的N,故其催化作用将削弱晶片200的表面上或在氧化气体如H2O气等中存在的O-H键的键合力,从而加速前体气体或将在后文描述的改性气体的分解并加速由H2O气所引起的氧化反应。作为包含具有孤对电子的N的基于氮的气体的实例,可以提及例如基于胺的气体,包括其中氨(NH3)的氢原子中的至少之一被烃基如烷基等所取代的胺。作为从气体供应管232c供给的催化气体,可以使用例如吡啶(C5H5N)气体,其为基于胺的气体。
如图10E中所示,用作催化气体的各种胺不仅包括吡啶(C5H5N,pKa=5.67),而且包括氨基吡啶(C5H6N2,pKa=6.89)、甲基吡啶(C6H7N,pKa=6.07)、二甲基吡啶(C7H9N,pKa=6.96)、哌嗪(C4H10N2,pKa=9.80)、哌啶(C5H11N,pKa=11.12)等。图10E中示出的各种胺为其烃具有环形式的环胺。可以说环胺为杂环化合物,其环结构由不同类型的元素如C和N构造,即含氮的杂环化合物。可以说作为催化气体的基于胺的气体为基于胺的催化气体。
在这点上,基于胺的气体指气相胺,例如通过使在常温常压下保持在液相中的胺汽化所获得的气体或包含在常温常压下保持在气相中的胺等的气体。有时的情况是,本文中使用的术语“胺”指“保持在液相中的胺”、“保持在气相中的基于胺的气体”或二者。在使用在常温常压下保持在液相中的胺如吡啶、氨基吡啶、甲基吡啶、二甲基吡啶、哌嗪和哌啶的情况下,液相胺通过汽化系统如汽化器或鼓泡器进行汽化并作为基于胺的气体(吡啶气体、氨基吡啶气体、甲基吡啶气体、二甲基吡啶气体、哌嗪气体和哌啶气体)供给。
包含指定元素的第III族(如硼(B)、铟(In)等)或第V族元素(如磷(P)、砷(As)等)的改性气体,例如含作为第III族元素的B的含硼气体(含B气体)通过MFC241d、阀243d、喷嘴249d和缓冲室237从气体供应管232d供给到处理室201中。含B气体包括包含基于硼烷的化合物的气体。包含基于硼烷的化合物的气体包括基于硼烷的气体如单硼烷(BH3)气体和二硼烷(B2H6)气体以及包含硼烷衍生物的气体,在所述硼烷衍生物中,基于硼烷的气体的氢原子(H)中的至少之一被其它元素所取代。包含硼烷衍生物的气体包括例如其中H被卤族元素所取代的基于卤硼烷的气体。作为从气体供应管232d供给的含B气体,可以使用例如其中单硼烷的三个H原子被Cl原子所取代的三氯硼烷(BCl3)气体。
包含指定的第III族或第V族元素的改性气体,例如包含作为第V族元素的磷(P)的含磷气体(含P气体)通过MFC241e、阀243e、气体供应管232d、喷嘴249d和缓冲室237从气体供应管232e供给到处理室201中。含P气体包括包含基于膦的化合物的气体。包含基于膦的化合物的气体包括基于膦的气体如膦(PH3)气体和二膦(P2H4)气体以及包含膦衍生物的气体,在所述膦衍生物中,基于膦的气体的氢原子中的至少之一被其它元素所取代。作为从气体供应管232e供给的含P气体,可以使用例如膦(PH3)气体,其为基于膦的气体。
在这点上,基于硼烷的气体和包含硼烷衍生物的气体(后文称为基于硼烷的气体)指气相的基于硼烷的化合物,例如通过使在常温常压 下保持在液相中的基于硼烷的化合物汽化所获得的气体或包含在常温常压下保持在气相中的基于硼烷的化合物等的气体。此外,基于膦的气体和包含膦衍生物的气体(后文称为基于膦的气体)指气相的基于膦的化合物,例如通过使在常温常压下保持在液相中的基于膦的化合物汽化所获得的气体或包含在常温常压下保持在气相中的基于膦的化合物等的气体。有时的情况是,本文中使用的术语“基于硼烷的化合物”指“保持在液相中的基于硼烷的化合物”、“保持在气相中的基于硼烷的化合物”或二者。此外,有时的情况是,本文中使用的术语“基于膦的化合物”指“保持在液相中的基于膦的化合物”、“保持在气相中的基于膦的化合物”或二者。在使用在常温常压下保持在液相中的基于硼烷的化合物或基于膦的化合物的情况下,液相的基于硼烷的化合物或基于膦的化合物通过汽化系统如汽化器或鼓泡器汽化并作为包含基于硼烷的化合物的气体或包含基于膦的化合物的气体供给。
辅助点燃等离子体的辅助气体(点火气体),例如氩(Ar)气,通过MFC241f、阀243f、气体供应管232d、喷嘴249d和缓冲室237从气体供应管232f供给到处理室201中。
惰性气体,例如氮(N2)气,通过MFC241g至242j、阀243g至243j、气体供应管232a至232d、喷嘴249a至249d和缓冲室237从气体供应管232g至232j供给到处理室201中。作为惰性气体的N2气也充当吹扫气体。从气体供应管232j供给的作为惰性气体的N2气有时充当辅助点燃等离子体的辅助气体。
在其中前述气体从各个气体供应管供给的情况下,用于供给含Si、C和卤族元素并具有Si-C键的前体气体的前体气体供应系统主要包括气体供应管232a、MFC241a和阀243a。可以认为喷嘴249a和BTCSM气体供应源242a包括在前体气体供应系统中。前体气体供应系统可被称为前体供应系统。
氧化气体供应系统主要包括气体供应管232b、MFC241b和阀243b。可以认为喷嘴249b和H2O气供应源242b包括在氧化气体供应系统中。
催化气体供应系统主要包括气体供应管232c、MFC241c和阀243c。可以认为喷嘴249c和吡啶气体供应源242c包括在催化气体供应系统中。催化气体供应系统可被称为基于胺的催化气体供应系统。
用于供给包含指定的第III族或第V族元素的改性气体的改性气体供应系统主要包括气体供应管232d和232e、MFC241d和241e以及阀243d和243e。可以认为喷嘴249d、缓冲室237、BCl3气体供应源242d和PH3气体供应源242e包括在改性气体供应系统中。改性气体供应系统可被视为供给包含不同的指定元素的不同类型改性气体或分子结构彼此不同的不同类型改性气体的供应管线(供应系统)的集合。也就是说,改性气体供应系统可被视为主要包括气体供应管232d、MFC241d和阀243d的BCl3气体供应管线及主要包括气体供应管232e、MFC241e和阀243e的PH3气体供应管线的集合。在这种情况下,可以认为喷嘴249d、缓冲室237以及对应的各个改性气体供应源242d和242e包括在相应的供应管线中。
用于供给辅助点燃等离子体的辅助气体的辅助气体供应系统主要包括气体供应管232f、MFC241f和阀243f。可以认为喷嘴249d、缓冲室237和Ar气供应源242f包括在辅助气体供应系统中。也可认为用于供给作为辅助气体的N2气的气体供应管232j、MFC241j、阀243j或N2气供应源242j包括在辅助气体供应系统中。在这种情况下,辅助气体供应系统可被视为供给分子结构彼此不同的不同类型辅助气体的供应管线(供应系统)的集合。也就是说,辅助气体供应系统可被视为主要包括气体供应管232f、MFC241f和阀243f的Ar气供应管线及主要包括气体供应管232j、MFC241j和阀243j的N2气供应管线的集合。在这种情况下,可以认为喷嘴249d、缓冲室237以及对应的各个气体供应源242f和242j包括在相应的供应管线中。
惰性气体供应系统主要包括气体供应管232g至232j、MFC241g至241j和阀243g至243j。可以认为存在于气体供应管232a至232d与气体供应管232g至232j的连接部分的下游侧处的气体供应管232a至232d部分、喷嘴249a至249d、缓冲室237和N2气供应源242g至242j包括在惰性气体供应系统中。惰性气体供应系统也用作吹扫气体供应系统。
可将用于供给分子结构彼此不同的不同类型气体的多个供应管线(供应系统)安装在除改性气体供应系统和辅助气体供应系统外的供应系统如前体气体供应系统和氧化气体供应系统中。
在缓冲室237内,如图2中所示,两个由导电材料制成并具有细长结构的棒形电极269和270设置为在晶片200的堆叠方向上从反应管203的下部分向其上部分延伸。棒形电极269和270中的每一个均平行于喷嘴249d安装。棒形电极269和270中的每一个均从上部分到其下部分被电极保护管275所覆盖和保护。棒形电极269和270中之一通过匹配单元272连接到高频电源273,另一个连接到地作为参比电位。如果通过匹配单元272从高频电源273向棒形电极269和270之间施加RF(射频)功率,则将在棒形电极269和270之间存在的等离子体发生区224中产生等离子体。作为等离子体发生器(等离子体发生部分)的等离子体源主要包括棒形电极269和270以及电极保护管275。可以认为匹配单元272和高频电源273包括在等离子体源中。如下所述,等离子体源用作将气体激活(激发)为等离子体的激活机构(激发部分)。
电极保护管275形成为使得棒形电极269和270能够以其中棒形电极269和270与缓冲室237的内部气氛隔离的状态插入到缓冲室237中的结构。在这点上,如果电极保护管275内的氧浓度基本等于环境空气(大气)的氧浓度,则插入到电极保护管275中的棒形电极269和270将因加热器207的热而氧化。因此之故,通过向电极保护管275中填充惰性气体如N2气等或通过使用惰性气体吹扫机构通过用惰性气体如N2气等吹扫电极保护管275的内部来降低电极保护管275内的氧浓度。这使得可能抑制棒形电极269和270的氧化。
用于排出处理室201的内部气氛的排气管231安装在反应管203中。作为真空排气设备的真空泵246通过作为用以检测处理室201的内压的压力检测器(压力检测部分)的压力传感器245和作为压力调整器(压力调整部分)的APC(自动压力控制器)阀244连接到排气管231。 APC阀244为这样的阀,其构造为使得处理室201内部的真空排气可通过打开和关闭APC阀244来开始和停止而同时保持真空泵246于运行状态,并使得处理室201的内压可通过基于压力传感器245检测到的压力信息调节阀开度来调整而同时保持真空泵246于运行状态。排气系统主要包括排气管231、APC阀244和压力传感器245。可以认为真空泵246包括在排气系统中。排气管231不限于安装在反应管203中,而是可安装在歧管209中,就像喷嘴249a至249d一样。
作为能够气密性地关闭歧管209的下端开口的炉口盖的密封帽219安装在歧管209下方。构造密封帽219使得以竖直方向从下面与歧管209的下端部分接触。密封帽219由例如金属如不锈钢等制成并形成为圆盘形。与歧管209的下端部分接触的作为密封构件的O形环220b安装在密封帽219的上表面上。用于旋转将在后文描述的晶舟217的旋转机构267安装在密封帽219与处理室201相反的一侧。旋转机构267包括延伸穿过密封帽219的旋转轴255。旋转轴255连接到晶舟217。旋转机构267构造为使晶舟217旋转,从而使晶片200旋转。密封帽219构造为使得密封帽219可被竖直地安装在反应管203外的作为升降机构的晶舟升降机115竖直地上下移动。晶舟升降机115构造为使得晶舟升降机115可通过上下移动密封帽219而携带晶舟217进出处理室201。也就是说,晶舟升降机115构造为用作用于转移晶舟217和晶舟217上支承的晶片200进出处理室201的转移设备(转移机构)。
作为基材支承构件的晶舟217由例如耐热材料如石英或碳化硅制成并构造为支承水平地堆叠成多个层的晶片200,晶片200的中心彼此同心地对齐。由例如耐热材料如石英或碳化硅制成的绝热构件218安装在晶舟217下方并构造为使得从加热器207生成的热几乎不传递到密封帽219。此外,绝热构件218可以由多个由耐热材料如石英或碳化硅制成的绝热板和构造为以水平姿势以多个层支承所述绝热板的绝热板固定器所构造。
作为温度检测器的温度传感器263安装在反应管203内。基于温度传感器263所检测到的温度信息,控制加热器207的导电状态使得处 理室201的内温变为所需的温度分布。与喷嘴249a至249d一样,温度传感器263形成为L-状形状并沿着反应管203的内壁安装。
如图3中所示,作为控制单元(控制部分)的控制器121由计算机构造,所述计算机包括CPU(中央处理单元)121a、RAM(随机存取存储器)121b、存储设备121c和I/O端口121d。RAM121b、存储设备121c和I/O端口121d被构造为经由内部总线121e与CPU121a交换数据。由例如触摸屏等构造的输入/输出设备122连接到控制器121。
存储设备121c由例如闪速存储器、HDD(硬盘驱动器)等构造。用于控制基材处理装置的运行的控制程序或限定基材处理(如将在后文描述的成膜等)的工序和条件的工艺程序可读地存储在存储设备121c中。用作程序的工艺程序构造为使得控制器121执行基材处理工艺(如将在后文描述的成膜工艺等)中的各个工序,从而获得预定的结果。在下面的描述中,工艺程序和控制程序有时统称为“程序”。本文中使用的术语“程序”意在仅包括工艺程序、仅包括控制程序或包括二者。另外,RAM121b构造为其中临时存储被CPU121a读取的程序或数据的存储区(工作区)。
I/O端口121d连接到MFC241a至241j、阀243a至243j、压力传感器245、APC阀244、真空泵246、温度传感器263、加热器207、匹配单元272、高频电源273、旋转机构267、晶舟升降机115等。
CPU121a构造为从存储设备121c读取控制程序并执行控制程序。CPU121a构造为依据从输入/输出设备122输入的操作指令从存储设备121c读取工艺程序。另外,CPU121a构造为依据所读工艺程序的内容控制由MFC241a至241j进行的对各种类型气体的流率控制操作、阀243a至243j的打开/关闭操作、APC阀244的打开/关闭操作、基于压力传感器245通过APC阀244进行的压力调整操作、真空泵246的启动和停止操作、加热器207基于温度传感器263的温度调整操作、旋转晶舟217和调节由旋转机构267旋转的晶舟217的旋转速度的操作、由晶舟升降机115进行的晶舟217的升/降操作、由匹配单元272进行的阻抗调节操作、高频电源273的供电操作等。
此外,控制器121不限于被构造为专用计算机,而是可被构造为通用计算机。例如,根据本实施方式的控制器121可以通过准备其中存储前述程序的外部存储设备123(例如,磁带、磁盘如软盘或硬盘、光盘如CD或DVD、磁光盘如MO等以及半导体存储器如USB存储器或存储卡)并使用外部存储设备123在通用计算机上安装程序来构造。此外,用于向计算机供给程序的手段不限于其中通过外部存储设备123来供给程序的情况。例如,程序可以用通信手段如互联网或专用线路来供给而不通过外部存储设备123。此外,存储设备121c或外部存储设备123由非易失性计算机可读记录介质构造。在下面的描述中,这些用于供给程序的手段将简单地称为“记录介质”。另外,本文中使用的术语“记录介质”意在包括仅存储设备121c、仅外部存储设备123或二者。
(2)薄膜形成工艺
接下来将描述在基材上形成薄膜的工序的一个实例作为通过使用上述基材处理装置的处理炉202制造半导体设备的工艺的一个实例。在下面的描述中,构成基材处理装置的各个部件的运行由控制器121控制。
在本实施方式中,通过执行预定次数的循环来在作为基材的晶片200上形成含硅(Si)、氧(O)、碳(C)和指定的第III族或第IV族元素的薄膜。所述循环包括:向晶片200供给含硅(Si)、碳(C)和卤族元素并具有Si-C键的前体气体以及第一催化气体的步骤;向晶片200供给氧化气体和第二催化气体的步骤;和向晶片200供给包含指定的第III族或第V族元素中的改性气体的步骤。
在这点上,包括“供给前体气体和第一催化气体的步骤”、“供给氧化气体和第二催化气体的步骤”和“供给改性气体的步骤”的循环指一个循环包括一次或多次地执行各个步骤。因此,在一个循环中,各个步骤可执行一次,或至少一个步骤可执行多次。在一个循环中,各个步骤可执行相同的次数或不同的次数。循环内各个步骤的执行顺序可任意决定。通过以前述方式适宜地改变各个步骤的执行次数、各个步骤的执行顺序以及它们的组合,可以形成膜质量、膜组成和组分比不同的薄膜。“执行预定次数的循环”指该循环被执行一次或多次,即该循环被执行 一回或多回。
例如,本实施方式的一个循环包括:通过执行预定次数的系列,在晶片200上形成含Si、O和C的第一薄膜的步骤。所述系列包括向晶片200供给前体气体和第一催化气体的步骤;向晶片200供给氧化气体和第二催化气体的步骤;和通过执行向晶片200供给改性气体的步骤而将第一薄膜改性为除了硅、氧和碳外进一步包含指定的第III族或第IV族元素的第二薄膜的步骤。
在本实施方式中,各个步骤在非等离子体气氛下执行。
在本实施方式中,包含构成薄膜的多种元素的不同类型气体的供给条件以使得所形成的薄膜的组成比成为化学计量组成或不同于化学计量组成的预定组成比的方式来控制。例如,供给条件以使得构成所形成的薄膜的多种元素中的至少一种元素相比其余元素在化学计量组成方面更过量的方式来控制。现在将描述用于执行膜形成同时控制构成所形成的薄膜的多种元素的比率即薄膜的组成比的工序的一个实例。
现在将参照图4和5A来描述本实施方式的成膜工序。
本文将描述其中执行预定次数如一次的包括以下步骤的循环实例:通过执行预定次数(n次)的系列在晶片200上形成作为第一薄膜的含Si、O和C的碳氧化硅膜(SiOC膜)的步骤。所述系列包括向晶片200供给作为前体气体的BTCSM气体和作为第一催化气体的吡啶气体的步骤(步骤1a);向晶片200供给作为氧化气体的H2O气和作为第二催化气体的吡啶气体的步骤(步骤2a);和通过执行向晶片200供给作为改性气体的BCl3气体而将SiOC膜改性为作为除了Si、O和C之外进一步包含B的第二薄膜的包含B的SiOC膜的步骤。
通过本成膜工序,在晶片200上形成了作为含Si、O、C和B的薄膜的掺杂(添加)了B的SiOC膜。可将此掺杂了B的SiOC膜转换为碳氧化硅硼化物膜(SiOCB膜)、硼氧化硅碳化物膜(SiOBC膜)等。
有时的情况是,本文中使用的术语“晶片”指“晶片本身”或“晶片与晶片上形成的指定层或膜的层合体(集合体)”(即,包含晶片上形成的指定层或膜的晶片)。此外,有时的情况是,本文中使用的术语“晶 片的表面”指“晶片本身的表面(暴露表面)”或“晶片上形成的指定层或膜的表面,即作为层合体的晶片的最上面的表面”。
因此,有时的情况是,本文中使用的表达“向晶片供给指定气体”指“直接向晶片本身的表面(暴露表面)供给指定气体”或“向晶片上形成的层或膜即作为层合体的晶片的最上面的表面供给指定气体”。此外,有时的情况是,本文中使用的表达“在晶片上形成指定的层(或膜)”指“直接在晶片本身的表面(暴露表面)上形成指定的层(或膜)”或“在晶片上形成的层或膜上即在作为层合体的晶片的最上面的表面上形成指定的层(或膜)”。
本文中使用的术语“基材”与术语“晶片”同义。在该情况下,上面的描述中出现的“晶片”可由“基材”代替。
(晶片负载和晶舟装载)
如果在晶舟217上负载了多个晶片200(晶片负载),如图1中所示,则由晶舟升降机115提升负载了晶片200的晶舟217并装载到处理室201中(晶舟装载)。在此状态下,密封帽219通过O形环220b密封歧管209的下端部分。
(压力调节和温度调节)
通过真空泵246将处理室201的内部进行真空排气至所需的压力(所需的真空水平)。此时,通过压力传感器245测量处理室201的内压。APC阀244基于测得的压力信息来反馈控制(压力调节)。真空泵246继续运行至少直至晶片200的加工结束。存在于处理室201内的晶片200由加热器207加热至所需的温度。此时,加热器207的导电状态基于温度传感器263所检测到的温度信息来反馈控制以使得处理室201的内部具有所需的温度分布(温度调节)。处理室201的内部通过加热器207的加热继续执行至少直至晶片200的加工结束。然而,如后文所述,如果晶片200在室温下进行加工,则可不执行处理室201的内部通过加热器207的加热。随后,晶舟217和晶片200通过旋转机构267开始旋转。晶舟217和晶片200通过旋转机构267的旋转继续执行至少直至晶片200的加工结束。
(SiOC膜形成工艺)
其后,相继执行下面的两个步骤,即步骤1a和2a。
[步骤1a]
(BTCSM气体+吡啶气体供给)
打开阀243a并让BTCSM气体流经气体供应管232a。BTCSM气体的流率由MFC241a调整。BTCSM气体从气体供应孔250a供给到处理室201中并从排气管231排出。这样,BTCSM气体被供给到晶片200(BTCSM气体供给)。与此同时,打开阀243g并让惰性气体如N2气等流经气体供应管232g。N2气的流率由MFC241g调整。N2气与BTCSM气体一起被供给到处理室201中并从排气管231排出。
打开阀243c并让吡啶气体流经气体供应管232c。吡啶气体的流率由MFC241c调整。吡啶气体从气体供应孔250c供给到处理室201中并从排气管231排出。这样,吡啶气体被供给到晶片200(吡啶气体供给)。与此同时,打开阀243i并让惰性气体如N2气等流经气体供应管232i。N2气的流率由MFC241i调整。N2气与吡啶气体一起被供给到处理室201中并从排气管231排出。
为了防止BTCSM气体和吡啶气体进入喷嘴249b和249d或缓冲室237,打开阀243h和243j并让N2气流经气体供应管232h和232j。N2气通过气体供应管232b和232d、喷嘴249b和249d以及缓冲室237供给到处理室201中并从排气管231排出。
此时,适宜地调节APC阀244,使得处理室201的内压保持在例如1至13330Pa、特别地133至2666Pa的范围内,例如1333Pa下。由MFC241a控制的BTCSM气体的供给流率保持在例如1至2000sccm、特别地10至1000sccm的范围内。由MFC241c控制的吡啶气体的供给流率保持在例如1至2000sccm、特别地10至1000sccm的范围内。由MFC241g至241j控制的N2气的供给流率保持在例如100至10000sccm的范围内。向晶片200供给BTCSM气体和吡啶气体的持续时间,即气体供给时间(辐照时间),设定在例如1至100秒、特别地5至60秒的范围内。
此时,加热器207的温度设定为使得晶片200的温度保持在例如从室温至150℃、特别地从室温至100℃、更特别地从50℃至100℃的范围内。在其中在BTCSM气体的供给过程中无催化气体供给的情况下,如果晶片200的温度低于250℃,则晶片200将难以吸收BTCSM。因此,有时不可能获得实用的成膜速率。如果如在本实施方式中一样供给作为催化气体的吡啶气体,则即使晶片200的温度低于250℃,前述问题也可消除。如果在吡啶气体的存在下使晶片200的温度保持不高于150℃或不高于100℃,则可以减少施加到晶片200的热量以及令人满意地控制晶片200的热预算。如果在吡啶气体的存在下使晶片200的温度保持等于或高于室温,则可以允许BTCSM充分地吸附到晶片200上并获得足够的成膜速率。因此,晶片200的温度可保持在从室温至150℃、特别地从室温至100℃、更特别地从50℃至100℃的范围内。
通过在前述条件下向晶片200供给BTCSM气体,在晶片200上形成第一层,例如,厚度为从小于一个原子层至若干原子层并含C和Cl的含硅层(含Si层)(晶片200的表面上的基础膜)。该含C和Cl的含Si层可为含C和Cl的硅层(Si层)、BTCSM气体的吸附层或二者。
在这点上,含C和Cl的Si层为通用名,除由Si形成并含C和Cl的连续层外,其还包括由Si形成并含C和Cl的不连续层,或通过层合非连续层所形成的含C和Cl的硅薄膜(Si薄膜)。由Si形成并含C和Cl的连续层有时被称为含C和Cl的Si薄膜。构成含C和Cl的Si层的Si不仅包括其与C或Cl的键合未完全断开的Si,而且包括其与C或Cl的键合完全断开的Si。
BTCSM气体的吸附层包括其中BTCSM气体的分子是连续的吸附层和其中BTCSM气体的分子是不连续的吸附层。也就是说,BTCSM气体的吸附层包括厚度为一个分子层或小于一个分子层的含BTCSM分子的吸附层。除由图10A的化学结构式所表示的分子外,构成BTCSM气体的吸附层的BTCSM[(SiCl3)2CH2]分子还包括其中Si和C的键合部分断开的分子或其中Si和Cl的键合部分断开的分子。也就是说,BTCSM气体的吸附层包括BTCSM分子的化学吸附层和BTCSM分子的物理吸 附层。
厚度小于一个原子层的层指不连续地形成的原子层。厚度为一个原子层的层指连续地形成的原子层。此外,厚度小于一个分子层的层指不连续地形成的分子层。厚度为一个分子层的层指连续地形成的分子层。另外,含C和Cl的含Si层可包括含C和Cl的Si层以及BTCSM气体的吸附层二者。如上面所提到,表述“一个原子层”、“若干原子层”等相对于含C和Cl的含Si层使用。
如果晶片200上作为第一层形成的含C和Cl的含Si层的厚度超过若干原子层,则将在后文描述的步骤2a中的氧化作用不会影响整个第一层。晶片200上可以形成的第一层的厚度的最小值小于一个原子层。因此,第一层的厚度可大约为从小于一个原子层至若干原子层。通过设定第一层的厚度至不大于一个原子层,即一个原子层或小于一个原子层,可以相对增大将在后文描述的步骤2a中的氧化反应的作用以及缩短步骤2a中的氧化反应中所需的时间。也可以缩短步骤1a中第一层的形成中所需的时间。因此,可以缩短每一系列的加工时间以及缩短总的加工时间。也就是说,将可以提高成膜速率。通过设定第一层的厚度至不大于一个原子层,将可以提高膜厚度均匀性的可控制性。
在其中BTCSM气体自分解(热解)的条件下,即其中发生BTCSM的热解反应的条件下,Si将沉积在晶片200上,从而形成含C和Cl的Si层。在其中BTCSM气体不自分解(热解)的条件下,即其中不发生BTCSM的热解反应的条件下,BTCSM气体将吸附到晶片200,从而形成BTCSM气体的吸附层。当在晶片200上形成含C和Cl的Si层时,成膜速率可比当在晶片200上形成BTCSM气体的吸附层时的高。因此,希望在晶片200上形成含C和Cl的Si层。在本实施方式中,晶片200的温度保持在例如150℃或更低的低温下。因此,存在在晶片200上形成BTCSM气体的吸附层比在晶片200上形成含C和Cl的Si层优越的可能性。如果未供给催化气体,则存在在BTCSM气体的吸附层中物理吸附比化学吸附占主导地位的可能性。物理吸附中BTCSM分子对基础层如晶片200的表面等的键合以及BTCSM分子之间的键合比化学吸附 中弱。也就是说,如果未供给催化剂,则存在BTCSM气体的吸附层主要由BTCSM气体的物理吸附层构成的可能性。
作为催化气体的吡啶气体将削弱晶片200的表面上存在的O-H键的键合力,从而促进BTCSM气体的分解和加速通过BTCSM分子的化学吸附形成第一层。也就是说,如图6A中所示,作为催化气体的吡啶气体作用于例如晶片200的表面上存在的O-H键,从而削弱O和H之间的键合力。键合力减弱的H与BTCSM气体的Cl相互反应,由此生成氯化氢(HCl)气体并脱附。失去Cl的BTCSM分子(卤化物)化学吸附到晶片200的表面。也就是说,在晶片200的表面上形成BTCSM气体的化学吸附层。吡啶气体削弱O和H之间的键合力的原因在于,吡啶分子的具有孤对电子的N原子作用以吸引H。例如,可使用前述酸解离常数(pKa)作为指示含N原子等的指定化合物吸引H的作用的幅度的指标之一。
如前面所提到,pKa为常数,其以负常用对数指示其中从酸放出氢离子的解离反应中的平衡常数Ka。显示出大的pKa的化合物具有强的H吸引力。例如,如果使用pKa为5或更大的化合物作为催化气体,则可以促进BTCSM气体的分解和加速第一层的形成。另一方面,如果催化气体的pKa过大,则从BTCSM分子移除的Cl将偶联至催化气体。通过偶联生成的组分,即盐(离子化合物)如氯化铵(NH4Cl)等,将在一些情况下变为颗粒。为了抑制颗粒的生成,催化气体的pKa可设定为不大于11,特别地不大于7。吡啶气体显示出约5.67的较大pKa并且具有强的H吸引力。由于pKa不大于7,故难以生成颗粒。
通过甚至在例如150℃或更低的低温条件下以前述方式与BTCSM气体一起供给作为催化气体的吡啶气体,可以加速BTCSM气体的分解以及形成第一层使得形成BTCSM气体的化学吸附层而不是形成BTCSM气体的物理吸附层成为占主导地位的。
通过使用BTCSM气体作为如上所述含Si、C和卤族元素并具有Si-C键的前体气体,甚至在例如150℃或更低的低温条件下,也可以向第一层中引入C。含C的第一层在后来执行的步骤2a中被氧化。因此, 可以形成例如以高浓度含C的氧碳化硅层(SiOC层)或由层合的SiOC层构成并以高浓度含C的SiOC膜。也可以精确地控制SiOC层或SiOC膜中的C浓度。
(残余气体移除)
在已在晶片200上形成作为第一层的含C和Cl的含Si层后,关闭阀243a以停止BTCSM气体的供给。此外,关闭阀243c以停止吡啶气体的供给。此时,打开排气管231的APC阀244并通过真空泵246将处理室201的内部进行真空排气,由此,留在处理室201内的未反应气体或导致形成第一层的BTCSM气体和吡啶气体从处理室201的内部移除(残余气体移除)。此外,打开阀243g至243j并保持作为惰性气体的N2气向处理室201中的供给。N2气充当吹扫气体。这使得可以增强留在处理室201内的未反应气体或导致形成第一层的BTCSM气体和吡啶气体从处理室201的内部移除的效果。
此时,留在处理室201内的气体可以不被完全移除并且处理室201的内部可以不被完全吹扫。如果留在处理室201内的气体的量小,则该气体不会不利地影响后来将执行的步骤2a。供给到处理室201中的N2气的流率不必大。例如,通过以基本等于反应管203(处理室201)的体积的量供给N2气,可以执行吹扫,使得N2气不会不利地影响步骤2a。通过以这种方式不完全地吹扫处理室201的内部,可以缩短吹扫时间和提高生产能力。也可以将N2气的消耗减少至必要的最低量。
作为含Si、C和卤族元素并具有Si-C键的前体气体,可能不仅可以使用BTCSM气体,而且可以使用BTCSE气体、TCDMDS气体、DCTMDS气体、MCPMDS气体等。作为催化气体,可能不仅可以使用吡啶气体,而且可以使用基于环胺的气体如氨基吡啶气体、甲基吡啶气体、二甲基吡啶气体、哌嗪气体、哌啶气体等以及非基于胺的气体如氨(NH3,pKa=9.2)气等。作为惰性气体,可能不仅可以使用N2气,而且可以使用稀有气体如Ar气、He气、Ne气、Xe气等。
[步骤2a]
(H2O气+吡啶气体供给)
在完成步骤1a并移除留在处理室201内的气体后,打开阀243b并让H2O气流经气体供应管232b。H2O气的流率由MFC241b调整。H2O气从气体供应孔250b供给到处理室201中并从排气管231排出。这样,H2O气在非等离子体气氛下供给到晶片200(H2O气供给)。与此同时,打开阀243h并让作为惰性气体的N2气流进气体供应管232h中。N2气的流率由MFC241h调整。N2气与H2O气一起被供给到处理室201中并从排气管231排出。
与步骤1a中执行的吡啶气体的供给一样,向晶片200供给吡啶气体。
为了防止H2O气和吡啶气体进入喷嘴249a和249d或缓冲室237,打开阀243g和243j并让N2气流经气体供应管232g和232j。N2气通过气体供应管232a和232d、喷嘴249a和249d以及缓冲室237供给到处理室201中并从排气管231排出。
此时,适宜地调节APC阀244,使得处理室201的内压保持在例如1至13330Pa、特别地133至2666Pa的范围内,例如1333Pa下。由MFC241b控制的H2O气的供给流率保持在例如1000至10000sccm、特别地10至1000sccm的范围内。由MFC241c控制的吡啶气体的供给流率保持在例如1至2000sccm、特别地10至1000sccm的范围内。由MFC241g至241j控制的N2气的供给流率保持在例如100至10000sccm的范围内。向晶片200供给H2O气和吡啶气体中所需的持续时间,即气体供给时间(辐照时间),设定在例如1至100秒、特别地5至60秒的范围内。加热器207的温度设定为使得晶片200的温度保持在与步骤1a中使用的晶片200温度相同的温度范围内,例如室温至150℃、特别地室温至100℃、更特别地50℃至100℃的范围内。
供给到处理室201中的H2O气被热活化并从排气管231排出。此时,经热活化的H2O气被供给到晶片200。也就是说,流进处理室201中的气体为经热活化的H2O气。BTCSM气体并不流进处理室201中。因此,H2O气不进行任何气相反应并以活化状态供给到晶片200。H2O气与步骤1a中在晶片200上形成的第一层(含C和Cl的含Si层)的至 少一部分反应。因此,第一层在非等离子体气氛下被热氧化并转化为含Si、O和C的第二层,即SiOC层。
作为催化气体的吡啶气体将削弱H2O气的O-H键的键合力,从而促进H2O气的分解和加速H2O气与第一层的反应。也就是说,如图6B中所示,作为催化气体的吡啶气体作用于H2O气的O-H键,从而削弱O和H之间的键合力。键合力减弱的H与晶片200上形成的第一层的Cl相互反应,由此生成氯化氢(HCl)气体并脱附。失去H的H2O气的O键合到Cl自其脱附并在其中留下至少一部分C的第一层的Si。
在供给H2O气和吡啶气体的步骤中,可根据所需的膜组成来适宜地调节吡啶气体的供给量。如果增大吡啶气体的供给量,则吡啶气体的作用将变强并增大H2O气的氧化力,由此,Si-C键将易于断开并且C将易于脱附。结果,SiOC层中的C浓度减小。因此,通过适宜地调节吡啶气体的供给量,可以相对地改变SiOC层中以及通过层合SiOC层所形成的SiOC膜中的C浓度、硅浓度(Si浓度)和氧浓度(O浓度)。
供给氧化气体和第二催化气体的步骤中供给的催化气体的供给量的调节可与前述供给前体气体和第一催化气体的步骤中供给的催化气体的供给量的调节独立地执行。也就是说,可调节催化气体的供给量使得两个步骤中催化气体的供给量彼此相等或彼此不同。
此时,如果事先准备了多个其中催化气体的供给量、流率等被设定为不同的值的工艺程序(多个限定加工程序和加工条件的程序),则调节催化气体的供给量将变得容易。为了执行成膜工艺,仅需要操作者根据所需的膜组成适宜地选择适当的工艺程序。因此,可以通过单个基材处理装置以增强的通用性和可重复性形成各种组成比和各种质量的薄膜。也可以减轻操作者所承受的操作负担(如加工程序和加工条件的输入负担)。这使得可以快速地开始基材处理而避免操作错误。
在例如150℃或更低的低温条件下,将容易地形成含较大量水(H2O)的SiOC层。为此,有时的情况是,在通过层合SiOC层所形成的SiOC膜中含大量的水。SiOC层或SiOC膜中所含的水源自例如用作氧化气体的H2O气。
(残余气体移除)
其后,关闭阀243b以停止H2O气的供给。此外,关闭阀243c以停止吡啶气体的供给。此时,打开排气管231的APC阀244并通过真空泵246将处理室201的内部进行真空排气,由此,留在处理室201内的未反应的或导致反应的H2O气或吡啶气体及反应副产物从处理室201的内部移除(残余气体移除)。此外,打开阀243g至243j并保持作为惰性气体的N2气向处理室201中的供给。N2气充当吹扫气体。这使得可以提高留在处理室201内的未反应的H2O气或吡啶气体、导致形成第二层的H2O气或吡啶气体以及反应副产物从处理室201的内部移除的效果。
此时,留在处理室201内的气体可以不被完全移除并且处理室201的内部可以不被完全吹扫。如果留在处理室201内的气体的量小,则该气体不会不利地影响后来将执行的步骤1a。供给到处理室201中的N2气的流率不必大。例如,通过以基本等于反应管203(处理室201)的体积的量供给N2气,可以执行吹扫,使得N2气不会不利地影响步骤1a。通过以这种方式不完全地吹扫处理室201的内部,可以缩短吹扫时间和提高生产能力。也可以将N2气的消耗减少至必要的最低量。
作为氧化气体,可能不仅可以使用H2O气,而且可以使用过氧化氢(H2O2)气体、氢(H2)气+氧(O2)气、H2气+臭氧(O3)气体等。作为催化气体,可能不仅可以使用吡啶气体,而且可以使用上面提到的各种类型的基于胺的气体或非基于胺的气体。作为惰性气体,可能不仅可以使用N2气,而且可以使用上面提到的各种类型的稀有气体。
根据本发明人进行的研究,当在本实施方式的气体体系和条件的范围内综合决定时,认为在全部各个步骤中,作为催化气体,吡啶气体是优异的。TEA气体其次,随后,哌啶气体是合适的。
(执行预定次数)
将包括上述步骤1a和2a的一个系列执行一次或多次,即预定次数(n次),由此可在晶片200上形成具有指定组成和指定厚度的SiOC膜。所述系列可重复多次。也就是说,可将每个系列所形成的SiOC层 的厚度设定为小于所需的膜厚度并可重复该系列多次直至SiOC膜的厚度达到所需的膜厚度。
此时,通过控制各个步骤的加工条件如处理室201的内压、气体供给时间等,可以精细地调节SiOC层中包括Si组分、O组分和C组分各元素组分的比率,即SiOC层中的Si浓度、O浓度和C浓度。这使得可以精确地控制SiOC膜的组成比。
在多次执行所述系列的情况下,在至少第二和随后执行的系列中的各个步骤中出现的表达“向晶片200供给指定气体”指“向晶片200上形成的层即作为层合体的晶片200的最上面的表面供给指定气体”。此外,表述“在晶片200上形成指定的层”指“在晶片200上形成的层即作为层合体的晶片200的最上面的表面上形成指定的层”。这些定义与上述相同。在变型实施例及后文描述的其它实施方式中系列或循环被多次执行的情况下,这些定义仍适用。
(SiOC膜改性工艺)
以前述方式形成的SiOC膜为在例如150℃或更低的低温条件下形成的膜。该SiOC膜具有优异的抗刻蚀性和低的介电常数。然而,有时的情况是,SiOC膜在抗灰化性方面差并且此外SiOC膜的抗刻蚀性因通过使用O2等离子体的执行的灰化而削弱。与此同时,薄膜如SiOC膜等常常需要使得薄膜对透射薄膜的光具有预定的折射率或衰减系数(吸收系数)的光学特性。
在本实施方式中,通过执行其中通过BCl3气体作为改性气体将SiOC膜改性为含B的SiOC膜的步骤形成了具有高的抗灰化性和优异的光学特性的薄膜。
(压力调节和温度调节)
在反馈控制APC阀244的同时,通过真空泵246对处理室201的内部进行真空排气使得处理室201的内压可达到所需的压力(所需的真空水平)(压力调节)。此外,通过加热器207加热存在于处理室201内的晶片200至所需的温度。此时,基于温度传感器263所检测到的温度信息反馈控制加热器207的导电状态使得处理室201的内部具有所需的温度分 布(温度调节)。在此步骤中,晶舟217和晶片200由旋转机构267连续地旋转。
(BCl3气体供给)
打开阀243d并让BCl3气体流经气体供应管232d。BCl3气体的流率由MFC241d调整。BCl3气体从气体供应孔250d供给到缓冲室237中,然后从气体供应孔250e供给到处理室201中,并从排气管231排出。这样,BCl3气体被供给到晶片200(BCl3气体供给)。同时,打开阀243j并让惰性气体如N2气等流经气体供应管232j。N2气的流率由MFC241j调整。N2气与BCl3气体一起被供给到处理室201中并从排气管231排出。
为了防止BCl3气体进入喷嘴249a至249c,打开阀243g至243i并让N2气流经气体供应管232g至232i。N2气通过气体供应管232a至232c和喷嘴249a至249c供给到处理室201中并从排气管231排出。
此时,适宜地调节APC阀244,使得处理室201的内压保持例如小于大气压,特别地1至13330Pa(0.0075至100托)的范围内,更特别地133至2666Pa(1至20托)的范围内,例如1333Pa(10托)下。由MFC241d控制的BCl3气体的供给流率保持在例如1至2000sccm、特别地10至1000sccm的范围内。由MFC241g至241j控制的N2气的供给流率分别保持在例如100至10000sccm的范围内。向晶片200供给BCl3气体所需的持续时间设定在例如1至120分钟、特别地10至120分钟的范围内。
此时,加热器207的温度设定为例如使得晶片200的温度变得高于形成前述SiOC膜的步骤中晶片200的温度。晶片200的温度设定在200℃至900℃、特别地200℃至700℃、更特别地200℃至600℃的范围内,例如600℃下。该温度范围根据例如晶片200的热负荷或热预算而决定。也就是说,如果晶片200的温度超过900℃,则热负荷将增长太大并可能影响形成在晶片200上的半导体设备的电特性等。通过将晶片200的温度设定为900℃或更低,将可以约束热负荷使之不影响电特性等。更特别地,如果将其上形成了作为热处理靶材的SiOC膜的晶 片200用于存储设备,则晶片200可承受约900℃的热。即使将晶片200用于逻辑设备,晶片200也可承受约700℃的热。如果晶片200的温度设定为600℃或更低,将易于可靠地避免设备结构等的热损坏。另一方面,如果晶片200的温度低于200℃,则SiOC膜改性效果将下降并且BCl3气体的供给时间(即改性时间)将变长,这将导致生产率的降低。通过设定晶片200的温度为200℃或更高,SiOC膜的改性可得到加速并且改性时间可保持在实用的处理时间内。为此,可将晶片200的温度设定在200℃至900℃、特别地200℃至700℃、更特别地200℃至600℃的范围内。
供给到处理室201中的BCl3气体被热活化并从排气管231排出。这样,经热活化的BCl3气体被供给到晶片200。也就是说,流进处理室201中的气体为经热活化的BCl3气体。BTCSM气体或H2O气并不流进处理室201中。因此,BCl3气体不经受气相反应并以活化状态供给到晶片200。BCl3气体与通过执行步骤1a和2a预定次数而在晶片200上形成的第一薄膜(SiOC膜)的至少一部分反应。因此,SiOC膜在非等离子体气氛下进行热改性并转化为含Si、O、C和B的第二薄膜,即含B的SiOC膜。
此时,如上面所提到,晶片200的温度保持较高。因此,BCl3气体与SiOC膜的反应被加速并且B组分可被引入到SiOC膜中。此外,晶片200的温度设定为高于在形成SiOC膜的步骤中使用的晶片200温度。因此,如上所述,如果SiOC膜含大量的水,该水将易于从膜脱附。在SiOC膜的水自其移除的部分中生成细孔,即小空间。因此,SiOC膜成为多孔膜。B进入水自其移除的每个孔,由此B组分易于被引入到SiOC膜中。因此,SiOC膜基本在其整体上被改性。此时,被引入到SiOC膜中的B的至少一些可与膜的组分形成键,例如,与Si等形成Si-B键。
SiOC膜的改性工艺在例如其中通过前述温度调节将晶片200的温度增至所需温度然后稳定地保持在该所需温度下的状态下执行。SiOC膜的改性工艺可通过在调节晶片200的温度的步骤中提高晶片200的温度的同时在任意的时机开始向晶片200供给BCl3气体来开始。另外, 可在供给BCl3气体的过程中开始下述吹扫处理室201的内部的步骤中执行的晶片200的降温,并且SiOC膜的改性工艺可在降低晶片200的温度的同时连续地执行。因此,SiOC膜的改性工艺基本指在其间在将晶片200的温度保持在预定温度的同时向晶片200供给BCl3气体的时间段。此时,可在调节(提高)晶片200的温度的步骤和降低晶片200的温度的步骤的至少部分时间段的过程中执行BCl3气体的供给,由此,该时间段可被包括在改性SiOC膜的步骤中。如上调节的所需温度指适于将B引入到SiOC膜中的温度。因此,例如,如果在晶片200的温度的提高或降低过程中可得到的温度低于所需温度,则将B引入到SiOC膜中将受限制或根本不发生,由此,有时的情况是,改性工艺很少发生。因此希望通过将晶片200保持在指定温度下来在指定温度下执行改性工艺。通过这样做,向SiOC膜中引入B的速度和量被稳定并且获得具有良好质量和稳定特性的薄膜。
如果以前述方式将SiOC膜改性为含B的SiOC膜,则可以获得具有高的抗灰化性的薄膜。此外,如果SiOC膜中含B,则薄膜对透射薄膜的光的折射率和衰减系数将变高。这使得可以获得具有优异的光学特性的薄膜。通过在BCl3气体的供给过程中调节处理室201的内压、晶片200的温度、BCl3气体的供给流率和供给时间等,可以控制薄膜中包含的B的浓度并精确地控制薄膜的光学特性。
(残余气体移除和吹扫)
其后,关闭阀243d以停止BCl3气体的供给。此时,打开排气管231的APC阀244并通过真空泵246对处理室201的内部进行真空排气,由此,留在处理室201内的未反应的或导致反应的BCl3气体及反应副产物从处理室201的内部移除(残余气体移除)。此外,打开阀243g至243j并保持作为惰性气体的N2气向处理室201中的供给。N2气充当吹扫气体。这使得可以增强留在处理室201内的未反应的BCl3气体或导致SiOC膜的改性的BCl3气体及反应副产物从处理室201的内部移除(吹扫)的效果。
此时,留在处理室201内的气体可以不被完全移除并且处理室 201的内部可以不被完全吹扫。如果留在处理室201内的气体的量小,则其后执行返回大气压可以安全地进行。供给到处理室201中的N2气的流率不必大。例如,通过以基本等于反应管203(处理室201)的体积的量供给N2气,可以执行吹扫,使得N2气不会不利地影响返回大气压。通过以这种方式不完全地吹扫处理室201的内部,可以缩短吹扫时间和提高生产能力。也可以将N2气的消耗减少至必要的最低量。
作为含指定的第III族或第V族元素的改性气体,可以使用含作为第III族元素的B的气体,其不仅包括BCl3气体,而且包括基于卤硼烷的气体如单氯硼烷(BClH2)气体、二氯硼烷(BCl2H)气体、三氟硼烷(BF3)气体、三溴硼烷(BBr3)气体等以及基于硼烷的气体如单硼烷(BH3)气体、二硼烷(B2H6)气体等。作为惰性气体,不仅可以使用N2气,而且可以使用前面提到的各种类型的稀有气体。
(返回大气压)
甚至在用惰性气体吹扫处理室201的内部后,阀243g至243j也保持在打开状态并从气体供应管232g至232j向处理室201中连续地供给作为惰性气体的N2气。因此,处理室201内的气氛被惰性气体所取代(惰性气体取代)并且处理室201的内压返回到常压(返回大气压)。
降低晶片200的温度使得晶片200的温度变为例如低于200℃,特别地基本等于室温的温度(降温步骤)。也就是说,通过调节加热器207的导电状态或停止向加热器207供给电流来降低晶片200的温度。通过与吹扫和返回大气压平行地执行晶片200的降温,使用吹扫气体如N2气等的冷却效应可以在短时间段内将晶片200的温度降低至预定温度。如上面所提到的,可在BCl3气体供给步骤的时间段期间开始降低晶片200的温度的降温步骤。
(晶舟卸载和晶片卸载)
其后,由晶舟升降机115降低密封帽219以打开歧管209的下端部分。经处理的晶片200在晶片200负载于晶舟217上的状态下通过歧管209的下端部分被取出反应管203(晶舟卸载)。然后,从晶舟217卸载经处理的晶片200(晶片卸载)。
(3)本实施方式的效果
本实施方式提供下面列举的一种或多种效果:
(a)根据本实施方式的成膜工序,在SiOC膜形成工艺的步骤1a中向晶片200供给BTCSM气体和吡啶气体。通过以这种方式使用含Si、C和Cl并具有Si-C键的前体气体,尤其是一个分子中含C、Cl和至少两个Si并具有Si-C键的前体气体,可以以高浓度将C引入到例如SiOC层中。因此,将可以形成具有高C浓度的SiOC膜。
在这点上,如果在低温条件下通过催化气体的使用获得SiO膜,则就1%浓度的氢氟酸(1%的HF水溶液)而言,湿刻蚀速率(下文也称WER)为例如约甚至在低温条件下通过等离子体的使用所获得的SiO膜中,WER也为例如约在通过在氧化炉内热氧化硅晶片所获得的热氧化膜中,WER为例如约这意味着在低温条件下形成的SiO膜的WER比热氧化膜的WER高至少三倍。较高的WER表明SiO膜的抗刻蚀性次于例如热氧化膜。为了提高抗刻蚀性,可使用含C的SiO膜,即SiOC膜。
在这种情况下,如果膜形成在较高的温度下执行,则可在例如下面的方法中形成SiOC膜。也就是说,提供了使用六氯二硅烷(Si2Cl6,缩写:HCDS)气体等形成含Si层的步骤、用氧化气体氧化含Si层以将含Si层转化为氧化硅层(SiO层)的步骤和用等离子体激发基于烃的气体如丙烯(C3H6)气体并向晶片200供给经激发的基于烃的气体的步骤。如此,C被引入到含Si层或SiO层中以形成SiOC层。因此,将可以形成SiOC膜。然而,当膜形成在例如150℃或更低的较低温度(如本实施方式中一样)下执行,将难以将C引入到层中,这将使得难以形成SiOC层。也就是说,在所得薄膜中未获得足够的C浓度。有时的情况是,形成例如含极少C并具有低的抗刻蚀性的SiO膜。
因此,在本实施方式中,使用BTCSM气体作为前体气体。如此,在晶片200上形成作为初始层的第一层的步骤中,C可被引入到第一层中。因此可以形成具有足够的C浓度的SiOC膜。也可以精确地控制SiOC膜中的C浓度。因此,可以获得例如具有高的抗刻蚀性和低的 介电常数的SiOC膜。
(b)根据本实施方式的成膜工序,在SiOC膜的改性工艺中向晶片200供给BCl3气体,从而将SiOC膜改性为含B的SiOC膜。因此,可以获得具有高的抗灰化性同时保持SiOC膜的高抗刻蚀性和低介电常数的薄膜。
在其中含C的某些SiOC膜具有低的抗灰化性。因此,有时的情况是,SiOC膜的抗刻蚀性因使用O2等离子体等执行的灰化而降低。推测起来,这是因为SiOC膜因O2等离子体等的强氧化力而进一步氧化并且因为在SiOC膜中形成大量的C-O键。键合到O的C变为CO气体或CO2气体并且易于从SiOC膜脱附。因此,认为SiOC膜中的C浓度因灰化而降低并且SiOC膜被转化为具有低的抗刻蚀性的膜。
就此而论,可以想到使用例如其中将新元素引入到SiOC膜中从而抑制SiOC膜中C-O键的形成并提高抗灰化性的方法。作为可易于引入到膜中的元素,可能可以使用例如氮(N)。然而,已知含N的薄膜,例如SiOCN膜,比例如SiOC膜的介电常数高,并且对热磷酸的耐受性低于SiOC膜。
因此,在本实施方式中,通过使用BCl3气体作为改性气体来将B引入到SiOC膜中。如此,改性膜中Si、O和C的键合状态不同于未改性的SiOC膜中的那些,使得在使用O2等离子体等执行的灰化过程中难以形成C-O键。也可以约束C使之不从膜脱附。因此,与例如未经受改性工艺并且不含B的SiOC膜相比,可以提高薄膜的抗灰化性,即抗氧化性。也就是说,可以获得例如具有高的抗灰化性而无需向薄膜中引入N并且同时保持SiOC膜的高抗刻蚀性和低介电常数的薄膜。这使得可以约束薄膜的抗刻蚀性使之不因灰化而劣化。
(c)根据本实施方式的成膜工序,在SiOC膜改性工艺中,SiOC膜被改性为含B的SiOC膜。因此,与例如未经受改性工艺并且不含B的SiOC膜相比,可以增大薄膜对透射薄膜的光的折射率和衰减系数(吸收系数)。
薄膜如SiOC膜等常被用作例如硬掩模。在这种情况下,有时 需要优异的光学特性,其指对透射膜的光具有预定的折射率或预定的衰减系数。例如,变得越来越精细的现有技术设备中广泛使用多层硬掩模。在光刻工艺中,在部分图案化的多层硬掩模或其基础膜上精确地重叠抗蚀剂图案的技术变得很重要。抗蚀剂图案的重叠通过例如让激光透射通过多层硬掩模并确认在基础膜等中形成的对准标记来执行。因此,每个层的折射率、衰减系数、厚度等被彻底限定以抑制激光在构成多层硬掩模的各个层中的反射或衰减(吸收)。
在本实施方式中,通过调节含B的SiOC膜中的B浓度可以将折射率和衰减系数控制到变为所需的值。也就是说,可以获得具有优异的光学特性即高的折射率和衰减系数可控性的薄膜。因此,如果将该薄膜应用于例如多层硬掩模,则可以提高抗蚀剂图案的位置对准精度。也可以根据所需的光学特性拓宽多层硬掩模的各个层的组合的范围。
作为下一代存储器的晶体管或RRAM(注册商标)和MRAM正在开发之中。这些下一代存储器中使用的薄膜需要满足低温沉积、低WER(高抗刻蚀性)、低介电常数等。常用作此薄膜的是如下薄膜:通过向氮化硅膜(SiN膜)中添加C所得到的碳氮化硅膜(SiCN膜)或通过向硅碳氮化物膜中进一步添加O所获得的氧碳氮化硅膜(SiOCN膜)。为了进一步提高这些薄膜的抗刻蚀性并进一步降低其介电常数,有必要增大膜中的C浓度或O浓度同时减小N浓度。然而,在其中通过例如交替地供给不同类型的气体而在低温区域中形成膜的前述方法中,将难以将N浓度减小至例如杂质水平并且难以使C以高浓度含在膜中。
在本实施方式中,当形成SiOC膜时,工艺在例如150℃或更低的低温下执行。甚至在该低温条件下,通过使用具有Si-C键的前体气体也可以增加或精确地控制薄膜中的C浓度。由于通过执行SiOC膜改性工艺将SiOC膜改性为含B的SiOC膜,故膜中的C浓度可以保持高,例如甚至在灰化后。
(4)本实施方式的变型实施例
接下来将参照图5B和7描述本实施方式的某些变型实施例。
(变型实施例)
在上述SiOC膜改性工艺中,可以例如通过选择所供给的改性气体的类型来选择非B的元素作为待含在SiOC膜中的元素。
也就是说,在SiOC膜改性工艺中,待含在SiOC膜中的元素可通过从含不同元素的不同类型改性气体选择特定的改性气体,例如通过从含不同的第III族或第V族元素的不同类型改性气体选择含特定的第III族或第V族元素的气体,并供给所选择的气体作为改性气体来进行选择。
为了从不同类型的改性气体选择特定的改性气体并供给所选择的改性气体,从用于供给含不同指定元素的不同类型改性气体或用于供给分子结构不同的不同类型改性气体的多个供给管线中选择特定的供给管线。如上所述,在图4和5A中所示的成膜工序的实施例中,从BCl3气体供给管线和PH3气体供给管线中选择BCl3气体供给管线,由此供给BCl3气体作为特定的改性气体。如图5B中所示,在本实施方式的变型实施例的成膜工序中,从BCl3气体供给管线和PH3气体供给管线中选择PH3气体供给管线,由此供给PH3气体作为特定的改性气体。如果以这种方式使用PH3气体而不是BCl3气体作为改性气体,则可以获得含P的SiOC膜,其不同于在前述实施方式中获得的含B的SiOC膜。在这点上,含P的SiOC膜可被称为掺杂(添加)了P的SiOC膜或可被叫做氧碳磷化硅膜(SiOCP膜)或含氧磷碳化硅膜(SiOPC膜)。
在本变型实施例中,通过执行与前述步骤1a和2a相同的步骤预定的次数而在晶片200上形成SiOC膜。其后,执行包括向晶片200供给PH3气体的步骤代替前述BCl3气体供给步骤的SiOC膜改性工艺。向晶片200供给PH3气体的程序将在下文描述。
(PH3气体供给)
打开阀243e并让PH3气体流经气体供应管232e。PH3气体的流率由MFC241e调整。PH3气体从气体供应孔250d供给到缓冲室237中然后从气体供应孔250e供给到处理室201中。PH3气体从排气管231排出。这样,PH3气体被供给到晶片200(PH3气体供给)。与此同时,打开阀243j并让惰性气体如N2气等流经气体供应管232j。N2气的流率 由MFC241j调整。N2气与PH3气体一起被供给到处理室201中并从排气管231排出。
供给到处理室201中的PH3气体被热活化并从排气管231排出。这样,经热活化的PH3气体被供给到晶片200。也就是说,流进处理室201中的气体为经热活化的PH3气体。BTCSM气体或H20气并不流进处理室201中。因此,PH3气体不经受气相反应并以活化状态供给到晶片200。PH3气体与通过执行与步骤1a和2a相同的步骤预定的次数而在晶片200上形成的SiOC膜的至少一部分反应。因此,SiOC膜在非等离子体气氛下热改性并转化为含Si、O、C和P的薄膜,即含P的SiOC膜。
此时,晶片200的温度保持较高。因此,PH3气体与SiOC膜的反应被加速并且P组分可被引入到SiOC膜中。此外,晶片200的温度设定为高于形成SiOC膜的步骤中采用的晶片200温度。因此,如果SiOC膜含大量的水,该水将易于从膜脱附。在SiOC膜的水自其移除的部分中生成细孔。因此,SiOC膜成为多孔膜。P进入水自其移除的每个孔,由此P组分易于被引入到SiOC膜中。因此,SiOC膜基本在其整体上被改性。此时,被引入到SiOC膜中的P的至少一些可与膜的组分形成键,例如,与Si等形成Si-P键。
通过以这种方式将SiOC膜改性为含P的SiOC膜,可以获得具有高的抗灰化性的薄膜。由于SiOC膜中包含P,薄膜对透射光的折射率和衰减系数将变高。这使得可以获得具有优异的光学特性的薄膜。通过在PH3气体的供给过程中调节处理室201的内压和晶片200的温度以及PH3气体的供给流率和供给时间,可以控制膜中包含的P的浓度并可以精确地控制薄膜的光学特性。
在将SiOC膜改性为含P的SiOC膜后,关闭阀243e以停止PH3气体的供给。
此时,工艺条件如处理室201的内压、各个气体如改性气体或N2气的供给流率和供给时间、晶片200的温度等可以设定在例如与前述实施方式的工艺条件相同的范围内。当供给PH3气体时,与前述实施方 式一样,执行N2气供给以防止气体进入未使用的喷嘴249a至249c。
作为含指定的第III族或第V族元素的改性气体,可以使用含P作为第V族元素的气体,其不仅包括膦(PH3)气体,而且包括基于膦的气体如二膦(P2H4)气体等以及基于卤代膦的气体如氯膦(PClH2)气体、二氯膦(PCl2H)气体、三氯膦(PCl3)气体、三氟膦(PF3)气体、三溴膦(PBr3)气体等。作为惰性气体,可能不仅可以使用N2气,而且可以使用前面提到的各种类型的稀有气体。
如上所述,在SiOC膜改性工艺中,含P气体代替含B气体被供给到晶片200,由此获得含P代替B的SiOC膜。其中通过改变供给到晶片200的气体的类型来改变膜的组成的方法可应用于例如SiOC膜形成工艺。
在供给前体气体和第一催化气体的前述步骤中,可通过适宜地选择所供给的前体气体的类型来控制SiOC层中以及通过层合SiOC层所形成的SiOC膜中C的浓度。也就是说,在供给前体气体和第一催化气体的步骤中,可通过从分子结构不同的不同类型工艺气体选择特定的前体气体并供给所选择的气体作为前体气体来控制SiOC层或SiOC膜中C的浓度。
据认为,使得可以依靠所选择的前体气体的类型来控制SiOC膜中C的浓度的一个因素在于例如各个前体气体的分子结构中C的排列差异。作为在一个分子中具有Si-C-Si键或Si-C-C-Si键的基于亚烷基卤硅烷的前体气体,BTCSM气体或BTCSE气体具有其中C介于Si和Si之间的分子结构。结果,大量的Cl保持键合到其余的Si的成键电子。例如,在BTCSM气体和BTCSE气体中,Cl与Si的四个成键电子中的三个键合。据认为,分子中包含的大量的Cl用来提高BTCSM气体和BTCSE气体的反应性。因此,例如SiOC膜的成膜速率因使用BTCSM气体和BTCSE气体而提高。由于BTCSM气体或BTCSE气体的反应性和成膜速率因包含Cl而提高,故通过使用BTCSM气体或BTCSE气体执行的成膜工艺的可用条件范围(工艺窗口)将扩展。这样,可从宽的工艺窗口内选择用于获得例如所需的C浓度的成膜条件。因此,将易于 增大SiOC膜中的C浓度。也可以提高SiOC膜中C浓度的可控性。BTCSM气体中所含的C数小于例如TCDMDS气体中所含的C数。然而,据认为,这不会在增大SiOC膜中的C浓度中起不利作用。本发明人已确认,在使用例如BTCSM气体时可比在使用TCDMDS气体时更容易增大C浓度。
作为其中烷基如甲基等与Si键合的基于烷基卤硅烷的前体气体,TCDMDS气体或DCTMDS气体具有其中基于氯硅烷的前体气体的一些氯基被甲基所取代的分子结构。当使用TCDMDS气体或DCTMDS气体时,反应进行得相当慢,就像气体分子中Cl数的减少一样。这使得易于获得致密的SiOC膜。因此,易于保持高的抗刻蚀性,甚至在例如其C浓度被适宜地抑制的SiOC膜的情况下。TCDMDS气体和DCTMDS气体的比较表明,含更大甲基数即C数的DCTMDS气体在向膜中引入C中更有利地起作用。
类似地,在供给氧化气体和第二催化气体的步骤中,可以根据所需的膜组成等适宜地选择供给的催化气体的类型。例如,据认为,具有不同分子结构的催化气体在例如催化作用的强度方面不同。据推测,催化作用的强度差异是使得可以通过催化气体类型的选择来控制SiOC膜的组成等的一个因素。例如,如果选择具有大的pKa值(作为催化作用的指标)的催化气体,则氧化气体的氧化力提高而Si-C键断开。有时的情况是,C浓度降低的趋势变强。相反,如果选择具有小的pKa值的催化气体,则氧化气体的氧化力降低而Si-C键被保持。有时的情况是,C浓度增加的趋势变强。使得可以控制SiOC膜的组成等的其它因素包括催化反应中涉及的不同材料如各种类型的催化气体、所生成的盐等的蒸汽压的不同以及此类材料的pKa值和蒸汽压的不同的组合所产生的复合因素。如上面所提到的,可通过从分子结构不同的多种催化气体中选择特定的催化气体并供给所选择的催化气体来控制例如SiOC层或SiOC膜中C的浓度。
在供给氧化气体和催化气体的步骤中供给的催化气体的类型可与在供给前体气体和催化气体的步骤中供给的催化气体的类型相同 或不同。
通过选择前体气体或催化气体的类型,可以通过控制SiOC膜中C的浓度来相对改变Si浓度和O浓度。换句话说,为了改变或控制SiOC膜作为一个整体的组成,可以选择前体气体或催化气体的类型。
如果包括步骤1a和2a的系列被执行多次,则可在该系列的过程中改变前体气体或催化气体的类型。此外,如果包括步骤1a和2a的系列被执行多次,则可在该步骤过程中改变催化气体的供给量。这使得可以改变SiOC膜中的C在膜厚度方向上的浓度。
如上所述,根据本实施方式的基材处理装置及其变型实施例提供有多个改性气体供给管线,并被构造为从包含不同的指定元素的不同类型改性气体或从分子结构不同的不同类型改性气体中选择特定的改性气体并供给所选择的改性气体。根据本实施方式的基材处理装置及其变型实施例可提供有多个前体气体供给管线或多个催化气体供给管线,并可被构造为从分子结构不同的不同类型前体气体或催化气体中选择特定的前体气体或特定的催化气体并供给所选择的前体气体或所选择的催化气体。这使得易于根据所需的膜组成来从不同类型的气体选择特定的改性气体、特定的前体气体或特定的催化气体并供给所选择的气体。因此,可以通过一个基材处理装置以增强的通用性和可重复性形成不同组成比和不同质量的薄膜。另外,可以保证在增加或更换气体种类时装置管理的自由度。
在根据本实施方式的基材处理装置及其变型实施例中,可以以与改性气体、前体气体和催化气体的类型即不同的气体体系相对应的关系事先准备多个在上面提到的形成不同类型薄膜中使用的工艺程序(多个限定加工工序或加工条件的程序)。此外,在根据本实施方式的基材处理装置及其变型实施例中,可以以与不同的处理条件相对应的关系准备多个工艺程序。这使得易于根据所需的膜组成来从不同类型的气体选择特定的改性气体、特定的前体气体或特定的催化气体并供给所选择的气体。为了执行成膜工艺,仅需要操作者根据所需的膜组成来从多个工艺程序适宜地选择适合的工艺程序。因此,可以通过一个基材处理装置以增强的通用性和可重复性形成不同组成比和不同质量的薄膜。也可以减轻操作者所承受的操作负担(如加工工序和加工条件的输入负担)。这使得可以快速启动基材处理而避免操作错误。
(另一变型实施例)
在前述实施方式中,SiOC膜形成工艺和SiOC膜改性工艺在其中待处理的晶片200被容纳在同一处理室201内的状态下执行。在本变型实施例中,SiOC膜形成工艺和SiOC膜改性工艺在其中待处理的晶片200被容纳在不同的处理室内的状态下执行。
如图7A和7B中所示,例如,SiOC膜形成工艺如前述实施方式中的情况一样在图1和2中所示基材处理装置(后文也称第一基材处理装置)的处理室201(后文也称第一处理室)内执行。构成第一基材处理装置的各个部分的运行由控制器121(后文也称第一控制部分)控制。将包括与前述步骤1a和2a等同的步骤1b和2b的系列执行预定次数。其后,相继地进行处理室201的吹扫、返回大气压、晶舟卸载和晶片卸载。
随后,在非处理室201的处理室内执行对从晶舟217中卸载的晶片200上形成的SiOC膜进行改性的工艺。作为该处理室,可以使用具有与例如前述实施方式的基材处理装置相同的构造但与执行SiOC膜形成工艺的基材处理装置不同的基材处理装置(后文也称第二基材处理装置)的处理室(后文也称第二处理室)。构成第二基材处理装置的各个部分的运行由第二控制部分控制。在第二基材处理装置中,如在前述实施方式的第一基材处理装置中一样,相继地执行晶片负载和晶舟装载。与前述实施方式的SiOC膜改性工艺一样,执行压力调节、温度调节、BCl3气体供给和残余气体移除。其后,与前述实施方式一样,相继地进行吹扫、返回大气压、晶舟卸载和晶片卸载。
在上述情况下,基材处理系统主要由用于形成SiOC膜的第一基材处理装置和用于改性SiOC膜的第二基材处理装置组成。
如上所述,SiOC膜形成工艺和SiOC膜改性工艺可在同一处理室201内(原位)执行或者可在不同的处理室例如第一处理室和第二处理室内(非原位)进行。如果两个工艺原位执行,则可以连贯地进行所述加工同时防止晶片200在中途暴露于大气并同时保持晶片200在真空下。因此,可以以更稳定的方式执行成膜工艺。如果两个工艺非原位进行,则可以将各个处理室的内压预设为例如等于或接近于各个工艺的加工温度。这使得可以缩短温度调节中所需的时间。因此,可以进一步改善制造效率。
用于改性SiOC膜的处理室可为具有不同于前述实施方式的基材处理装置的构造的装置的处理室。例如,所述处理室可为热处理中使用的热处理炉中提供的处理室或用于执行扩散的扩散炉中提供的处理室。
<第二实施方式>
接下来,将描述本公开的第二实施方式。
(1)薄膜形成工艺
在前述实施方式中,将包括步骤1a和2a的系列执行预定次数以形成SiOC膜,其继而通过改性气体进行改性。在本实施方式中,将其中通过正如前述步骤1a和2a一样的步骤1c和2c所形成的SiOC层改性为含指定元素的SiOC层的循环执行预定次数以形成含指定元素的SiOC膜。在本实施方式中,与前述实施方式一样,使用图1和2中示出的基材处理装置。在下面的描述中,构成基材处理装置的各个部件的运行由控制器121控制。
如图8和9A中所示,在本实施方式中,将对其中执行预定次数循环而在晶片200上形成含B的SiOC膜作为含Si、O、C和B的薄膜的实施例进行描述,所述循环顺序地执行:向晶片200供给作为前体气体的BTCSM气体和作为第一催化气体的吡啶气体的步骤(步骤1c);向晶片200供给作为氧化气体的H2O气和作为第二催化气体的吡啶气体的步骤(步骤2c);和向晶片200供给作为改性气体的BCl3气体的步骤(步骤3c)。
此时,在向晶片200供给BCl3气体的步骤中,向晶片200供给处于等离子体激发态的BCl3气体。
本工序仅在供给处于等离子体激发态的BCl3气体的步骤3c中 和在包括步骤3c在内的各个步骤的处理顺序中不同于前述实施方式的成膜工序。除步骤3c外的各个步骤1c和2c保持与前述实施方式的那些相同。现在将对本实施方式的步骤3c和包括步骤3c在内的各个步骤的加工顺序进行描述。
[步骤3c]
(BCl3气体供给)
在完成步骤2c并移除留在处理室201内的气体后,打开阀243d并让BCl3气体流经气体供应管232d。BCl3气体的流率由MFC241d调整。BCl3气体从气体供应孔250d供给到缓冲室237中。此时,通过匹配单元272从高频电源273向棒形电极269和270之间施加射频(RF)功率,由此将供应到缓冲室237中的BCl3气体进行等离子体激发。作为活性物质的BCl3气体从气体供应孔250e供给到处理室201中并从排气管231排出。这样,等离子体激活(激发)的BCl3气体被供给到晶片200(BCl3气体供给)。同时,打开阀243f并让Ar气流经气体供应管232f。Ar气的流率由MFC241f调整。Ar气与BCl3气体一起被供给到处理室201中并从排气管231排出。在供给各个气体时,与前述实施方式一样,适宜地执行N2气供给以防止气体进入此时未使用的喷嘴249a和249c。
此时,适宜地调节APC阀244,使得处理室201的内压保持例如小于大气压,特别地1至13330Pa(0.0075至100托)的范围内,更特别地133至2666Pa(1至20托)的范围内,例如1333Pa(10托)下。由MFC241d控制的BCl3气体的供给流率保持在例如1至2000sccm、特别地10至1000sccm的范围内。由MFC241f控制的Ar气的供给流率和由MFC241g至241i控制的N2气的供给流率分别保持在例如100至10000sccm的范围内。向晶片200供给BCl3气体中所需的持续时间设定在例如1至100秒、特别地5至60秒的范围内。
加热器207的温度设定为使得晶片200的温度保持在与步骤1c和2c中晶片200的温度相同的温度范围内,例如室温至150℃、特别地室温至1003C、更特别地50℃至100℃的范围内。从高频电源273向棒形电极269和270之间供给的射频功率设定在例如50至1000W的范围 内。
流进处理室201中的气体为包含活性物质如B自由基(B*)的等离子体激发BCl3气体。BTCSM气体或H2O气并不流进处理室201中。因此,BCl3气体不经受气相反应并以活化状态供给到晶片200。步骤1c和2c中形成在晶片200上的作为第二层的SiOC层主要通过所述活性物质进行改性。与前述实施方式一样,活性物质的能量高于热活化BCl3气体的能量。为此,如果向SiOC层施加活性物质的能量,则SiOC层中包含的Si-O键和Si-C键将至少部分断开。作为活性物质的B*键合到与O或C的键合断开的Si的剩余成键电子。据认为,至少一些引入到SiOC层中的B产生Si-B键。此外,层中的一些B可键合到O或C的剩余成键电子而产生B-O键或B-C键。这样,将作为第二层的SiOC层改变(改性)为含B的第三层,即含B的SiOC层。
与BCl3气体一起供给的Ar气电离能相对低并被激发到等离子体状态,由此,Ar气充当辅助点燃等离子体的辅助气体。如果如上面所提到在Ar气的存在下从高频电源273向棒形电极269和270之间施加射频功率,则BCl3气体将易于被等离子体激发。此时,例如,Ar气的供给可在BCl3气体的供给之前开始。换句话说,可在单独供给Ar气的同时从高频电源273向棒形电极269和270之间施加射频功率,然后在此状态下可以开始BCl3气体的供给。因此,BCl3气体被供给到缓冲室237内的等离子体状态的Ar气氛。因此,BCl3气体易于被等离子体激发。此外,Ar气可充当辅助在等离子体中解离BCl3气体的辅助气体。
(残余气体移除)
在已在晶片200上形成作为第三层的包含B的SiOC层后,停止从高频电源273向棒形电极269和270之间施加射频功率。此外,关闭阀243d以停止BCl3气体的供给。另外,关闭阀243f以停止Ar气的供给。Ar气的供给可以不停止,在这种情况下,Ar气可充当主要用于吹扫喷嘴249d的内部或缓冲室237的内部的吹扫气体。或者,可将供给的气体从Ar气切换为N2气以连续地吹扫喷嘴249d的内部或缓冲室237的内部。此时,残余气体从处理室201的移除可以以与前述实施方 式中相同的顺序执行。
作为含指定的第III族或第V族元素的改性气体,不仅可以使用含B作为第III族元素的BCl3气体,而且可以使用上面提到的含B气体中之一或含P作为第V族元素的含P气体。作为辅助点燃等离子体的辅助气体,不仅可以使用Ar气,而且可以使用稀有气体如He气、Ne气、Xe气等、N2气等。
(执行预定次数)
将包括上述步骤1c、2c和3c的一个循环执行一次或多次,即预定次数(n次),由此可在晶片200上形成含B并具有指定组成和指定厚度的SiOC膜。所述循环可重复多次。也就是说,可将每个循环所形成的含B的SiOC层的厚度设定为小于所需的膜厚度并重复该循环多次直至SiOC膜的厚度达到所需的膜厚度。
其后,以与前述实施方式中相同的顺序执行吹扫、返回大气压、晶舟卸载和晶片卸载,由此完成本实施方式的成膜工艺。
(2)本实施方式的效果
本实施方式不仅提供了与前述第一实施方式中所提供的相同的效果,而且提供了下面列举的一种或多种效果。
(a)根据本实施方式的成膜工序,在步骤3c中,向晶片200供给处于等离子体激发态的BCl3气体。因此,该BCl3气体比热活化态更活化。这使得可以显著提高BCl3气体对SiOC层的改性作用。作为活性物质的B*易于被引入到SiOC层中并大多键合到Si等,由此B*以坚固且稳定的状态包含在SiOC层中。也就是说,通过该改性工艺获得的含B的SiOC层可被制成为包含大量Si-B键的坚固且稳定的层。因此,最终获得的含B的SiOC膜可为具有更好质量的薄膜。
(b)根据本实施方式的成膜工序,在步骤3c中,对SiOC层的改性作用可因由等离子体激发的BCl3气体而显著改善。因此,对SiOC层的改性工艺基于在例如150℃或更低的低温条件下可以充分地进行。
因此,可以进一步改善晶片200的热预算。
(c)根据本实施方式的成膜工序,步骤3c中晶片200的温度 设定为等于步骤1c和2c中晶片200的温度。因此,当将顺序地进行步骤1c、2c和3c的循环执行预定次数时,在该循环过程中,可以执行各个步骤而不必执行温度调节。因此,可以忽略在其他情况下在晶片200的升温或降温中所需要的时间。这使得可以缩短每一循环的处理时间而缩短总的处理时间。
(3)本实施方式的变型实施例
接下来,将参照图9B描述本实施方式的变型实施例。本变型实施例与前述第二实施方式的不同在于,在SiOC层的改性工艺中,BCl3气体不以等离子体激发态供给到晶片200而是与作为催化气体的吡啶气体一起供给到晶片200。
本变型实施例的工序与前述第二实施方式的成膜工序的不同仅在于,BCl3气体与吡啶气体一起供给。在其它方面,本变型实施例的工序保持与前述第二实施方式的成膜工序相同。现在将对本变型实施例中供给BCl3气体和吡啶气体的程序进行描述。
(BCl3气体+吡啶气体供给)
在完成如步骤1c和2c一样执行的步骤并移除留在处理室201内的气体后,打开阀243d以让BCl3气体流经气体供应管232d。BCl3气体的流率由MFC241d调整。BCl3气体从气体供应孔250d供给到缓冲室237中、从气体供应孔250e供给到处理室201中并从排气管231排出。这样,BCl3气体被供给到晶片200(BCl3气体供给)。与此同时,打开阀243j并让惰性气体如N2气等流经气体供应管232j。N2气的流率由MFC241j调整。N2气与BCl3气体一起被供给到处理室201中并从排气管231排出。
打开阀243c以让吡啶气体流经气体供应管232c。吡啶气体的流率由MFC241c调整。吡啶气体从气体供应孔250c供给到处理室201中并从排气管231排出。这样,吡啶气体被供给到晶片200(吡啶气体供给)。与此同时,打开阀243i并让惰性气体如N2气等流经气体供应管232i。N2气的流率由MFC241i调整。N2气与吡啶气体一起被供给到处理室201中并从排气管231排出。
在供给各个气体时,与前述实施方式一样,适宜地执行N2气供给以防止气体进入此时未使用的喷嘴249a和249b。
此时,适宜地调节APC阀244,使得处理室201的内压保持例如小于大气压,特别地1至13330Pa(0.0075至100托)的范围内,更特别地133至2666Pa(1至20托)的范围内,例如1333Pa(10托)下。由MFC241d控制的BCl3气体的供给流率保持在例如1至2000sccm、特别地10至1000sccm的范围内。由MFC241c控制的吡啶气体的供给流率保持在例如1至2000sccm、特别地10至1000sccm的范围内。由MFC241g至241j控制的N2气的供给流率分别保持在例如100至10000sccm的范围内。向晶片200供给BCl3气体和吡啶气体中所需的持续时间设定在例如1至100秒、特别地5至60秒的范围内。加热器207的温度设定为使得晶片200的温度保持在与如步骤1c和2c一样执行的步骤中晶片200的温度相同的温度范围内,例如室温至150℃、特别地室温至100℃、更特别地50℃至100℃的范围内。
吡啶气体与BCl3气体一起被供给到晶片200,由此削弱晶片200上形成的SiOC层的表面上存在的O-H键的键合力。键合力减弱的H与BCl3气体的Cl相互反应,由此生成HCl气体并脱附。失去Cl的BCl3分子化学吸附到SiOC层的表面。因此,B被引入到SiOC层中。这样,吡啶气体促进BCl3气体的分解,从而使得BCl3气体可以在低温条件下改性SiOC层。SiOC层在非等离子体气氛下进行热改性并转化为含B的第三层,即含B的SiOC层。此时,引入到SiOC层中的B中的至少一些可以与SiOC层的组分形成键,例如,与SiOC层的Si形成Si-B键。
其后,关闭阀243d以停止BCl3气体的供给并关闭阀243c以停止吡啶气体的供给。
通过以这种方式与吡啶气体一起向晶片200供给BCl3气体,可以在例如150℃或更低的低温条件下激活BCl3气体以改性SiOC层。由于SiOC层的改性工艺中晶片200的温度可设定为等于形成SiOC层过程中晶片200的温度,故可以缩短每一循环的加工时间。
在前述第二实施方式及其变型实施例中,将顺序地进行向晶片200供给各个气体的步骤的循环执行预定次数。或者,与前述第一实施方式一样,可以将包括如步骤1a和2a一样执行的步骤的系列执行预定次数以在晶片200上最初形成SiOC层。然后,可将BCl3气体等离子体激发,或者可与吡啶气体一起向晶片200供给BCl3气体。这样,SiOC膜可以被改性为含B的SiOC膜。
在其中通过与吡啶气体一起向晶片200供给BCl3气体来改性SiOC膜的情况下,晶片200的温度可设定为高于形成SiOC膜的过程中晶片200的温度。更特别地,晶片200的温度可设定为例如等于前述第一实施方式的SiOC膜改性工艺过程中晶片200的温度。如果SiOC膜的改性过程中晶片200的温度设定为基本等于SiOC膜形成过程中晶片200的温度,则存在这样的可能性,即BCl3气体的改性作用可能不影响整个膜,但可获得改性SiOC膜的至少表面层部分的效果。通过将SiOC膜的改性过程中晶片200的温度设定为高于SiOC膜形成过程中晶片200的温度,可以允许BCl3气体的改性作用基本均匀地影响SiOC膜的整体。这使得可以获得具有均匀质量的薄膜。另外,水易于从SiOC膜脱附,因此B将易于被引入到水自其移除的孔中。
在其中通过等离子体激发BCl3气体并向晶片200供给等离子体激发的BCl3气体来改性SiOC膜的情况下,晶片200的温度可设定为例如等于如步骤1a和2a一样执行的步骤中晶片200的温度。由于经等离子体激发的BCl3气体处于高度活化状态,故可以使得BCl3气体的改性作用基本均匀地影响SiOC膜的整体,甚至在低温条件下。然而,即便使用等离子体,晶片200的温度也可设定为高于如步骤1a和2a一样执行的步骤中晶片200的温度。例如,晶片200的温度可设定为500℃或更低。通过这样做,水将易于从SiOC膜脱附,因此B将易于被引入到水自其移除的孔中。
<其它实施方式>
虽然已详细描述了本公开的某些实施方式,但本公开不限于上述实施方式和变型实施例,而是可作多种变型而不偏离本公开的精神。
例如,在前述第一实施方式中,已描述了将其中通过将步骤1a和2a执行预定次数来进行SiOC膜改性工艺的循环仅进行一次的实施例。然而,所述循环可重复多次。在前述第二实施方式中,已描述了将其中将步骤1c、2c和3c顺序地执行一次的循环进行预定次数的实施例。然而,举例来说,可以将其中将步骤1c和2c重复多次而步骤3c执行一次的循环执行预定次数。
在前述实施方式中,已描述了其中供给改性气体的步骤与供给前体气体或氧化气体的步骤独立地执行的实施例。然而,供给改性气体的步骤可以在供给前体气体和第一催化气体的步骤期间执行。此外,供给改性气体的步骤可以在供给氧化气体和第二催化气体的步骤期间执行。
在前述实施方式中,已描述了其中使用基于卤硼烷的气体或基于硼烷的气体作为含B作为第III族元素的改性气体的实施例。然而,作为含B气体,可以使用:基于烷基硼烷的气体如三甲基硼烷[(CH3)3B]气体、三乙基硼烷[(C2H5)3B]气体、三正丙基硼烷[(n-C3H7)3B]气体、三异丙基硼烷[(i-C3H7)3B]气体、三正丁基硼烷[(n-C4H9)3B]气体、三异丁基硼烷[(i-C4H9)3B]气体、三叔丁基硼烷[(t-C4H9)3B]气体、叔丁基硼烷(t-C4H9BH2)气体等;基于氨基硼烷的气体如氨基硼烷(NH2BH2)气体、三(二甲基氨基)硼烷{[(CH3)2N]3B}气体、双(二甲基氨基)硼烷{BH[N(CH3)2]2}气体、双(二甲基氨基)氯硼烷{[(CH3)2N]2BCl}气体等;基于硼烷酰胺的气体如双(二甲基氨基)甲基硼烷{CH3B[N(CH3)2]2}气体、二甲基氨基二甲基硼烷[(CH3)2BN(CH3)2]气体、二乙基氨基二乙基硼烷{(C2H5)2BN(C2H5)2}气体等;基于烷氧基硼烷的气体如三甲氧基硼烷[B(OCH3)3]气体、三乙氧基硼烷[B(OC2H5)3]气体、三正丙氧基硼烷[B(n-OC3H7)3]气体、三异丙氧基硼烷[B(i-OC3H7)3]气体、三正丁氧基硼烷[B(n-OC4H9)3]气体、三异丁氧基硼烷[B(i-OC4H9)3]气体、三仲丁氧基硼烷[B(sec-OC4H9)3]气体等;氯氧化硼(BOCl3)气体;三乙烯基硼烷[(CH2=CH)3B]气体;等。另外,可以使用其中含B气体的B被作为第III族元素的In所取代的含In气体来形成含In作为指定元素的SiOC膜。
在前述实施方式中,已描述了其中使用基于膦的气体或基于卤代膦的气体作为含P作为第V族元素的改性气体的实施例。然而,作为含P气体,可以使用:基于烷基膦的气体如三甲基膦[(CH3)3P]气体、三乙基膦[(C2H5)3P]气体、三正丙基膦[(n-C3H7)3P]气体、三异丙基膦[(i-C3H7)3P]气体、三正丁基膦[(n-C4H9)3P]气体、三异丁基膦[(i-C4H9)3P]气体、三叔丁基膦[(t-C4H9)3P]气体、叔丁基膦(t-C4H9PH2)气体等;基于氨基膦的气体如氨基膦(NH2PH2)气体、三(二甲基氨基)膦{[(CH3)2N]3P}气体、双(二甲基氨基)膦{PH[N(CH3)2]2}气体、双(二甲基氨基)氯膦{[(CH3)2N]2PCl}气体等;基于膦酰胺的气体如双(二甲基氨基)甲基膦{CH3P[N(CH3)2]2}气体、二甲基氨基二甲基膦[(CH3)2PN(CH3)2]气体、二乙基氨基二乙基膦[(C2H5)2PN(C2H5)2]气体等;基于烷氧基膦的气体如三甲氧基膦[P(OCH3)3]气体、三乙氧基膦[P(OC2H5)3]气体、三正丙氧基膦[P(n-OC3H7)3]气体、三异丙氧基膦[P(i-OC3H7)3]气体、三正丁氧基膦[P(n-OC4H9)3]气体、三异丁氧基膦[P(i-OC4H9)3]气体、三仲丁氧基膦[P(sec-OC4H9)3]气体等;基于磷酸酯的气体如膦酸三甲酯[PO(OCH3)3]气体、膦酸三乙酯[PO(OC2H5)3]气体、膦酸三正丙酯[PO(n-OC3H7)3]气体、膦酸三异丙酯[PO(i-OC3H7)3]气体、膦酸三正丁酯[PO(n-OC4H9)3]气体、膦酸三异丁酯[PO(i-OC4H9)3]气体等;氯氧化磷(POCl3)气体;三乙烯基膦[(CH2=CH)3P]气体;等。另外,可以使用其中含P气体的P被作为第V族元素的As所取代的含As气体来形成含As作为指定元素的SiOC膜。
在前述第二实施方式中,已描述了其中在等离子体激发作为改性气体的BCl3气体并向晶片200供给该BCl3气体的情况下BCl3气体与Ar气一起通过缓冲室237使用与通过其供给作为辅助气体的Ar气的喷嘴相同的喷嘴249d供给到处理室201中的实施例。然而,BCl3气体可以从与用于供给Ar气的喷嘴249d独立地安装在缓冲室237外的喷嘴供给到处理室201中而不通过缓冲室237。甚至在这种情况下,供给到处理室201中的BCl3气体也可在从气体供应孔250e供给到处理室201中的等离子体状态下的Ar气的辅助下进行等离子体激发。
在前述第二实施方式中,已描述了其中在等离子体激发BCl3气体并向晶片200供给该BCl3气体的情况下BCl3气体与作为辅助气体的Ar气一起供给的实施例。然而,在其中BCl3气体通过缓冲室237供给的情况下可不使用辅助气体。在这种情况下,可以在BCl3气体的供给过程中通过调整处理室201或缓冲室237的内压及在棒形电极269和270之间施加的射频功率来稳定地获得等离子体状态下的BCl3气体。
在前述实施方式中,已描述了其中在步骤2a、2b和2c中与催化气体一起使用氧化气体如H2O气等将含C和Cl的含Si层氧化和转化为SiOC层的实施例。然而,可以使用氧化气体如经等离子体激发的O2气等将含C和Cl的含Si层氧化和转化为SiOC层。
在前述实施方式中,已描述了其中形成含指定元素如B等的SiOC膜作为薄膜的实施例。然而,可以通过层合该薄膜与组成和元素不同于该薄膜的薄膜来形成多层膜。
在这种情况下,例如,通过执行预定次数的循环来在晶片200上形成包含含Si、O、C和指定的第III族或第IV族元素的第一薄膜和含至少Si和O的第二薄膜的多层膜。所述循环包括将包括向晶片200供给含Si、C和卤族元素并具有Si-C键的前体气体和第一催化气体的步骤、向晶片200供给氧化气体和第二催化气体的步骤以及向晶片200供给含指定的第III族或第V族元素的改性气体的步骤的系列(系列1)执行预定次数来形成第一薄膜的工艺;和将包括向晶片200供给含至少Si和卤族元素的前体气体和第三催化气体的步骤以及向晶片200供给氧化气体和第四催化气体的步骤的系列(系列2)执行预定次数来形成第二薄膜的工艺。
此时,作为氧化气体、催化气体和改性气体,可使用前述实施方式中上面作为实例描述的各个气体。在供给包括前体气体的各个气体的步骤中,可以使用前述实施方式及其变型实施例的相应步骤中所使用的条件。
在系列1中,如上所述,可以形成含指定元素如B等的SiOC膜作为第一薄膜。此时,作为含Si、C和卤族元素并具有Si-C键的前体 气体,可以使用例如BTCSM气体、BTCSE气体、TCDMDS气体、DCTMDS气体和MCPMDS气体,这些气体已在前文提到。
在系列2中,例如,可以形成氧化硅膜(SiO2膜,下文也称其为SiO膜)作为第二薄膜。此时,作为含至少Si和卤族元素的前体气体,可以使用例如六氯二硅烷(Si2Cl6,缩写:HCDS)气体、三氯硅烷(SiHCl3,缩写:TCD)气体、四氯化硅(SiCl4,缩写:STC)气体、二氯硅烷(SiH2Cl2,缩写:DCS)气体、一氯硅烷(SiH3Cl,缩写:MCS)气体等。如果以这种方式形成在抗刻蚀性、介电常数和抗灰化性方面彼此不同的两种膜的多层膜,即包含含指定元素的SiOC膜和SiO膜的多层膜,则可以进一步提高多层膜的所有前述性质的可控性。
在系列2中,例如,可形成SiOC膜作为第二薄膜。此时,作为含至少Si和卤族元素的前体气体,可以使用例如BTCSM气体、BTCSE气体、TCDMDS气体、DCTMDS气体和MCPMDS气体,这些气体已在前文提到。如果以这种方式形成在抗灰化性方面彼此不同的两种膜的多层膜,即包含含指定元素的SiOC膜和不含指定元素的SiOC膜的多层膜,则可以进一步提高多层膜的抗灰化性的可控性。
在系列2中,例如,可以形成通过向SiOC膜进一步添加氮(N)所获得的SiOCN膜作为第二薄膜。在这种情况下,仅需要系列2还包括向晶片200供给氮化气体(含氮气体)的步骤。作为氮化气体,可以使用例如氨(NH3)气、二氮烯(N2H2)气体、肼(N2H4)气、N3H8气体以及包含这些化合物的气体。如果以这种方式形成两种膜的多层膜,而这两种膜均具有高的抗灰化性,即包含含指定元素的SiOC膜和SiOCN膜的多层膜,则可以进一步提高多层膜的抗灰化性。
通过将包括系列1和2的循环执行一次,可以形成通过层合单个第一薄膜与单个第二薄膜所获得的多层膜(堆叠膜)。通过将包括系列1和2的循环执行多次,可以形成通过层合多个第一薄膜与多个第二薄膜所获得的多层膜(层合膜)。
随着晶体管的小型化,对构成用作栅极中外围结构的侧壁间隔物(SWS)的薄膜如氧化物膜等的低成膜温度、低介电常数和低WER 的需求日益增加。在制造作为下一代存储器开发的MRAM或RRAM(注册商标)时,通过使用包围存储设备的薄膜作为保护膜如刻蚀阻挡体(etching stopper)等执行工艺。在该工艺后形成的薄膜中,需要低的成膜温度,例如在RRAM情况下350℃或更低而在MRAM情况下250℃或更低。根据本实施方式,可以在低温条件下形成具有低介电常数和低WER并且甚至在灰化后也保持这些性质的薄膜。因此可以适宜地将本实施方式应用于前述需求。
如果将通过前述实施方式及其变型实施例的方法形成的基于Si的薄膜用作侧壁间隔体,则可以提供制造漏电流低并且可加工性优异的设备的技术。
如果将通过前述实施方式及其变型实施例的方法形成的基于Si的薄膜用作刻蚀阻挡体,则可以提供制造可加工性优异的设备的技术。
根据各个实施方式及其变型实施例,除前述第二实施方式及其变型实施例外,可以不使用等离子体而形成具有理想的化学计量比的基于Si的薄膜。由于可以不使用等离子体而形成基于Si的薄膜,故本公开可应用于形成例如DPT的SADP膜的工艺,所述工艺关注等离子体损伤。
在一些实施方式中,在不同类型的薄膜的形成中使用的工艺程序(限定加工工序和加工条件的程序)根据基材处理内容(例如,待形成的薄膜的类型、组成比、质量和厚度)个别地准备(多个)。在一些实施方式中,在开始基材处理时,根据基材处理内容从多个工艺程序适宜地选择合适的工艺程序。更特别地,可以通过电通信线路或其上记录工艺程序的记录介质(外部存储设备123)将根据基材处理内容个别地准备的工艺程序事先存储(安装)在基材处理装置的存储设备121c内。在一些实施方式中,在开始基材处理时,基材处理装置的CPU121a根据基材处理内容从多个存储在存储设备121c中的工艺程序适宜地选择合适的工艺程序。采用这种构造,可以通过一个基材处理装置以增强的通用性和可重复性形成不同类型、组成比、质量和厚度的薄膜。也可以减轻操作者所承受的操作负担(如加工工序和加工条件的输入负担)。 这使得可以快速启动基材处理而避免操作错误。
上面提到的工艺程序不限于新准备的那些,而是可通过例如改变已安装在基材处理装置中的现有工艺程序来准备。在改变现有工艺程序的情况下,可以通过电通信线路或其上记录改变的工艺程序的记录介质将改变的工艺程序安装在基材处理装置中。可以通过操作现有基材处理装置的输入/输出设备122来直接改变已安装在基材处理装置中的现有工艺程序。
在前述实施方式的成膜工序中,也已描述了其中在室温下形成SiOC膜、含指定元素如B等的SiOC膜和多层膜的实施例。在这种情况下,不必用加热器207加热处理室201的内部。因此,基材处理装置可不提供有加热器。这使得可以简化基材处理装置的加热系统的构造,从而使得基材处理装置价格便宜且结构简单。在这种情况下,当在高温下执行SiOC膜等的改性工艺时,改性工艺可以在与在其中执行SiOC膜等的形成工艺的处理室不同的处理室中非原位执行。
在前述实施方式中,已描述了其中使用同时处理多个基材的批量型基材处理装置来形成薄膜的实施例。然而,本公开不限于此,而是可适宜地应用于其中使用同时处理一个基材或若干基材的单个基材型基材处理装置来形成薄膜的情况。在前述实施方式中,已描述了其中使用提供有热壁型处理炉的基材处理装置来形成薄膜的实施例。然而,本公开不限于此,而是可适宜地应用于其中使用提供有冷壁型处理炉的基材处理装置来形成薄膜的情况。
可以将上述各个实施方式和各个变型实施例适宜地组合。
<本公开的优选方面>
现在将补充陈述本公开的一些优选方面。
(补充说明1)
根据本公开的一个方面,提供了半导体设备制造方法,其包括:通过执行预定次数的循环来在基材上形成含硅、氧、碳和指定的第III族或第V族元素的薄膜,所述循环包括:向基材供给含硅、碳和卤族元素并具有Si-C键的前体气体及第一催化气体;向基材供给氧化气体和第 二催化气体;和向基材供给含指定的第III族或第V族元素的改性气体。(补充说明2)
在补充说明1的方法中,所述循环包括:通过执行预定次数的系列在基材上形成含硅、氧和碳的第一薄膜,所述系列包括供给前体气体和第一催化气体;及供给氧化气体和第二催化气体;并通过供给改性气体而将第一薄膜改性为除了硅、氧和碳之外进一步含指定的第III族或第V族元素的第二薄膜。
(补充说明3)
在补充说明2的方法中,第一薄膜的形成和第一薄膜的改性可在其中基材被容纳在同一处理室内的状态下执行。
(补充说明4)
在补充说明2的方法中,第一薄膜的形成和第一薄膜的改性可以在其中基材分别被容纳在不同处理室内的状态下执行。
(补充说明5)
在补充说明1的方法中,循环可包括顺序地执行供给前体气体和第一催化气体、供给氧化气体和第二催化气体以及供给改性气体。
(补充说明6)
在补充说明1至5中任一项的方法中,在供给前体气体和第一催化气体及供给氧化气体和第二催化气体中,基材可设置在等于或高于室温并且等于或低于150℃的温度下。在一些实施方式中,基材可保设置在等于或高于室温并且等于或低于100℃的温度下。在一些实施方式中,基材可设置在等于或高于50℃并且等于或低于100℃的温度下。
(补充说明7)
在补充说明1至6中任一项的方法中,在供给改性气体中,基材可设置在等于或高于室温并且等于或低于500℃的温度下。
(补充说明8)
在补充说明1至7中任一项的方法中,在供给改性气体中,基材可以设置在等于供给前体气体和第一催化气体及供给氧化气体和第二催化气体中使用的基材温度的温度下。
(补充说明9)
在补充说明1至6中任一项的方法中,在供给改性气体中,基材可设置在等于或高于200℃并且等于或低于900℃的温度下。在一些实施方式中,基材可设置在等于或高于200℃并且等于或低于700℃的温度下。在一些实施方式中,基材可设置在等于或高于200℃并且等于或低于600℃的温度下。
(补充说明10)
在补充说明1至9中任一项的方法中,供给前体气体和第一催化气体、供给氧化气体和第二催化气体以及供给改性气体可在非等离子体气氛下执行。
(补充说明11)
在补充说明1至8中任一项的方法中,在供给改性气体中,改性气体可以等离子体激发态供给到基材。
(补充说明12)
在补充说明1至10中任一项的方法中,在供给改性气体中,改性气体可以与第三催化气体一起供给到基材。
(补充说明13)
在补充说明1至12中任一项的方法中,前体气体可以含选自烷基和亚烷基中的至少一种。
(补充说明14)
在补充说明13的方法中,含亚烷基的前体气体可以具有选自Si-C-Si键和Si-C-C-Si键中的至少一种。
(补充说明15)
在补充说明1至14中任一项的方法中,前体气体可以含选自含形成Si-C键的碳的烷基和含形成Si-C键的碳的亚烷基中的至少一种。(补充说明16)
在补充说明15的方法中,含亚烷基的前体气体可以具有选自在其一部分中包含Si-C键的Si-C-Si键和在其一部分中包含Si-C键的Si-C-C-Si键中的至少一种。
(补充说明17)
在补充说明1至16中任一项的方法中,第一至第三催化气体可包括基于胺的催化气体。
(补充说明18)
在补充说明1至17中任一项的方法中,改性气体可含B或In作为第III族元素或含P或As作为第V族元素。
(补充说明19)
在补充说明1至18中任一项的方法中,改性气体可包含选自含硼气体和含铟气体中的至少一种或选自含磷气体和含砷气体中的至少一种。
(补充说明20)
根据本公开的另一方面,提供了一种基材处理方法,其包括:通过执行预定次数的循环来在基材上形成含硅、氧、碳和指定的第III族或第V族元素的薄膜,所述循环包括:向基材供给含硅、碳和卤族元素并具有Si-C键的前体气体及第一催化气体;向基材供给氧化气体和第二催化气体;和向基材供给含指定的第III族或第V族元素的改性气体。(补充说明21)
根据本公开的再另一方面,提供了基材处理装置,其包括:构造为在其中容纳基材的处理室;构造为向所述处理室中供给含硅、碳和卤族元素并具有Si-C键的前体气体的前体气体供应系统;构造为向所述处理室中供给氧化气体的氧化气体供应系统;构造为向所述处理室中供给第一和第二催化气体的催化气体供应系统;构造为向所述处理室中供给含指定的第III族或第V族元素的改性气体的改性气体供应系统;和构造为控制所述前体气体供应系统、所述氧化气体供应系统、所述催化气体供应系统和所述改性气体供应系统的控制单元,使得通过执行预定次数的循环来进行在基材上形成含硅、氧、碳和指定的第III族或第IV族元素的薄膜的工艺,所述循环包括:向容纳在处理室内的基材供给前体气体和第一催化气体,向容纳在处理室内的基材供给氧化气体和第二催化气体,和向基材供给改性气体。
(补充说明22)
根据本公开的又一方面,提供了基材处理系统,其包括:构造为在基材上形成含硅、氧和碳的第一薄膜的第一基材处理装置和构造为将第一薄膜改性为除了硅、氧和碳之外进一步含指定的第III族或第V族元素的第二薄膜的第二基材处理装置,其中所述第一基材处理装置包括:构造为在其中容纳基材的第一处理室;构造为向所述第一处理室中供给含硅、碳和卤族元素并具有Si-C键的前体气体的前体气体供应系统;构造为向所述第一处理室中供给氧化气体的氧化气体供应系统;构造为向所述第一处理室中供给第一和第二催化气体的催化气体供应系统;和构造为控制所述前体气体供应系统、所述氧化气体供应系统和所述催化气体供应系统的第一控制单元,使得通过执行预定次数的循环来进行在基材上形成第一薄膜的工艺,所述循环包括:向容纳在第一处理室内的基材供给前体气体和第一催化气体以及向容纳在第一处理室内的基材供给氧化气体和第二催化气体;并且其中所述第二基材处理装置包括:构造为在其中容纳基材的第二处理室;构造为向所述第二处理室中供给含指定的第III族或第V族元素的改性气体的改性气体供应系统;和构造为控制所述改性气体供应系统的第二控制单元,使得通过执行向基材供给改性气体来进行将第一薄膜改性为第二薄膜的工艺。
(补充说明23)
根据本公开的又一方面,提供了程序和存储所述程序的非易失性计算机可读记录介质,所述程序使得计算机执行通过执行预定次数的循环来在基材上形成含硅、氧、碳和指定的第III族或第V族元素的薄膜的工艺,所述循环包括:向容纳在处理室内的基材供给包含硅、碳和卤族元素并具有Si-C键的前体气体及第一催化气体;向容纳在处理室内的基材供给氧化气体和第二催化气体;和向基材供给含指定的第III族或第V族元素的改性气体。
根据本公开,可以形成具有优异的抗灰化性而同时保持高的抗刻蚀性的薄膜。
虽然已描述了某些实施方式,但这些实施方式仅作为实例呈现,而非意在限制本公开的范围。事实上,本文所述的新方法和装置可以多种其它形式体现;此外,可对本文所述实施方式的形式作各种省略、替代和改变而不偏离本公开的精神。附随的权利要求及它们的等同物意在涵盖落在本公开的范围和精神内的此类形式或变型。

Claims (17)

1.半导体设备制造方法,所述方法包括:
通过执行预定次数的循环来在基材上形成含硅、氧、碳和指定的第III族或第V族元素的薄膜,所述循环包含:
向所述基材供给含硅、碳和卤族元素并具有Si-C键的前体气体及第一催化气体;
向所述基材供给氧化气体和第二催化气体;和
向所述基材供给含所述指定的第III族或第V族元素的改性气体,
其中所述改性气体包含选自含硼气体和含铟气体中的至少一种或选自含磷气体和含砷气体中的至少一种。
2.根据权利要求1所述的方法,其中所述循环包含:
通过执行预定次数的系列在所述基材上形成含硅、氧和碳的第一薄膜,所述系列包括:
供给所述前体气体和所述第一催化气体;和
供给所述氧化气体和所述第二催化气体;以及
通过供给所述改性气体将所述第一薄膜改性为除了硅、氧和碳之外进一步含所述指定的第III族或第V族元素的第二薄膜。
3.根据权利要求2所述的方法,其中所述第一薄膜的形成和所述第一薄膜的改性在其中所述基材被容纳在同一处理室内的状态下执行。
4.根据权利要求2所述的方法,其中所述第一薄膜的形成和所述第一薄膜的改性在其中所述基材分别被容纳在不同的处理室内的状态下执行。
5.根据权利要求1所述的方法,其中所述循环包括顺序地执行供给所述前体气体和所述第一催化气体、供给所述氧化气体和所述第二催化气体以及供给所述改性气体。
6.根据权利要求1所述的方法,其中在供给所述前体气体和所述第一催化气体及供给所述氧化气体和所述第二催化气体中,所述基材设置在等于或高于室温并且等于或低于150℃的温度下。
7.根据权利要求1所述的方法,其中在供给所述改性气体中,所述基材设置在等于或高于室温并且等于或低于500℃的温度下。
8.根据权利要求1所述的方法,其中在供给所述改性气体中,所述基材设置在等于供给所述前体气体和所述第一催化气体及供给所述氧化气体和所述第二催化气体中的所述基材温度的温度下。
9.根据权利要求1所述的方法,其中在供给所述改性气体中,所述基材设置在等于或高于200℃并且等于或低于900℃的温度下。
10.根据权利要求1所述的方法,其中供给所述前体气体和所述第一催化气体、供给所述氧化气体和所述第二催化气体以及供给所述改性气体在非等离子体气氛下执行。
11.根据权利要求1所述的方法,其中在供给所述改性气体中,所述改性气体以等离子体激发态供给到所述基材。
12.根据权利要求1所述的方法,其中在供给所述改性气体中,所述改性气体与第三催化气体一起供给到所述基材。
13.根据权利要求1所述的方法,其中所述前体气体含选自烷基和亚烷基中的至少一种。
14.根据权利要求1所述的方法,其中所述前体气体具有选自Si-C-Si键和Si-C-C-Si键中的至少一种。
15.根据权利要求1所述的方法,其中所述第一和第二催化气体中的每一个均包含基于胺的催化气体。
16.根据权利要求1所述的方法,其中所述改性气体含B或In作为所述第III族元素或含P或As作为所述第V族元素。
17.基材处理装置,所述装置包括:
处理室,其构造为在其中容纳基材;
前体气体供应系统,其构造为向所述处理室中供给含硅、碳和卤族元素并具有Si-C键的前体气体;
氧化气体供应系统,其构造为向所述处理室中供给氧化气体;
催化气体供应系统,其构造为向所述处理室中供给第一催化气体和第二催化气体;
改性气体供应系统,其构造为向所述处理室中供给包含指定的第III族或第V族元素的改性气体,所述改性气体包含选自含硼气体和含铟气体中的至少一种或选自含磷气体和含砷气体中的至少一种;和
控制单元,其构造为控制所述前体气体供应系统、所述氧化气体供应系统、所述催化气体供应系统和所述改性气体供应系统,使得通过执行预定次数的循环来进行在所述基材上形成含硅、氧、碳和指定的第III族或第IV族元素的薄膜的工艺,所述循环包含:向容纳在所述处理室内的所述基材供给所述前体气体和所述第一催化气体;向容纳在所述处理室内的所述基材供给所述氧化气体和所述第二催化气体;和向所述基材供给所述改性气体。
CN201410139117.8A 2013-05-24 2014-03-31 半导体设备制造方法和基材处理装置 Active CN104183480B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013110242A JP5998101B2 (ja) 2013-05-24 2013-05-24 半導体装置の製造方法、基板処理装置及びプログラム
JP2013-110242 2013-05-24

Publications (2)

Publication Number Publication Date
CN104183480A CN104183480A (zh) 2014-12-03
CN104183480B true CN104183480B (zh) 2017-03-29

Family

ID=51935644

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410139117.8A Active CN104183480B (zh) 2013-05-24 2014-03-31 半导体设备制造方法和基材处理装置

Country Status (5)

Country Link
US (1) US9472391B2 (zh)
JP (1) JP5998101B2 (zh)
KR (1) KR101538581B1 (zh)
CN (1) CN104183480B (zh)
TW (1) TWI567792B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6125946B2 (ja) * 2013-08-08 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6210828B2 (ja) * 2013-10-04 2017-10-11 株式会社Adeka 薄膜形成用原料、薄膜の製造方法
JP6176811B2 (ja) 2014-06-25 2017-08-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
JP6594804B2 (ja) * 2016-03-11 2019-10-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10361282B2 (en) * 2017-05-08 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a low-K spacer
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
JP6956660B2 (ja) * 2018-03-19 2021-11-02 東京エレクトロン株式会社 クリーニング方法及び成膜装置
JP7197328B2 (ja) * 2018-11-05 2022-12-27 株式会社Adeka 薄膜形成用原料及び薄膜の製造方法
US10559458B1 (en) * 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11894220B2 (en) * 2019-07-17 2024-02-06 Applied Materials, Inc. Method and apparatus for controlling a processing reactor
JP7023905B2 (ja) 2019-08-30 2022-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101807524A (zh) * 2009-02-17 2010-08-18 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
CN104064498A (zh) * 2013-03-19 2014-09-24 株式会社日立国际电气 制造半导体设备的方法和基材处理装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5958324A (en) * 1998-02-06 1999-09-28 Dow Corning Corporation Method for formation of crystalline boron-doped silicon carbide and amorphous boron silicon oxycarbide fibers from polymer blends containing siloxane and boron
US6610362B1 (en) * 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US6967405B1 (en) * 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8432040B2 (en) * 2006-06-01 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure design for low RC delay and leakage
JP2008071894A (ja) 2006-09-13 2008-03-27 Tokyo Electron Ltd 成膜方法
US7611922B2 (en) * 2006-11-13 2009-11-03 Dongbu Hitek Co., Ltd. Image sensor and method for manufacturing the same
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5341358B2 (ja) * 2008-02-01 2013-11-13 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置及び基板処理方法
JP5384852B2 (ja) * 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5421736B2 (ja) 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
JP5654862B2 (ja) 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5572447B2 (ja) * 2010-05-25 2014-08-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
JP6022166B2 (ja) 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9123530B2 (en) 2011-03-23 2015-09-01 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
CN103620745B (zh) * 2011-08-25 2016-09-21 株式会社日立国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
CN103890910B (zh) * 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101807524A (zh) * 2009-02-17 2010-08-18 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
CN104064498A (zh) * 2013-03-19 2014-09-24 株式会社日立国际电气 制造半导体设备的方法和基材处理装置

Also Published As

Publication number Publication date
US20140349492A1 (en) 2014-11-27
JP5998101B2 (ja) 2016-09-28
CN104183480A (zh) 2014-12-03
TWI567792B (zh) 2017-01-21
KR101538581B1 (ko) 2015-07-21
JP2014229834A (ja) 2014-12-08
KR20140138019A (ko) 2014-12-03
US9472391B2 (en) 2016-10-18
TW201507000A (zh) 2015-02-16

Similar Documents

Publication Publication Date Title
CN104183480B (zh) 半导体设备制造方法和基材处理装置
KR102510157B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
US11670503B2 (en) Method of atomic layer deposition
US10037884B2 (en) Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090149B2 (en) Method of manufacturing semiconductor device by forming and modifying film on substrate
US9334567B2 (en) Method for manufacturing semiconductor device, method for processing substrate and substrate processing apparatus
JP6284285B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
TWI675122B (zh) 用以在敏感基板上沉積薄膜的方法
KR101913443B1 (ko) 컨포멀 막들의 플라즈마 활성화된 성막
CN105296963B (zh) 半导体器件的制造方法及衬底处理装置
KR101497231B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP5743488B2 (ja) 基板処理装置および半導体装置の製造方法
JP2012169658A (ja) Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
CN104425313A (zh) 制造半导体设备的方法和基材处理装置
JP2019062074A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US9905413B2 (en) Method of manufacturing semiconductor device
US10790136B2 (en) Method of manufacturing semiconductor device, substrate processing system and non-transitory computer-readable recording medium
WO2023076524A1 (en) Atomic layer deposition seam reduction

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20181127

Address after: Tokyo, Japan, Japan

Patentee after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Patentee before: Hitachi Kunisai Electric Corp.

TR01 Transfer of patent right